WO2014085037A1 - Method for etching euv material layers utilized to form a photomask - Google Patents

Method for etching euv material layers utilized to form a photomask Download PDF

Info

Publication number
WO2014085037A1
WO2014085037A1 PCT/US2013/068462 US2013068462W WO2014085037A1 WO 2014085037 A1 WO2014085037 A1 WO 2014085037A1 US 2013068462 W US2013068462 W US 2013068462W WO 2014085037 A1 WO2014085037 A1 WO 2014085037A1
Authority
WO
WIPO (PCT)
Prior art keywords
layer
bias power
etching
type
applying
Prior art date
Application number
PCT/US2013/068462
Other languages
French (fr)
Inventor
Keven Yu
Madhavi Chandrachood
Amitabh Sabharwal
Ajay Kumar
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Publication of WO2014085037A1 publication Critical patent/WO2014085037A1/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching

Definitions

  • Embodiments of the present invention generally relate to a method for plasma etching extreme ultraviolet (EUV) material layers and, more specifically, to a method for etching an EUV anti-reflective coating (ARC) layer and absorber layer during photomask fabrication.
  • EUV extreme ultraviolet
  • ARC EUV anti-reflective coating
  • a photomask is typically a glass or a quartz substrate giving a film stack having multiple layers, including an ARC layer, an absorber layer and a capping layer disposed on other film materials, if any, thereon.
  • a photoresist layer is typically disposed on the film stack to facilitate transferring features into the film stack during the subsequent patterning processes.
  • the circuit design is written onto the photomask by exposing portions of the photoresist to extreme ultraviolet light or ultraviolet light, making the exposed portions soluble in a developing solution. The soluble portion of the resist is then removed, allowing the exposed underlying film stack be etched. The etch process removes the film stack from the photomask at locations where the resist was removed, i.e., the exposed film stack is removed.
  • NGL Next generation lithography
  • EUVL extreme ultraviolet lithography
  • EPL electron projection lithography
  • IPL ion projection lithography
  • X-ray lithography X-ray lithography
  • the film stack is being developed to have a new film scheme so as to work with the EUV technology to facilitate forming the photomask with desired features disposed thereon.
  • the film stack may include multiple layers with different materials to be etched to form the desired features. Imprecise etch process control may result in CD bias, poor CD uniformity, undesired cross sectional profile and etch CD linearity and unwanted defects. It is believed that EUV technology may provide good CD uniformity, less etching bias, desired linearity, less line edge roughness, and high thickness uniformity and less defects.
  • etch process known as dry etching, reactive ion etching, or plasma etching
  • a plasma is used to enhance a chemical reaction and etch the patterned film stack of the photomask.
  • conventional etch processes often exhibit etch bias due to attack on the photoresist material utilized to pattern the film stack. As the photoresist or sidewall of the film stack is attacked during the etching process, the critical dimension of patterned resist is not accurately transferred to the film stack. Thus, conventional etch processes may not produce acceptable results for photomasks having CDs less than about 5 ⁇ .
  • etching selectivity among each layer disposed in the film stack is also desired.
  • the material layers formed in the film stack may have similar film properties, poor selectivity often occurs while etching each layer disposed in the film stack. Poor etching selectivity may result in poor structure integrity, such as non-uniformity or a tapered profile formed on the top and/or sidewall of the formed structure on the substrate, thereby eventually leading to device failure. Therefore, high selectivity of an etching process is increasingly important to preserve profiles and thickness of a photoresist layer while etching an underlying materials in the film stack or the like, disposed underneath the photoresist layer.
  • Embodiments of the present invention generally provide a method and apparatus for etching photomasks for EUV technologies.
  • Embodiments of the present invention also generally relate to photomask manufacturing technology for binary, phase shift mask, opaque MoSi on glass, and EUV lithography.
  • Embodiments of the present invention also generally relate to a method for plasma removal of ARC layer and absorber layer process for EUV applications.
  • a method of etching an ARC layer or an absorber layer disposed on a photomask includes transferring a film stack into an etching chamber, the film stack having an ARC layer or an absorber layer partially exposed through a patterned layer, providing a gas mixture including at least one fluorine containing gas in to a processing chamber, applying a RF power source to form a plasma from the gas mixture, applying a first type of RF bias power to the substrate for a first period of time, applying a second type of RF bias power away from the substrate for a second period of time, and etching the ARC layer or the absorber layer through the patterned layer in the presence of the plasma.
  • a method of etching an ARC layer or an absorber layer disposed on a photomask includes transferring a film stack into an etching chamber, the film stack having an ARC layer disposed on an absorber layer partially exposed through a patterned photoresist layer, providing a first gas mixture including at least one fluorine containing gas in to a processing chamber, applying a first source RF power to form a plasma from the first gas mixture to etch the ARC layer, applying a first type of RF bias power to the substrate for a first period of time, applying a second type of RF bias power away from the substrate for a second period of time, and etching the ARC layer through the patterned photoresist layer in the presence of the plasma.
  • a method of etching an ARC layer and an absorber layer disposed on a photomask includes transferring a film stack into an etching chamber, the film stack having an ARC layer disposed on an absorber layer disposed therein partially exposed through a patterned photoresist layer, providing a first gas mixture including at least one fluorine containing gas in to a processing chamber, applying a first type of RF bias power to the substrate for a first period of time, applying a second type of RF bias power away from the substrate for a second period of time, etching the ARC layer through the patterned photoresist layer in the presence of the plasma, providing a second gas mixture including at least one fluorine containing gas in to the same processing chamber, applying a third type of RF bias power to the substrate for a third period of time, applying a fourth type of RF bias power away from the substrate for a fourth period of time, wherein first RF bias power is higher than the third RF bias power.
  • Figure 1A-1 C depicts one embodiment of a sequence for manufacturing an EUV photomask in accordance with one embodiment of the invention
  • Figure 2 depicts a schematic cross-sectional view of a processing chamber that may be utilized to fabricate a photomask in accordance with one embodiment of the present invention.
  • Figure 3 depicts a flow diagram of a method for manufacturing a photomask in accordance with one embodiment of the present invention.
  • the present invention provides a method and apparatus for manufacturing a photomask substrate. More specifically, the invention relates to methods of etching of an ARC layer and an absorber layer disposed on a photomask substrate with improved etching control.
  • FIGs 1A-1 C depicts a process sequence for manufacturing a photomask 190.
  • the photomask 190 includes a film stack 100 disposed on the photomask 190 that may be utilized to form desired features (such as openings 1 18) on the photomask 190.
  • the photomask 190 may include a photomask substrate 102.
  • the substrate 102 may be a quartz substrate (i.e., low thermal expansion silicon dioxide (S1O2)) layer.
  • the photomask substrate 102 may have a rectangular shape having sides between about 5 inches to about 9 inches in length.
  • the photomask substrate 102 may be between about 0.15 inches and about 0.25 inches thick.
  • the photomask substrate 102 is about 0.25 inches thick.
  • An optional chromium containing layer 104 such as a chromium nitride (CrN) layer may be disposed to a backside of the photomask substrate 102 as needed.
  • An EUV reflective multi-material layer 106 is disposed on the photomask substrate 102.
  • the reflective multi-material layer 106 may include at least one molybdenum layer 106a and a silicon layer 106b.
  • the embodiment depicted in Figure 1A shows five pairs of a molybdenum layer 106a and a silicon layer 106b (alternating molybdenum layers 106a and the silicon layers 106b repeatedly formed on the photomask substrate 102), it is noted that a number of molybdenum layers 106a and the silicon layers 106b may be varied based on different process needs. In one particular embodiment, forty pairs of molybdenum layers 106a and the silicon layers 106b may be deposited to form the reflective multi-material layer 106.
  • each single molybdenum layer 106a may be controlled at between about 1 A and about 10 A, such as about 3 A, and the thickness of the each single silicon layer 106b may be controlled at between about 1 A and about 10 A, such as about 4 A.
  • the reflective multi-material layer 106 may have a total thickness between about 10 A and about 500 A.
  • the reflective multi-material layer 106 may have an EUV light reflectivity of up to 70 % at 13.5 nm wavelength.
  • the reflective multi-material layer 106 may have a total thickness between about 70 nm and about 140 nm.
  • a capping layer 108 is disposed on the reflective multi-material layer 106.
  • the capping layer 108 may be fabricated by a metallic material, such as ruthenium (Ru) material, zirconium (Zr) material, or any other suitable material.
  • the capping layer 108 is a ruthenium (Ru) layer.
  • the capping layer 108 may have a thickness between about 1 nm and about 10 nm, such as between about 2.5 nm and about 50 nm.
  • An absorber film stack 1 16 may be disposed on the capping layer 108.
  • the absorber film stack 1 16 is an opaque and light-shielding layer configured to absorb portion of the light generated during the lithography process.
  • the absorber film stack 1 16 may be in form of a single layer or a multilayer structure, such as including an anti-reflective coating (ARC) layer 1 12 disposed on an absorber layer 1 10, as the embodiments depicted in Figures 1A-1 C.
  • ARC anti-reflective coating
  • the absorber film stack 1 16 has a total film thickness between about 50 nm and about 200 nm.
  • the ARC layer 1 12 may have a thickness between about 3 nm an about 10 nm and the absorber layer may have a thickness between about 70 nm and about 90 nm.
  • the total thickness of the absorber film stack 1 16 advantageously facilitates meeting the strict overall etch profile tolerance for EUV masks in sub-45 nm technology node applications.
  • the absorber layer 1 10 may comprise tantalum- based materials with essentially no oxygen, for example tantalum silicide based materials, such as TaSi, nitrogenized tantalum boride-based materials, such as TaBN, and tantalum nitride-based materials, such as TaN.
  • the ARC layer 1 12 may be fabricated from a tantalum and oxygen-based materials, such as TaN, TaON, or TaBN.
  • the composition of the ARC layer 1 12 corresponds to the composition of the absorber layer 1 10, and may comprise oxidized and nitrogenized tantalum and silicon based materials, such as TaSiON, when the absorber layer 1 10 comprises TaSi or TaSiN; tantalum boron oxide based materials, such as TaBO, when the absorber layer 1 10 comprises TaBN or TaN; and oxidized and nitrogenized tantalum-based materials, such as TaON or TaO, when the absorber layer 1 10 comprises TaN.
  • a patterned photoresist layer 1 14 is formed over the absorber film stack 1 16 having openings 1 18 formed therein that expose portions 120 of the absorber film stack 1 16 for etching.
  • the openings 1 18 of the photoresist layer 1 14 may be patterned by a gas mixture including at least a H 2 gas and a N 2 gas.
  • a RF source power may be supplied to a coil formed in a processing chamber, such as the etch reactor 200 which will be further described below with reference to Figure 2, with or without applying a bias RF power to etch thereof forming the openings 1 18 in the photoresist layer 1 14.
  • the photoresist layer 1 14 may comprise any suitable photosensitive resist materials, such as an e-beam resist (for example, a chemically amplified resist (CAR)), and deposited and patterned in any suitable manner.
  • the photoresist layer may be deposited to a thickness between about 100 nm and about 1000 nm.
  • the photomask substrate 102 is transferred to an etching processing chamber, such as the etch reactor 200 to perform an etching process.
  • the etching process is performed to etch the absorber film stack 1 16 and/or optionally the capping layer 108 exposed through the opening 1 18 defined by the photoresist layer 1 14.
  • the etching process is performed to etch the ARC layer 1 12 and the absorber layer 1 10 until the underlying surface 122, 124 of the absorber layer 1 10 and the capping layer 108 are sequentially exposed, as shown in Figures 1 B and 1 C.
  • the ARC layer 1 12 and the absorber layer 1 10 may continuously be etched using one process step, such as a single etchant chemistry, or separately etched by multiple steps in one or different etching processing chambers as needed.
  • the etching process for etching the ARC layer 1 12 and the absorber layer 1 10 described herein is performed in a single chamber using multiple steps.
  • the patterns from the photoresist layer 1 14 are transferred into the absorber film stack 1 16 through the etching process.
  • the etching gas mixture is configured to have high etching capability to etch different materials with similar properties as well as maintaining high selectivity to the underlying capping layer 108 so as to maintain desired sidewall profiles. Details of the etching process for the ARC layer 1 12 and the absorber layer 1 10 will be further described below with reference to Figure 3. [0027] Subsequently, a reflective multi-material layer etching process is performed to etch the reflective multi-material layer 106 as need to complete the feature transfer process to transfer features into the film stack 100.
  • FIG. 2 depicts a schematic diagram of an etch reactor 200.
  • Suitable reactors that may be adapted for use with the teachings disclosed herein include, for example, the Decoupled Plasma Source (DP S® ) II reactor, or the Tetra I, Tetra II, Tetra X Photomask etch systems, all of which are available from Applied Materials, Inc. of Santa Clara, California.
  • the particular embodiment of the reactor 200 shown herein is provided for illustrative purposes and should not be used to limit the scope of the invention. It is contemplated that the invention may be utilized in other plasma processing chambers, including those from other manufacturers.
  • the reactor 200 generally comprises a processing chamber 202 having a substrate pedestal 224 within a conductive body, and a controller 246.
  • the conductive body includes a chamber wall 209 and a bottom 204 enclosed by a flat dielectric ceiling or lid 208.
  • the chamber 202 may have other types of ceilings, e.g., a dome-shaped ceiling.
  • the chamber 202 may be coupled to ground 206 through the chamber wall 209.
  • An antenna 210 is disposed above the ceiling 208 and comprises one or more inductive coil elements that may be selectively controlled (two co-axial elements 210a and 210b are shown in Figure 2).
  • the antenna 210 is coupled through a first matching network 214 to a plasma power source 212, which is typically capable of producing up to about 3000 W at a tunable frequency in a range from about 100 Hz to about 50 kHz, such as about 13.56 MHz.
  • the substrate pedestal (cathode) 224 is coupled through a second matching network 242 through a first connecting line 251 to a biasing power source 240.
  • the second network 242 may further coupled to the chamber wall 209 to ground 206 through a second connecting line 253.
  • the bias power supplied from the biasing power source 204 to the chamber wall 209 to the ground 206 compared to the bias power supplied to the substrate pedestal (cathode) 224 may be configured substantially the same, similar, or different as needed to meet different process requirements.
  • the biasing power source 240 generally is a source of up to about 500 W at a frequency of between about 100K Hz and about 60M Hz, such as approximately 13.56 MHz, that is capable of producing either continuous or pulsed bias power.
  • the biasing power source 240 may be a DC or pulsed DC source. In some cases wherein a bias power is not needed, the biasing power source 240 may also be grounded through the second matching network 242 to ground the 206 by the chamber wall 209.
  • the substrate support pedestal 224 comprises an electrostatic chuck 260, which has at least one clamping electrode 232 and is controlled by a chuck power supply 266.
  • the substrate pedestal 224 may comprise substrate retention mechanisms such as a susceptor clamp ring, a mechanical chuck, and the like.
  • a reticle adapter 282 is used to secure the substrate (e.g., photomask or reticle), such as the substrate 102 depicted in Figures 1A-1 C, onto the substrate support pedestal 224.
  • the reticle adapter 282 generally includes a lower portion 284 that covers an upper surface of the pedestal 224 (for example, the electrostatic chuck 260) and a top portion 286 having an opening 288 that is sized and shaped to hold the substrate 102.
  • the opening 288 is generally substantially centered with respect to the pedestal 224.
  • the adapter 282 is generally formed from a single piece of etch resistant, high temperature resistant material such as polyimide ceramic or quartz.
  • An edge ring 226 may cover and/or secure the adapter 282 to the pedestal 224.
  • a lift mechanism 238 is used to lower or raise the adapter 282 and the substrate 102 onto or off of the substrate support pedestal 224.
  • the lift mechanism 238 comprises a plurality of lift pins 230 (one lift pin is shown) that travel through respective guide holes 236.
  • a gas panel 220 is coupled to the processing chamber 202 to provide process and/or other gases to the interior of the processing chamber 202.
  • the gas panel 220 is coupled to one or more inlets 216 formed in a channel 218 in the sidewall 204 of the chamber 202. It is contemplated that the one or more inlets 216 may be provided in other locations, for example, in the ceiling 208 of the processing chamber 202.
  • the gas panel 220 is adapted to provide fluorinated process gases through the inlets 216 and into the interior of the body of the processing chamber 202. During processing, a plasma is formed from the process gas and maintained through inductive coupling of power from the plasma power source 212.
  • the process gas provided from the gas panel 220 includes at least a fluorinated gas and a carbon containing gas, an oxygen gas, and an chlorine containing gas.
  • fluorinated and carbon containing gases include CHF 3 and CF .
  • Other fluorinated gases may include one or more of C2H2F2, C2F, C2F6, C 4 F 6 , C 4 F 8 , C3F8, SF 6 and C 5 F 8 .
  • the oxygen containing gas include O 2 , CO 2 , CO, N 2 O, NO 2 , O 3 , H 2 O, and the like.
  • the chlorine containing gas include CI2, CCI 4 , CHCI3, CH2CI2, CH3CI, and the like.
  • the pressure in the processing chamber 202 is controlled using a throttle valve 262 and a vacuum pump 264.
  • the vacuum pump 264 and throttle valve 262 are capable of maintaining chamber pressures in the range of about 0.2 to about 20 mTorr.
  • the temperature of the substrate 102 is controlled by stabilizing the temperature of the substrate pedestal 224.
  • the substrate support pedestal 224 comprises a resistive heater 244 and a heat sink 228.
  • the resistive heater 244 generally comprises at least one heating element 234 and is regulated by a heater power supply 268.
  • An optional ion-radical shield 270 is disposed in the chamber 202 above the pedestal 224.
  • the ion-radical shield 270 is electrically isolated from the chamber sidewalls 204 and the pedestal 224 such that no ground path from the plate to ground is provided.
  • One embodiment of the ion-radical shield 270 comprises a substantially flat plate 272 and a plurality of legs 276 supporting the plate 272.
  • the plate 272, which may be made of a variety of materials compatible with process needs, comprises one or more openings (apertures) 274 that define a desired open area in the plate 272.
  • This open area controls the amount of ions that pass from a plasma formed in an upper process volume 278 of the processing chamber 202 to a lower process volume 280 located between the ion-radical shield 270 and the substrate 102.
  • the greater the open area the more ions can pass through the ion-radical shield 270.
  • the size of the apertures 274 controls the ion density in volume 280, and the shield 270 serves as an ion filter.
  • the plate 272 may also comprise a screen or a mesh wherein the open area of the screen or mesh corresponds to the desired open area provided by apertures 274. Alternatively, a combination of a plate and screen or mesh may also be used.
  • a potential develops on the surface of the plate 272 as a result of electron bombardment from the plasma.
  • the potential attracts ions from the plasma, effectively filtering them from the plasma, while allowing neutral species, e.g., radicals, to pass through the apertures 274 of the plate 272.
  • neutral species e.g., radicals
  • etching of the mask by neutral species or radicals can proceed in a more controlled manner. This reduces erosion of the resist as well as sputtering of the resist onto the sidewalls of the patterned material layer, thus resulting in improved etch bias and critical dimension uniformity.
  • Figure 3 is a flow diagram of one embodiment of a method 300 for etching an ARC layer and an absorber layer formed in a film stack disposed on a photomask, such as the ARC layer 1 12 and the absorber layer 1 10 of the film stack 100 depicted in Figures 1A-1 C.
  • a photomask such as the ARC layer 1 12 and the absorber layer 1 10 of the film stack 100 depicted in Figures 1A-1 C.
  • the method 300 is described below with reference to a substrate utilized to fabricate a photomask, the method 300 may also be used to advantage in other photomask etching or any etching applications.
  • the method 300 begins at block 302 when the photomask substrate 102 is transferred to and placed on a support pedestal 224 disposed in an etch reactor, such as the etch reactor 200 depicted in Figure 2.
  • the photomask substrate 102 rests in the opening 288 of the adapter 282.
  • the photomask substrate 102 includes an optically transparent silicon based material, such as quartz (i.e., silicon dioxide (S1O2)) layer having the ARC layer 1 12 and the absorber layer 1 10 disposed thereon having portions 120 of ARC layer 1 12 exposed by the patterned photoresist layer for etching, as shown in Figure 1A.
  • quartz i.e., silicon dioxide (S1O2)
  • the patterned photoresist layer 1 14 may serve as a mask layer to protect some portion of the ARC layer 1 12 from being etched during the ARC layer etching process.
  • the process of etching the ARC layer requires to have high selectivity attacking sidewalls of the ARC layer 1 12 defined by the patterned photoresist layer 1 14 during etching. Therefore, well selected process parameters along with a gas mixture are chosen to etch the ARC layer 1 12 and the absorber layer 1 10 that has high capability for etching both ARC layer 1 12 and later the absorber layer 1 10 while having high selectivity to the exposed sidewalls and the underlying capping layer 108.
  • a first etching process is performed to etch the ARC layer 1 12, as shown in Figure 1 B.
  • a first etching gas mixture is supplied into the etch reactor to etch the portions 120 of the ARC layer 1 12 exposed by the patterned photoresist layer 1 14 until the underlying absorber layer 1 10 is exposed.
  • the first etching gas mixture includes at least a fluorine containing gas, a chlorine containing gas and/or a bromide containing gas or other suitable aggressive etchants.
  • Suitable examples of the fluorine containing gas includes CF 4 , CHF 3 , CH 2 F 2 , C 2 F 6 , C 2 F 8 , SF 6 , NF 3 C 4 F 8 and the like.
  • Examples of the chlorine-containing gas include Cl 2 , HCI, SiCI 4 , BCI3, CCI 4 , CHCI3, CH 2 CI 2 , CH3CI, combinations thereof and the like.
  • the bromide containing gas include HBr, Br 2 , combinations thereof, and the like.
  • the fluorine element is an aggressive etchant
  • the fluorine containing gas supplied in the etching gas mixture is utilized to etch away portions of the ARC layer 1 12, to transfer desired features from the photoresist layer 1 14 into the ARC layer 1 12.
  • an oxygen containing gas such as O 2 , N 2 , N 2 O, NO 2 , H 2 O, O3, or the like, and an inert gas may also be supplied into the etching gas mixture to assist the profile control as needed.
  • the inert gas supplied in the gas mixture include Ar, He, Ne, Kr, Xe or the like.
  • the first gas mixture may include C 4 F 8 , C 4 F 8 , and He.
  • the fluorine containing gas and the inert gas is supplied in the etching gas mixture at a ratio by flow volume between about 5 percent and about 80 percent.
  • the fluorine containing gas may be supplied at a flow rate by volume between about 1 seem and about 200 seem.
  • the He gas may be supplied at a flow rate between about 2 seem and about 150 seem.
  • a RF power is supplied to form a plasma from the first gas mixture therein.
  • the RF source power may be supplied at the first etching gas mixture between about 50 watts and about 3000 watts and at a frequency between about 100K Hz and about 60M Hz, such as about 13.56 MHz.
  • a bias power may also be supplied to control of the direction of the plasma generated in the processing chamber so as to control vertical trajectory of the ions in the plasma.
  • the bias power may be supplied at between about 5 watts and about 500 watts.
  • the RF bias power may be pulsed with a duty cycle between about 10 to about 90 percent at a RF frequency between about 500 Hz and about 10 kHz.
  • the bias power as applied may be controlled in a manner to reduce micro-loading effect to the substrate.
  • electrostatic charge may accumulate on the substrate surface. Excess accumulated charge on the substrate surface may result in non-uniform plasma distribution across the substrate surface, thereby resulting in non-uniform etching results on the substrate surface.
  • excess charge accumulated on the substrate may also result in arcing at certain times during the etching process, which may not only cause damage to the substrate, but also cause damage to the chamber components which may adversely shorten the life of the chamber component. Therefore, a plurality of bias power pulses applying to different components of the chamber may be utilized to efficiently reduce charge accumulation.
  • At least two types of the bias power are applied to the processing chamber during the first etching process.
  • a first type bias power supplied to the substrate pedestal 224 e.g, close to the substrate, is utilized to direct ions generated in the plasma in a direction toward the substrate surface so as to produce an anisotropic etching process.
  • electrostatic charge may be generated and accumulated on the substrate surface.
  • a second type of bias power a bias power applied through the chamber wall 209 to ground 206, e.g, away from the substrate, may be utilized for a second period of time to assist remove charge accumulated away from the substrate pedestal 224, thereby reducing charge accumulation on the substrate surface.
  • Both the first type and the second type of the RF bias powers may be applied to the processing chamber in pulse mode or continuous mode. In one embodiment, the first type and the second type of the RF bias power are applied to the processing chamber in pulse mode.
  • the plurality of RF bias powers may be pulsed with a duty cycle between about 10 to about 90 percent at a RF frequency between about 100K Hz and about 60M Hz, such as about 13.56M Hz.
  • the first type of bias power e.g., a bias power supplied to the substrate pedestal
  • the second type of the bias power e.g., a bias power supplied through the chamber wall to ground
  • a ratio of the first period of time and the second period of time is controlled between about 1 :9 and about 4:1 .
  • the first type and the second type of the RF bias power may be repeatedly and alternatively performed until the ARC layer 1 12 is etched away from the substrate 102 exposing the underlying absorber layer 1 10, as shown in Figure 1 B.
  • the first type of the RF power as pulsed may be controlled between about 5 watts and about 600 watts and the second type of the RF power as pulsed may be controlled between about 5 watts and about 600 watts.
  • Several process parameters may also be controlled while supplying the first etching gas mixture to perform the etching process.
  • the pressure of the processing chamber may be controlled at between about 0.2 milliTorr and about 20 milliTorr.
  • a second etching process is performed to etch the absorber layer 1 10, as shown in Figure 1 C.
  • a second etching gas mixture is supplied into the etch reactor to etch the portions 122 of the absorber layer 1 10 exposed by the patterned photoresist layer 1 14 until the underlying capping layer 108 is exposed.
  • the second etching gas mixture includes at least a fluorine containing gas, a chlorine containing gas and/or a bromide containing gas or other suitable aggressive etchants.
  • Suitable examples of the fluorine containing gas includes CF 4 , CHF 3 , CH 2 F 2 , C2F6, C 4 F 8 , SF 6 , NF 3 and the like.
  • Examples of the chlorine-containing gas include Cl 2 , HCI, SiCI , BCI 3 , CCI , CHCI3, CH2CI2, CH3CI, combinations thereof and the like.
  • the bromide containing gas include HBr, Br 2 , combinations thereof, and the like.
  • the fluorine element is an aggressive etchant
  • the fluorine containing gas supplied in the etching gas mixture is utilized to etch away portions of the absorber layer 1 10 to form desired features into the absorber layer 1 10.
  • an oxygen containing gas such as O2, N 2 , N 2 O, NO2, H 2 O, O3, or the like
  • an inert gas may also be supplied with the etching gas mixture to assist the profile control as needed.
  • the inert gas supplied in the gas mixture include Ar, He, Ne, Kr, Xe or the like.
  • the second gas mixture may include Cl 2 .
  • the chlorine containing gas and the inert gas is supplied in the second etching gas mixture at a ratio by flow volume between about 5 percent and about 80 percent.
  • the fluorine containing gas may be supplied at a flow rate by volume between about 10 seem and about 200 seem.
  • the inert gas may be supplied at a flow rate between about 2 seem and about 150 seem.
  • a RF source power is supplied to form a plasma from the second gas mixture therein.
  • the RF source power may be supplied at the second etching gas mixture between about 5 watts and about 200 watts and at a frequency between about 100K Hz and about 60M Hz, such as about 13.56 MHz.
  • a bias power may also be supplied to control of the direction of the plasma generated in the processing chamber so as to control vertical trajectory of the ions in the plasma.
  • the bias power may be supplied at between about 10 watts and about 500 watts.
  • the RF bias power may be pulsed with a duty cycle between about 10 to about 90 percent a frequency between about 100K Hz and about 60M Hz, such as about 13.56 MHz.
  • At least two types of the bias power are applied to the processing chamber during the second etching process.
  • a third type bias power a bias power supplied to the substrate pedestal 224 similar to the first type of bias power at block 304, e.g, close to the substrate, is utilized to direct ions generated in the plasma in a direction toward the substrate surface so as to produce an anisotropic etching process.
  • electrostatic charge may be accumulated on the substrate surface.
  • a fourth type of bias power a bias power applied through the chamber wall 209 to ground 206 similar to the second type of bias power at block 304, e.g, away from the substrate, may be utilized for a fourth period of time to assist removal of accumulated charge away from the substrate pedestal 224, thereby reducing charge accumulation on the substrate surface.
  • Both the third type and the fourth type of the RF bias powers may be applied to the processing chamber in pulse mode or continuous mode. In one embodiment, the third type and the fourth type of the RF bias power are applied to the processing chamber in pulse mode.
  • the plurality of RF bias powers may be pulsed with a duty cycle between about 10 to about 90 percent at a frequency between about 100K Hz and about 60M Hz, such as about 13.56 MHz.
  • the third type of bias power e.g., a bias power applied to the substrate pedestal
  • the fourth type of the bias power e.g., a bias power supplied through the chamber wall to ground
  • the fourth type of the bias power may be applied and pulsed for the fourth period of time between about 50 nano seconds and about 1000 nano seconds.
  • a ratio of the third period of time and the fourth period of time is controlled between about 1 :9 and about 4:1 .
  • the third type and the fourth type of the RF bias power may be repeatedly and alternatively performed until the absorber layer 1 10 is etched away from the substrate 102 exposing the underlying capping layer 108, as shown in Figure 1 C.
  • the third type of the RF power as pulsed may be controlled between about 5 watts and about 600 watts and the fourth type of the RF power as pulsed may be controlled between about 5 watts and about 600 watts.
  • Several process parameters may also be controlled while supplying the second etching gas mixture to perform the etching process.
  • the pressure of the processing chamber may be controlled at between about 0.2 milliTorr and about 20 milliTorr.
  • the third and the fourth bias power applied during etching of the absorber layer 1 10 at block 306 is controlled to be relatively high, as compared to the first and the second bias power applied during etching of the ARC layer 1 12 at block 304.
  • the endpoint for etching the ARC layer 1 12 (e.g., prior to etching the absorber layer 1 10) is not as critical as compared to the endpoint for etching the absorber layer (e.g., prior to etching the capping layer 108).
  • a higher RF bias power may be utilized to etch the ARC layer 1 12 so as to enhance a processing etch rate to increase process throughput.
  • a relatively lower RF bias power may be utilized to etch the absorber layer 1 10 with less ion bombardment so as to provide a gentle etching process with high selectivity to the underlying capping layer 108.
  • the third and the fourth bias power applied during etching of the ARC layer 1 12 at block 304 is controlled to be about 50 percent and about 200 percent lower than the first and the second bias power applied during etching of the absorber layer 1 10 at block 306.
  • the end point of the etching process at both blocks 304 and 306 may be controlled by time mode or other suitable methods.
  • the etching process at both blocks 304 and 306 may be terminated after performing between about 50 seconds and about 500 seconds until the ARC layer 1 12 and the absorber layer 1 10 are respectively removed from the photomask substrate 102, or the underlying surface of the capping layer 108 is exposed.
  • the etching process may be terminated by determination from an endpoint detector, such as an optical emission spectometry detector or other suitable detector as needed.
  • the photoresist layer 1 14 may be removed accordingly.
  • the remaining resist and protective layer is removed by ashing.
  • the removal process may be performed in-situ the etch reactor 200 in which the etching process performed at blocks 302-306 were performed.
  • the ashing or photoresist layer removal process may be eliminated as needed.
  • etching a ARC layer and an absorber layer are provided that advantageously improves trench attributes and profile with high selectivity over conventional processes. Accordingly, the method of etching an ARC layer and an absorber layer described herein advantageously facilitates fabrication of photomasks suitable for patterning features having small critical dimensions in EUV technologies.

Abstract

A method and apparatus for etching photomasks are provided herein. In one embodiment, a method of etching an ARC layer or an absorber layer disposed on a photomask includes transferring a film stack into an etching chamber, the film stack having an ARC layer or an absorber layer partially exposed through a patterned layer, providing a gas mixture including at least one fluorine containing gas in to a processing chamber, applying a source RF power to form a plasma from the gas mixture, applying a first type of RF bias power to the substrate for a first period of time, applying a second type of RF bias power away from the substrate for a second period of time, and etching the ARC layer or the absorber layer through the patterned layer in the presence of the plasma.

Description

METHOD FOR ETCHING EUV MATERIAL LAYERS UTILIZED
TO FORM A PHOTOMASK
BACKGROUND Field
[0001] Embodiments of the present invention generally relate to a method for plasma etching extreme ultraviolet (EUV) material layers and, more specifically, to a method for etching an EUV anti-reflective coating (ARC) layer and absorber layer during photomask fabrication.
Description of the Related Art
[0002] In the manufacture of integrated circuits (IC), or chips, patterns representing different layers of the chip are created by a chip designer. A series of reusable masks, or photomasks, are created from these patterns in order to transfer the design of each chip layer onto a semiconductor substrate during the manufacturing process. Mask pattern generation systems use precision lasers or electron beams to image the design of each layer of the chip onto a respective mask. The masks are then used much like photographic negatives to transfer the circuit patterns for each layer onto a semiconductor substrate. These layers are built up using a sequence of processes and translate into the tiny transistors and electrical circuits that comprise each completed chip. Thus, any defects in the mask may be transferred to the chip, potentially adversely affecting performance. Defects that are severe enough may render the mask completely useless. Typically, a set of 15 to 30 masks is used to construct a chip and can be used repeatedly.
[0003] A photomask is typically a glass or a quartz substrate giving a film stack having multiple layers, including an ARC layer, an absorber layer and a capping layer disposed on other film materials, if any, thereon. When manufacturing the photomask layer, a photoresist layer is typically disposed on the film stack to facilitate transferring features into the film stack during the subsequent patterning processes. During the patterning process, the circuit design is written onto the photomask by exposing portions of the photoresist to extreme ultraviolet light or ultraviolet light, making the exposed portions soluble in a developing solution. The soluble portion of the resist is then removed, allowing the exposed underlying film stack be etched. The etch process removes the film stack from the photomask at locations where the resist was removed, i.e., the exposed film stack is removed.
[0004] With the shrinkage of critical dimensions (CD), present optical lithography is approaching a technological limit at the 45 nanometer (nm) technology node. Next generation lithography (NGL) is expected to replace the conventional optical lithography method, for example, in the 32 nm technology node and beyond. There are several NGL candidates, such as extreme ultraviolet lithography (EUVL), electron projection lithography (EPL), ion projection lithography (IPL), nano-imprint, and X-ray lithography. Among these, EUVL is the most likely successor due to the fact that EUVL has most of the properties of optical lithography, which is a more mature technology compared to other NGL methods.
[0005] Accordingly, the film stack is being developed to have a new film scheme so as to work with the EUV technology to facilitate forming the photomask with desired features disposed thereon. The film stack may include multiple layers with different materials to be etched to form the desired features. Imprecise etch process control may result in CD bias, poor CD uniformity, undesired cross sectional profile and etch CD linearity and unwanted defects. It is believed that EUV technology may provide good CD uniformity, less etching bias, desired linearity, less line edge roughness, and high thickness uniformity and less defects.
[0006] In one etch process, known as dry etching, reactive ion etching, or plasma etching, a plasma is used to enhance a chemical reaction and etch the patterned film stack of the photomask. Undesirably, conventional etch processes often exhibit etch bias due to attack on the photoresist material utilized to pattern the film stack. As the photoresist or sidewall of the film stack is attacked during the etching process, the critical dimension of patterned resist is not accurately transferred to the film stack. Thus, conventional etch processes may not produce acceptable results for photomasks having CDs less than about 5 μηη. This results in non-uniformity of the etched features of the photomask and correspondingly diminishes the ability to produce features for devices having small CDs using the photomask. As the CDs of photomasks continue to shrink, the importance of etch uniformity increases. Thus, an etch process having high etch uniformity to the film stack disposed on the photomask for EUV technology is highly desirable.
[0007] Furthermore, high etching selectivity among each layer disposed in the film stack is also desired. As the material layers formed in the film stack may have similar film properties, poor selectivity often occurs while etching each layer disposed in the film stack. Poor etching selectivity may result in poor structure integrity, such as non-uniformity or a tapered profile formed on the top and/or sidewall of the formed structure on the substrate, thereby eventually leading to device failure. Therefore, high selectivity of an etching process is increasingly important to preserve profiles and thickness of a photoresist layer while etching an underlying materials in the film stack or the like, disposed underneath the photoresist layer.
[0008] Thus, there is a need for an improved etch process for forming a photomask for EUV technology.
SUMMARY
[0009] Embodiments of the present invention generally provide a method and apparatus for etching photomasks for EUV technologies. Embodiments of the present invention also generally relate to photomask manufacturing technology for binary, phase shift mask, opaque MoSi on glass, and EUV lithography. Embodiments of the present invention also generally relate to a method for plasma removal of ARC layer and absorber layer process for EUV applications.
[0010] In one embodiment, a method of etching an ARC layer or an absorber layer disposed on a photomask includes transferring a film stack into an etching chamber, the film stack having an ARC layer or an absorber layer partially exposed through a patterned layer, providing a gas mixture including at least one fluorine containing gas in to a processing chamber, applying a RF power source to form a plasma from the gas mixture, applying a first type of RF bias power to the substrate for a first period of time, applying a second type of RF bias power away from the substrate for a second period of time, and etching the ARC layer or the absorber layer through the patterned layer in the presence of the plasma.
[0011] In another embodiment, a method of etching an ARC layer or an absorber layer disposed on a photomask includes transferring a film stack into an etching chamber, the film stack having an ARC layer disposed on an absorber layer partially exposed through a patterned photoresist layer, providing a first gas mixture including at least one fluorine containing gas in to a processing chamber, applying a first source RF power to form a plasma from the first gas mixture to etch the ARC layer, applying a first type of RF bias power to the substrate for a first period of time, applying a second type of RF bias power away from the substrate for a second period of time, and etching the ARC layer through the patterned photoresist layer in the presence of the plasma.
[0012] In yet another embodiment, a method of etching an ARC layer and an absorber layer disposed on a photomask includes transferring a film stack into an etching chamber, the film stack having an ARC layer disposed on an absorber layer disposed therein partially exposed through a patterned photoresist layer, providing a first gas mixture including at least one fluorine containing gas in to a processing chamber, applying a first type of RF bias power to the substrate for a first period of time, applying a second type of RF bias power away from the substrate for a second period of time, etching the ARC layer through the patterned photoresist layer in the presence of the plasma, providing a second gas mixture including at least one fluorine containing gas in to the same processing chamber, applying a third type of RF bias power to the substrate for a third period of time, applying a fourth type of RF bias power away from the substrate for a fourth period of time, wherein first RF bias power is higher than the third RF bias power. BRIEF DESCRIPTION OF THE DRAWINGS
[0013] So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
[0014] Figure 1A-1 C depicts one embodiment of a sequence for manufacturing an EUV photomask in accordance with one embodiment of the invention;
[0015] Figure 2 depicts a schematic cross-sectional view of a processing chamber that may be utilized to fabricate a photomask in accordance with one embodiment of the present invention; and
[0016] Figure 3 depicts a flow diagram of a method for manufacturing a photomask in accordance with one embodiment of the present invention.
[0017] To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements and features of one embodiment may be beneficially incorporated in other embodiments without further recitation.
[0018] It is to be noted, however, that the appended drawings illustrate only exemplary embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
DETAILED DESCRIPTION
[0019] The present invention provides a method and apparatus for manufacturing a photomask substrate. More specifically, the invention relates to methods of etching of an ARC layer and an absorber layer disposed on a photomask substrate with improved etching control.
[0020] Figures 1A-1 C depicts a process sequence for manufacturing a photomask 190. The photomask 190 includes a film stack 100 disposed on the photomask 190 that may be utilized to form desired features (such as openings 1 18) on the photomask 190. As the exemplary embodiment depicted in Figure 1A, the photomask 190 may include a photomask substrate 102. The substrate 102 may be a quartz substrate (i.e., low thermal expansion silicon dioxide (S1O2)) layer. The photomask substrate 102 may have a rectangular shape having sides between about 5 inches to about 9 inches in length. The photomask substrate 102 may be between about 0.15 inches and about 0.25 inches thick. In one embodiment, the photomask substrate 102 is about 0.25 inches thick. An optional chromium containing layer 104, such as a chromium nitride (CrN) layer may be disposed to a backside of the photomask substrate 102 as needed.
[0021] An EUV reflective multi-material layer 106 is disposed on the photomask substrate 102. The reflective multi-material layer 106 may include at least one molybdenum layer 106a and a silicon layer 106b. Although the embodiment depicted in Figure 1A shows five pairs of a molybdenum layer 106a and a silicon layer 106b (alternating molybdenum layers 106a and the silicon layers 106b repeatedly formed on the photomask substrate 102), it is noted that a number of molybdenum layers 106a and the silicon layers 106b may be varied based on different process needs. In one particular embodiment, forty pairs of molybdenum layers 106a and the silicon layers 106b may be deposited to form the reflective multi-material layer 106. In one embodiment, the thickness of each single molybdenum layer 106a may be controlled at between about 1 A and about 10 A, such as about 3 A, and the thickness of the each single silicon layer 106b may be controlled at between about 1 A and about 10 A, such as about 4 A. The reflective multi-material layer 106 may have a total thickness between about 10 A and about 500 A. The reflective multi-material layer 106 may have an EUV light reflectivity of up to 70 % at 13.5 nm wavelength. The reflective multi-material layer 106 may have a total thickness between about 70 nm and about 140 nm.
[0022] A capping layer 108 is disposed on the reflective multi-material layer 106. The capping layer 108 may be fabricated by a metallic material, such as ruthenium (Ru) material, zirconium (Zr) material, or any other suitable material. In the embodiment depicted in Figure 1A-1 C, the capping layer 108 is a ruthenium (Ru) layer. The capping layer 108 may have a thickness between about 1 nm and about 10 nm, such as between about 2.5 nm and about 50 nm.
[0023] An absorber film stack 1 16 may be disposed on the capping layer 108. The absorber film stack 1 16 is an opaque and light-shielding layer configured to absorb portion of the light generated during the lithography process. The absorber film stack 1 16 may be in form of a single layer or a multilayer structure, such as including an anti-reflective coating (ARC) layer 1 12 disposed on an absorber layer 1 10, as the embodiments depicted in Figures 1A-1 C. In one embodiment, the absorber film stack 1 16 has a total film thickness between about 50 nm and about 200 nm. In one embodiment, the ARC layer 1 12 may have a thickness between about 3 nm an about 10 nm and the absorber layer may have a thickness between about 70 nm and about 90 nm. The total thickness of the absorber film stack 1 16 advantageously facilitates meeting the strict overall etch profile tolerance for EUV masks in sub-45 nm technology node applications.
[0024] In one embodiment, the absorber layer 1 10 may comprise tantalum- based materials with essentially no oxygen, for example tantalum silicide based materials, such as TaSi, nitrogenized tantalum boride-based materials, such as TaBN, and tantalum nitride-based materials, such as TaN. The ARC layer 1 12 may be fabricated from a tantalum and oxygen-based materials, such as TaN, TaON, or TaBN. In one embodiment, the composition of the ARC layer 1 12 corresponds to the composition of the absorber layer 1 10, and may comprise oxidized and nitrogenized tantalum and silicon based materials, such as TaSiON, when the absorber layer 1 10 comprises TaSi or TaSiN; tantalum boron oxide based materials, such as TaBO, when the absorber layer 1 10 comprises TaBN or TaN; and oxidized and nitrogenized tantalum-based materials, such as TaON or TaO, when the absorber layer 1 10 comprises TaN.
[0025] A patterned photoresist layer 1 14 is formed over the absorber film stack 1 16 having openings 1 18 formed therein that expose portions 120 of the absorber film stack 1 16 for etching. The openings 1 18 of the photoresist layer 1 14 may be patterned by a gas mixture including at least a H2 gas and a N2 gas. During patterning of the photoresist layer 1 14, a RF source power may be supplied to a coil formed in a processing chamber, such as the etch reactor 200 which will be further described below with reference to Figure 2, with or without applying a bias RF power to etch thereof forming the openings 1 18 in the photoresist layer 1 14. The photoresist layer 1 14 may comprise any suitable photosensitive resist materials, such as an e-beam resist (for example, a chemically amplified resist (CAR)), and deposited and patterned in any suitable manner. The photoresist layer may be deposited to a thickness between about 100 nm and about 1000 nm.
[0026] The photomask substrate 102 is transferred to an etching processing chamber, such as the etch reactor 200 to perform an etching process. The etching process is performed to etch the absorber film stack 1 16 and/or optionally the capping layer 108 exposed through the opening 1 18 defined by the photoresist layer 1 14. The etching process is performed to etch the ARC layer 1 12 and the absorber layer 1 10 until the underlying surface 122, 124 of the absorber layer 1 10 and the capping layer 108 are sequentially exposed, as shown in Figures 1 B and 1 C. The ARC layer 1 12 and the absorber layer 1 10 may continuously be etched using one process step, such as a single etchant chemistry, or separately etched by multiple steps in one or different etching processing chambers as needed. In one exemplary embodiment, the etching process for etching the ARC layer 1 12 and the absorber layer 1 10 described herein is performed in a single chamber using multiple steps. The patterns from the photoresist layer 1 14 are transferred into the absorber film stack 1 16 through the etching process. As the ARC layer 1 12 and the absorber layer 1 10 fabricated from similar materials, the etching gas mixture is configured to have high etching capability to etch different materials with similar properties as well as maintaining high selectivity to the underlying capping layer 108 so as to maintain desired sidewall profiles. Details of the etching process for the ARC layer 1 12 and the absorber layer 1 10 will be further described below with reference to Figure 3. [0027] Subsequently, a reflective multi-material layer etching process is performed to etch the reflective multi-material layer 106 as need to complete the feature transfer process to transfer features into the film stack 100.
[0028] Figure 2 depicts a schematic diagram of an etch reactor 200. Suitable reactors that may be adapted for use with the teachings disclosed herein include, for example, the Decoupled Plasma Source (DP) II reactor, or the Tetra I, Tetra II, Tetra X Photomask etch systems, all of which are available from Applied Materials, Inc. of Santa Clara, California. The particular embodiment of the reactor 200 shown herein is provided for illustrative purposes and should not be used to limit the scope of the invention. It is contemplated that the invention may be utilized in other plasma processing chambers, including those from other manufacturers.
[0029] The reactor 200 generally comprises a processing chamber 202 having a substrate pedestal 224 within a conductive body, and a controller 246. The conductive body includes a chamber wall 209 and a bottom 204 enclosed by a flat dielectric ceiling or lid 208. The chamber 202 may have other types of ceilings, e.g., a dome-shaped ceiling. The chamber 202 may be coupled to ground 206 through the chamber wall 209.
[0030] An antenna 210 is disposed above the ceiling 208 and comprises one or more inductive coil elements that may be selectively controlled (two co-axial elements 210a and 210b are shown in Figure 2). The antenna 210 is coupled through a first matching network 214 to a plasma power source 212, which is typically capable of producing up to about 3000 W at a tunable frequency in a range from about 100 Hz to about 50 kHz, such as about 13.56 MHz.
[0031] The substrate pedestal (cathode) 224 is coupled through a second matching network 242 through a first connecting line 251 to a biasing power source 240. The second network 242 may further coupled to the chamber wall 209 to ground 206 through a second connecting line 253. The bias power supplied from the biasing power source 204 to the chamber wall 209 to the ground 206 compared to the bias power supplied to the substrate pedestal (cathode) 224 may be configured substantially the same, similar, or different as needed to meet different process requirements. The biasing power source 240 generally is a source of up to about 500 W at a frequency of between about 100K Hz and about 60M Hz, such as approximately 13.56 MHz, that is capable of producing either continuous or pulsed bias power. Alternatively, the biasing power source 240 may be a DC or pulsed DC source. In some cases wherein a bias power is not needed, the biasing power source 240 may also be grounded through the second matching network 242 to ground the 206 by the chamber wall 209.
[0032] In one embodiment, the substrate support pedestal 224 comprises an electrostatic chuck 260, which has at least one clamping electrode 232 and is controlled by a chuck power supply 266. In alternative embodiments, the substrate pedestal 224 may comprise substrate retention mechanisms such as a susceptor clamp ring, a mechanical chuck, and the like.
[0033] A reticle adapter 282 is used to secure the substrate (e.g., photomask or reticle), such as the substrate 102 depicted in Figures 1A-1 C, onto the substrate support pedestal 224. The reticle adapter 282 generally includes a lower portion 284 that covers an upper surface of the pedestal 224 (for example, the electrostatic chuck 260) and a top portion 286 having an opening 288 that is sized and shaped to hold the substrate 102. The opening 288 is generally substantially centered with respect to the pedestal 224. The adapter 282 is generally formed from a single piece of etch resistant, high temperature resistant material such as polyimide ceramic or quartz. An edge ring 226 may cover and/or secure the adapter 282 to the pedestal 224.
[0034] A lift mechanism 238 is used to lower or raise the adapter 282 and the substrate 102 onto or off of the substrate support pedestal 224. The lift mechanism 238 comprises a plurality of lift pins 230 (one lift pin is shown) that travel through respective guide holes 236.
[0035] A gas panel 220 is coupled to the processing chamber 202 to provide process and/or other gases to the interior of the processing chamber 202. In the embodiment depicted in Figure 2, the gas panel 220 is coupled to one or more inlets 216 formed in a channel 218 in the sidewall 204 of the chamber 202. It is contemplated that the one or more inlets 216 may be provided in other locations, for example, in the ceiling 208 of the processing chamber 202. [0036] In one embodiment, the gas panel 220 is adapted to provide fluorinated process gases through the inlets 216 and into the interior of the body of the processing chamber 202. During processing, a plasma is formed from the process gas and maintained through inductive coupling of power from the plasma power source 212. The plasma may alternatively be formed remotely or ignited by other methods. In one embodiment, the process gas provided from the gas panel 220 includes at least a fluorinated gas and a carbon containing gas, an oxygen gas, and an chlorine containing gas. Examples of fluorinated and carbon containing gases include CHF3 and CF . Other fluorinated gases may include one or more of C2H2F2, C2F, C2F6, C4F6, C4F8, C3F8, SF6 and C5F8. Examples of the oxygen containing gas include O2, CO2, CO, N2O, NO2, O3, H2O, and the like. Examples of the chlorine containing gas include CI2, CCI4, CHCI3, CH2CI2, CH3CI, and the like.
[0037] The pressure in the processing chamber 202 is controlled using a throttle valve 262 and a vacuum pump 264. The vacuum pump 264 and throttle valve 262 are capable of maintaining chamber pressures in the range of about 0.2 to about 20 mTorr.
[0038] In operation, the temperature of the substrate 102 is controlled by stabilizing the temperature of the substrate pedestal 224. In one embodiment, the substrate support pedestal 224 comprises a resistive heater 244 and a heat sink 228. The resistive heater 244 generally comprises at least one heating element 234 and is regulated by a heater power supply 268.
[0039] An optional ion-radical shield 270 is disposed in the chamber 202 above the pedestal 224. The ion-radical shield 270 is electrically isolated from the chamber sidewalls 204 and the pedestal 224 such that no ground path from the plate to ground is provided. One embodiment of the ion-radical shield 270 comprises a substantially flat plate 272 and a plurality of legs 276 supporting the plate 272. The plate 272, which may be made of a variety of materials compatible with process needs, comprises one or more openings (apertures) 274 that define a desired open area in the plate 272. This open area controls the amount of ions that pass from a plasma formed in an upper process volume 278 of the processing chamber 202 to a lower process volume 280 located between the ion-radical shield 270 and the substrate 102. The greater the open area, the more ions can pass through the ion-radical shield 270. As such, the size of the apertures 274 controls the ion density in volume 280, and the shield 270 serves as an ion filter. The plate 272 may also comprise a screen or a mesh wherein the open area of the screen or mesh corresponds to the desired open area provided by apertures 274. Alternatively, a combination of a plate and screen or mesh may also be used.
[0040] During processing, a potential develops on the surface of the plate 272 as a result of electron bombardment from the plasma. The potential attracts ions from the plasma, effectively filtering them from the plasma, while allowing neutral species, e.g., radicals, to pass through the apertures 274 of the plate 272. Thus, by reducing the amount of ions through the ion-radical shield 270, etching of the mask by neutral species or radicals can proceed in a more controlled manner. This reduces erosion of the resist as well as sputtering of the resist onto the sidewalls of the patterned material layer, thus resulting in improved etch bias and critical dimension uniformity.
[0041] Figure 3 is a flow diagram of one embodiment of a method 300 for etching an ARC layer and an absorber layer formed in a film stack disposed on a photomask, such as the ARC layer 1 12 and the absorber layer 1 10 of the film stack 100 depicted in Figures 1A-1 C. Although the method 300 is described below with reference to a substrate utilized to fabricate a photomask, the method 300 may also be used to advantage in other photomask etching or any etching applications.
[0042] The method 300, which may be stored in computer readable form in the memory 248 of the controller 246 or other storage medium, begins at block 302 when the photomask substrate 102 is transferred to and placed on a support pedestal 224 disposed in an etch reactor, such as the etch reactor 200 depicted in Figure 2. In one embodiment, the photomask substrate 102 rests in the opening 288 of the adapter 282. The photomask substrate 102, as described above, includes an optically transparent silicon based material, such as quartz (i.e., silicon dioxide (S1O2)) layer having the ARC layer 1 12 and the absorber layer 1 10 disposed thereon having portions 120 of ARC layer 1 12 exposed by the patterned photoresist layer for etching, as shown in Figure 1A. The patterned photoresist layer 1 14 may serve as a mask layer to protect some portion of the ARC layer 1 12 from being etched during the ARC layer etching process. As discussed above, as the ARC layer 1 12 may have similar film properties to the absorber layer 1 10, the process of etching the ARC layer requires to have high selectivity attacking sidewalls of the ARC layer 1 12 defined by the patterned photoresist layer 1 14 during etching. Therefore, well selected process parameters along with a gas mixture are chosen to etch the ARC layer 1 12 and the absorber layer 1 10 that has high capability for etching both ARC layer 1 12 and later the absorber layer 1 10 while having high selectivity to the exposed sidewalls and the underlying capping layer 108.
[0043] At block 304, a first etching process is performed to etch the ARC layer 1 12, as shown in Figure 1 B. In one embodiment, a first etching gas mixture is supplied into the etch reactor to etch the portions 120 of the ARC layer 1 12 exposed by the patterned photoresist layer 1 14 until the underlying absorber layer 1 10 is exposed.
[0044] In one embodiment, the first etching gas mixture includes at least a fluorine containing gas, a chlorine containing gas and/or a bromide containing gas or other suitable aggressive etchants. Suitable examples of the fluorine containing gas includes CF4, CHF3, CH2F2, C2F6, C2F8, SF6, NF3 C4F8 and the like. Examples of the chlorine-containing gas include Cl2, HCI, SiCI4, BCI3, CCI4, CHCI3, CH2CI2, CH3CI, combinations thereof and the like. Examples of the bromide containing gas include HBr, Br2, combinations thereof, and the like. As the fluorine element is an aggressive etchant, the fluorine containing gas supplied in the etching gas mixture is utilized to etch away portions of the ARC layer 1 12, to transfer desired features from the photoresist layer 1 14 into the ARC layer 1 12.
[0045] In an alternative embodiment, an oxygen containing gas, such as O2, N2, N2O, NO2, H2O, O3, or the like, and an inert gas may also be supplied into the etching gas mixture to assist the profile control as needed. Examples of the inert gas supplied in the gas mixture include Ar, He, Ne, Kr, Xe or the like. [0046] In one particular embodiment, the first gas mixture may include C4F8, C4F8, and He. In an exemplary embodiment, the fluorine containing gas and the inert gas is supplied in the etching gas mixture at a ratio by flow volume between about 5 percent and about 80 percent. Alternatively, the fluorine containing gas may be supplied at a flow rate by volume between about 1 seem and about 200 seem. The He gas may be supplied at a flow rate between about 2 seem and about 150 seem.
[0047] After the first etching gas mixture is supplied into the processing chamber, a RF power is supplied to form a plasma from the first gas mixture therein. The RF source power may be supplied at the first etching gas mixture between about 50 watts and about 3000 watts and at a frequency between about 100K Hz and about 60M Hz, such as about 13.56 MHz.
[0048] A bias power may also be supplied to control of the direction of the plasma generated in the processing chamber so as to control vertical trajectory of the ions in the plasma. The bias power may be supplied at between about 5 watts and about 500 watts. In one embodiment, the RF bias power may be pulsed with a duty cycle between about 10 to about 90 percent at a RF frequency between about 500 Hz and about 10 kHz.
[0049] In order to provide good control of the feature profile and critical dimension during etching, the bias power as applied may be controlled in a manner to reduce micro-loading effect to the substrate. During processing, electrostatic charge may accumulate on the substrate surface. Excess accumulated charge on the substrate surface may result in non-uniform plasma distribution across the substrate surface, thereby resulting in non-uniform etching results on the substrate surface. Furthermore, excess charge accumulated on the substrate may also result in arcing at certain times during the etching process, which may not only cause damage to the substrate, but also cause damage to the chamber components which may adversely shorten the life of the chamber component. Therefore, a plurality of bias power pulses applying to different components of the chamber may be utilized to efficiently reduce charge accumulation. [0050] In one embodiment, at least two types of the bias power are applied to the processing chamber during the first etching process. In an initial stage of the etching process, a first type bias power supplied to the substrate pedestal 224, e.g, close to the substrate, is utilized to direct ions generated in the plasma in a direction toward the substrate surface so as to produce an anisotropic etching process. After the etching process is performed for a first period of time, electrostatic charge may be generated and accumulated on the substrate surface. Accordingly, a second type of bias power, a bias power applied through the chamber wall 209 to ground 206, e.g, away from the substrate, may be utilized for a second period of time to assist remove charge accumulated away from the substrate pedestal 224, thereby reducing charge accumulation on the substrate surface. Both the first type and the second type of the RF bias powers may be applied to the processing chamber in pulse mode or continuous mode. In one embodiment, the first type and the second type of the RF bias power are applied to the processing chamber in pulse mode. The plurality of RF bias powers may be pulsed with a duty cycle between about 10 to about 90 percent at a RF frequency between about 100K Hz and about 60M Hz, such as about 13.56M Hz.
[0051] By utilizing a plurality of bias powers applied to different chamber components, electrostatic charge generated during the plasma etching process may be efficiently directed away from the substrate surface, thereby reducing the likelihood of damage to both the substrate and the chamber components, e.g., process kits. In one embodiment, the first type of bias power, e.g, a bias power supplied to the substrate pedestal, may be applied and pulsed for the first period of time between about 50 nano seconds and about 1000 nano seconds. Subsequently, the second type of the bias power, e.g, a bias power supplied through the chamber wall to ground, may be applied and pulsed for the second period of time between about 50 nano seconds and about 1000 nano seconds. In one embodiment, a ratio of the first period of time and the second period of time is controlled between about 1 :9 and about 4:1 . The first type and the second type of the RF bias power may be repeatedly and alternatively performed until the ARC layer 1 12 is etched away from the substrate 102 exposing the underlying absorber layer 1 10, as shown in Figure 1 B.
[0052] In one embodiment, the first type of the RF power as pulsed may be controlled between about 5 watts and about 600 watts and the second type of the RF power as pulsed may be controlled between about 5 watts and about 600 watts.
[0053] Several process parameters may also be controlled while supplying the first etching gas mixture to perform the etching process. The pressure of the processing chamber may be controlled at between about 0.2 milliTorr and about 20 milliTorr.
[0054] At block 306, a second etching process is performed to etch the absorber layer 1 10, as shown in Figure 1 C. In one embodiment, a second etching gas mixture is supplied into the etch reactor to etch the portions 122 of the absorber layer 1 10 exposed by the patterned photoresist layer 1 14 until the underlying capping layer 108 is exposed.
[0055] In one embodiment, the second etching gas mixture includes at least a fluorine containing gas, a chlorine containing gas and/or a bromide containing gas or other suitable aggressive etchants. Suitable examples of the fluorine containing gas includes CF4, CHF3, CH2F2, C2F6, C4F8, SF6, NF3 and the like. Examples of the chlorine-containing gas include Cl2, HCI, SiCI , BCI3, CCI , CHCI3, CH2CI2, CH3CI, combinations thereof and the like. Examples of the bromide containing gas include HBr, Br2, combinations thereof, and the like. As the fluorine element is an aggressive etchant, the fluorine containing gas supplied in the etching gas mixture is utilized to etch away portions of the absorber layer 1 10 to form desired features into the absorber layer 1 10.
[0056] In an alternative embodiment, an oxygen containing gas, such as O2, N2, N2O, NO2, H2O, O3, or the like, and an inert gas may also be supplied with the etching gas mixture to assist the profile control as needed. Examples of the inert gas supplied in the gas mixture include Ar, He, Ne, Kr, Xe or the like.
[0057] In one particular embodiment, the second gas mixture may include Cl2. In an exemplary embodiment, the chlorine containing gas and the inert gas is supplied in the second etching gas mixture at a ratio by flow volume between about 5 percent and about 80 percent. Alternatively, the fluorine containing gas may be supplied at a flow rate by volume between about 10 seem and about 200 seem. The inert gas may be supplied at a flow rate between about 2 seem and about 150 seem.
[0058] After the second etching gas mixture is supplied into the processing chamber, a RF source power is supplied to form a plasma from the second gas mixture therein. The RF source power may be supplied at the second etching gas mixture between about 5 watts and about 200 watts and at a frequency between about 100K Hz and about 60M Hz, such as about 13.56 MHz.
[0059] A bias power may also be supplied to control of the direction of the plasma generated in the processing chamber so as to control vertical trajectory of the ions in the plasma. The bias power may be supplied at between about 10 watts and about 500 watts. In one embodiment, the RF bias power may be pulsed with a duty cycle between about 10 to about 90 percent a frequency between about 100K Hz and about 60M Hz, such as about 13.56 MHz.
[0060] Similar to the description above at block 304 for etching the ARC layer 1 12, when etching the absorber layer 1 10, electrostatic charge may also accumulate on the substrate surface during processing. Therefore, a plurality of bias power pulses applied to different components of the chamber may be utilized to efficiently reduce charge accumulation during the absorber layer etching process at block 306.
[0061] In one embodiment, at least two types of the bias power are applied to the processing chamber during the second etching process. In the initial stage of the etching process, a third type bias power, a bias power supplied to the substrate pedestal 224 similar to the first type of bias power at block 304, e.g, close to the substrate, is utilized to direct ions generated in the plasma in a direction toward the substrate surface so as to produce an anisotropic etching process. After the etching process is performed for a third period of time, electrostatic charge may be accumulated on the substrate surface. Accordingly, a fourth type of bias power, a bias power applied through the chamber wall 209 to ground 206 similar to the second type of bias power at block 304, e.g, away from the substrate, may be utilized for a fourth period of time to assist removal of accumulated charge away from the substrate pedestal 224, thereby reducing charge accumulation on the substrate surface. Both the third type and the fourth type of the RF bias powers may be applied to the processing chamber in pulse mode or continuous mode. In one embodiment, the third type and the fourth type of the RF bias power are applied to the processing chamber in pulse mode. The plurality of RF bias powers may be pulsed with a duty cycle between about 10 to about 90 percent at a frequency between about 100K Hz and about 60M Hz, such as about 13.56 MHz.
[0062] By utilizing a plurality of bias powers applied to different chamber components, electrostatic charge generated during the plasma etching process may be efficiently directed away from the substrate surface, thereby reducing likelihood of damage to both the substrate and the chamber components, e.g., process kits. In one embodiment, the third type of bias power, e.g, a bias power applied to the substrate pedestal, may be applied and pulsed for the third period of time between about 50 nano seconds and about 1000 nano seconds. Subsequently, the fourth type of the bias power, e.g, a bias power supplied through the chamber wall to ground, may be applied and pulsed for the fourth period of time between about 50 nano seconds and about 1000 nano seconds. In one embodiment, a ratio of the third period of time and the fourth period of time is controlled between about 1 :9 and about 4:1 . The third type and the fourth type of the RF bias power may be repeatedly and alternatively performed until the absorber layer 1 10 is etched away from the substrate 102 exposing the underlying capping layer 108, as shown in Figure 1 C.
[0063] In one embodiment, the third type of the RF power as pulsed may be controlled between about 5 watts and about 600 watts and the fourth type of the RF power as pulsed may be controlled between about 5 watts and about 600 watts.
[0064] Several process parameters may also be controlled while supplying the second etching gas mixture to perform the etching process. The pressure of the processing chamber may be controlled at between about 0.2 milliTorr and about 20 milliTorr. [0065] The third and the fourth bias power applied during etching of the absorber layer 1 10 at block 306 is controlled to be relatively high, as compared to the first and the second bias power applied during etching of the ARC layer 1 12 at block 304. In a particular embodiment when the absorber layer 1 10 and the ARC layer 1 12 is configured to be both etched in a single processing chamber, the endpoint for etching the ARC layer 1 12 (e.g., prior to etching the absorber layer 1 10) is not as critical as compared to the endpoint for etching the absorber layer (e.g., prior to etching the capping layer 108). Thus, in this case, a higher RF bias power may be utilized to etch the ARC layer 1 12 so as to enhance a processing etch rate to increase process throughput. After the ARC layer 1 12 is etched away, then a relatively lower RF bias power may be utilized to etch the absorber layer 1 10 with less ion bombardment so as to provide a gentle etching process with high selectivity to the underlying capping layer 108. In one embodiment, the third and the fourth bias power applied during etching of the ARC layer 1 12 at block 304 is controlled to be about 50 percent and about 200 percent lower than the first and the second bias power applied during etching of the absorber layer 1 10 at block 306.
[0066] The end point of the etching process at both blocks 304 and 306 may be controlled by time mode or other suitable methods. For example, the etching process at both blocks 304 and 306 may be terminated after performing between about 50 seconds and about 500 seconds until the ARC layer 1 12 and the absorber layer 1 10 are respectively removed from the photomask substrate 102, or the underlying surface of the capping layer 108 is exposed. In another embodiment, the etching process may be terminated by determination from an endpoint detector, such as an optical emission spectometry detector or other suitable detector as needed.
[0067] At block 308, after the desired profile and/or the structure of the film stack 100 is formed on the photomask substrate 102, the photoresist layer 1 14 may be removed accordingly. In one embodiment, the remaining resist and protective layer is removed by ashing. The removal process may be performed in-situ the etch reactor 200 in which the etching process performed at blocks 302-306 were performed. In the embodiment wherein the photoresist layer 1 14 is consumed and used up during the etching process, the ashing or photoresist layer removal process may be eliminated as needed.
[0068] Thus, methods for etching a ARC layer and an absorber layer are provided that advantageously improves trench attributes and profile with high selectivity over conventional processes. Accordingly, the method of etching an ARC layer and an absorber layer described herein advantageously facilitates fabrication of photomasks suitable for patterning features having small critical dimensions in EUV technologies.
[0069] While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims

Claims:
1 . A method of etching an ARC layer or an absorber layer disposed on a photomask, comprising:
transferring a film stack into an etching chamber, the film stack having an ARC layer or an absorber layer partially exposed through a patterned layer; providing a gas mixture including at least one fluorine containing gas in to a processing chamber;
applying a source RF power to form a plasma from the gas mixture;
applying a first type of RF bias power to the substrate for a first period of time;
applying a second type of RF bias power away from the substrate for a second period of time; and
etching the ARC layer or the absorber layer through the patterned layer in the presence of the plasma.
2. The method of claim 1 , wherein ARC layer or an absorber includes at least one of a tantalum containing layer.
3. The method of claim 1 , wherein the substrate including the ARC layer is disposed on the absorber layer.
4. The method of claim 1 , wherein applying the first type of RF bias power comprises:
applying the first type of RF bias power to a substrate support disposed in the processing chamber.
5. The method of claim 1 , wherein applying the second type of RF bias power comprises:
applying the second type of RF bias power through a chamber wall of the processing chamber to ground.
6. The method of claim 1 , wherein a time period ratio of the first period of time to the second period of time is controlled at a ratio between about 1 :9 and about 4:1 .
7. The method of claim 1 , wherein the first and the second type of the RF bias power are applied in pulsed mode.
8. The method of claim 1 , wherein the absorber layer is a TaN layer or TaBN layer and the ARC layer is a TaO or TaBO layer.
9. The method of claim 1 , wherein applying the source RF power further comprises:
providing a plasma source power of between about 50 watts to about 3000 watts.
10. The method of claim 1 , wherein applying the first type of RF bias power further comprises:
providing a first plasma bias power of between about 5 watts to about 600 watts.
1 1 . The method of claim 1 , wherein applying the second type of RF bias power further comprises:
providing a second plasma bias power of between about 5 watts to about 600 watts.
12. A method of etching an ARC layer or an absorber layer disposed on a photomask, comprising:
transferring a film stack into an etching chamber, the film stack having an ARC layer disposed on an absorber layer partially exposed through a patterned photoresist layer;
providing a first gas mixture including at least one fluorine containing gas in to a processing chamber; applying a first source RF power to form a plasma from the first gas mixture to etch the ARC layer;
applying a first type of RF bias power to the substrate for a first period of time;
applying a second type of RF bias power away from the substrate for a second period of time; and
etching the ARC layer through the patterned photoresist layer in the presence of the plasma.
13. The method of claim 12, further comprising:
providing a second gas mixture including at least one chlorine containing gas in to the processing chamber;
applying a second source RF power to form a plasma from the first gas mixture to etch the ARC layer;
applying a third type of RF bias power to the substrate for a third period of time;
applying a fourth type of RF bias power away from the substrate for a fourth of time; and
etching the absorber layer through the patterned photoresist and ARC layer in the presence of the plasma.
14. The method of claim 13, wherein the first RF bias power is higher than the third RF bias power, wherein the first RF bias power is higher than the third RF bias power between about 50 percent and about 200 percent.
15. The method of claim 12, wherein the first period of time to the second period of time is controlled at a ratio between about 1 :9 and about 4:1 and the third period of time to the fourth period of time is controlled at a ratio between about 1 :9 and about 4:1 .
16. The method of claim 12, wherein the first type of RF bias power is applied to a substrate support disposed in the processing chamber and the second type of RF bias power is applied through a chamber wall of the processing chamber to ground.
17. The method of claim 13, wherein the third type of RF bias power is applied to a substrate support disposed in the processing chamber and the fourth type of RF bias power is applied through a chamber wall of the processing chamber to ground.
PCT/US2013/068462 2012-11-30 2013-11-05 Method for etching euv material layers utilized to form a photomask WO2014085037A1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201261731874P 2012-11-30 2012-11-30
US61/731,874 2012-11-30
US13/750,888 2013-01-25
US13/750,888 US8778574B2 (en) 2012-11-30 2013-01-25 Method for etching EUV material layers utilized to form a photomask

Publications (1)

Publication Number Publication Date
WO2014085037A1 true WO2014085037A1 (en) 2014-06-05

Family

ID=50825768

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2013/068462 WO2014085037A1 (en) 2012-11-30 2013-11-05 Method for etching euv material layers utilized to form a photomask

Country Status (3)

Country Link
US (1) US8778574B2 (en)
TW (1) TW201439668A (en)
WO (1) WO2014085037A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022240704A1 (en) * 2021-05-12 2022-11-17 Applied Materials, Inc. Pulsing plasma treatment for film densification

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10553428B2 (en) 2017-08-22 2020-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflection mode photomask and fabrication method therefore
US10818479B2 (en) 2017-11-12 2020-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Grounding cap module, gas injection device and etching apparatus
US10453684B1 (en) * 2018-05-09 2019-10-22 Applied Materials, Inc. Method for patterning a material layer with desired dimensions
DE102019110706A1 (en) 2018-09-28 2020-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. METHOD FOR PRODUCING EUV PHOTO MASKS
US11106126B2 (en) * 2018-09-28 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing EUV photo masks
US11221554B2 (en) 2020-01-17 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. EUV masks to prevent carbon contamination
US11915932B2 (en) * 2021-04-28 2024-02-27 Applied Materials, Inc. Plasma etching of mask materials

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080070128A1 (en) * 2006-09-15 2008-03-20 Applied Materials, Inc. Method of etching extreme ultraviolet light (euv) photomasks
JP2009212220A (en) * 2008-03-03 2009-09-17 Toshiba Corp Reflection-type mask and method of making the same
KR20100035559A (en) * 2008-09-26 2010-04-05 주식회사 에스앤에스텍 Blank mask for euv and photomask manufactured thereof
KR20100038275A (en) * 2008-10-04 2010-04-14 호야 가부시키가이샤 Method of manufacturing reflective mask
JP2010109164A (en) * 2008-10-30 2010-05-13 Toshiba Corp Method of correcting defect of euv mask

Family Cites Families (118)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (en) 1974-11-29 1977-05-31 Sateko Oy PROCEDURE AND PERFORMANCE OF LAYING BETWEEN THE STORAGE IN A LABOR PACKAGE
FI57975C (en) 1979-02-28 1980-11-10 Lohja Ab Oy OVER ANCHORING VIDEO UPDATE FOR AVAILABILITY
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US5294286A (en) 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
JPH0639357B2 (en) 1986-09-08 1994-05-25 新技術開発事業団 Method for growing element semiconductor single crystal thin film
DE3721637A1 (en) 1987-06-30 1989-01-12 Aixtron Gmbh GAS INLET FOR A MULTIPLE DIFFERENT REACTION GAS IN REACTION VESSELS
DE3743938C2 (en) 1987-12-23 1995-08-31 Cs Halbleiter Solartech Process for atomic layer epitaxy growth of a III / V compound semiconductor thin film
JPH0824191B2 (en) 1989-03-17 1996-03-06 富士通株式会社 Thin film transistor
GB2237303A (en) 1989-10-28 1991-05-01 Services Tech Sedco Forex Method of quantitative analysis of drilling fluid products
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5483919A (en) 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
JP3047541B2 (en) 1991-08-22 2000-05-29 株式会社日立製作所 Reflective mask and defect repair method
US5480818A (en) 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
JP3265042B2 (en) 1993-03-18 2002-03-11 東京エレクトロン株式会社 Film formation method
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
FI97731C (en) 1994-11-28 1997-02-10 Mikrokemia Oy Method and apparatus for making thin films
FI97730C (en) 1994-11-28 1997-02-10 Mikrokemia Oy Equipment for the production of thin films
FI100409B (en) 1994-11-28 1997-11-28 Asm Int Method and apparatus for making thin films
US6084302A (en) 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
US6159297A (en) 1996-04-25 2000-12-12 Applied Materials, Inc. Semiconductor process chamber and processing method
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5835677A (en) 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
US5923056A (en) 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
WO1998039025A2 (en) 1997-03-03 1998-09-11 Adcock Ingram Limited A composition comprising a carrier and a purified mycobacterial lipid cell-wall component and its use in the prevention, treatment and diagnosis of disease
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5866795A (en) 1997-03-17 1999-02-02 Applied Materials, Inc. Liquid flow rate estimation and verification by direct liquid measurement
KR100378205B1 (en) 1997-07-09 2003-03-29 미쓰이 가가쿠 가부시키가이샤 Process for preparing hydroperoxides
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100385946B1 (en) 1999-12-08 2003-06-02 삼성전자주식회사 Method for forming a metal layer by an atomic layer deposition and a semiconductor device with the metal layer as a barrier metal layer, an upper electrode, or a lower electrode of capacitor
KR100269306B1 (en) 1997-07-31 2000-10-16 윤종용 Integrate circuit device having buffer layer containing metal oxide stabilized by low temperature treatment and fabricating method thereof
KR100261017B1 (en) 1997-08-19 2000-08-01 윤종용 Method for forming metal wiring of semiconductor device
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US6197683B1 (en) 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
KR100274603B1 (en) 1997-10-01 2001-01-15 윤종용 Method and apparatus for fabricating semiconductor device
FI104383B (en) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Procedure for coating the inside of a plant
KR100269328B1 (en) 1997-12-31 2000-10-16 윤종용 Method for forming conductive layer using atomic layer deposition process
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
KR100282853B1 (en) 1998-05-18 2001-04-02 서성기 Apparatus for thin film deposition using cyclic gas injection
KR100267885B1 (en) 1998-05-18 2000-11-01 서성기 Deposition apparatus
NL1009327C2 (en) 1998-06-05 1999-12-10 Asm Int Method and device for transferring wafers.
KR100319888B1 (en) 1998-06-16 2002-01-10 윤종용 Method of forming selective metal layer and method of forming capacitor and filling contact hole using the same
KR100275738B1 (en) 1998-08-07 2000-12-15 윤종용 Method for producing thin film using atomatic layer deposition
KR100327328B1 (en) 1998-10-13 2002-05-09 윤종용 Method for forming dielectric layer of capacitor having partially different thickness in the layer
KR100297719B1 (en) 1998-10-16 2001-08-07 윤종용 Method for manufacturing thin film
KR100331544B1 (en) 1999-01-18 2002-04-06 윤종용 Method for introducing gases into a reactor chamber and a shower head used therein
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6358629B1 (en) 1999-03-31 2002-03-19 Mitsubishi Denki Kabushiki Kaisha Epoxy resin composition and semiconductor device using the same
KR100347379B1 (en) 1999-05-01 2002-08-07 주식회사 피케이엘 Atomic layer deposition apparatus for depositing multi substrate
FI118342B (en) 1999-05-10 2007-10-15 Asm Int Apparatus for making thin films
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
IT1312358B1 (en) 1999-06-17 2002-04-15 Stoppani Luigi Spa VITAMIN K ADDUCT, PARTICULARLY SUITABLE AS A VITAMIN SUPPLEMENT FOR FEED
KR100319494B1 (en) 1999-07-15 2002-01-09 김용일 Apparatus for Deposition of thin films on wafers through atomic layer epitaxial process
US6732391B2 (en) 1999-08-11 2004-05-11 Comfort Research, Llc Frameless chair
US6984415B2 (en) 1999-08-20 2006-01-10 International Business Machines Corporation Delivery systems for gases for gases via the sublimation of solid precursors
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6472107B1 (en) 1999-09-30 2002-10-29 Photronics, Inc. Disposable hard mask for photomask plasma etching
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
KR100330749B1 (en) 1999-12-17 2002-04-03 서성기 Thin film deposition apparatus for semiconductor
KR100624903B1 (en) 1999-12-22 2006-09-19 주식회사 하이닉스반도체 Method of manufacturing a capacitor in a semiconductor device
KR100705926B1 (en) 1999-12-22 2007-04-11 주식회사 하이닉스반도체 Method of manufacturing a capacitor in a semiconductor device
FI118474B (en) 1999-12-28 2007-11-30 Asm Int Apparatus for making thin films
FI118343B (en) 1999-12-28 2007-10-15 Asm Int Apparatus for making thin films
FI20000099A0 (en) 2000-01-18 2000-01-18 Asm Microchemistry Ltd A method for growing thin metal films
KR100378871B1 (en) 2000-02-16 2003-04-07 주식회사 아펙스 showerhead apparatus for radical assisted deposition
DE60125338T2 (en) 2000-03-07 2007-07-05 Asm International N.V. GRADED THIN LAYERS
TW576873B (en) 2000-04-14 2004-02-21 Asm Int Method of growing a thin film onto a substrate
KR100363088B1 (en) 2000-04-20 2002-12-02 삼성전자 주식회사 Method of manufacturing barrier metal layer using atomic layer deposition method
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
FI118805B (en) 2000-05-15 2008-03-31 Asm Int A method and configuration for introducing a gas phase reactant into a reaction chamber
KR100403611B1 (en) 2000-06-07 2003-11-01 삼성전자주식회사 Metal-insulator-metal capacitor and manufacturing method thereof
KR100332313B1 (en) 2000-06-24 2002-04-12 서성기 Apparatus and method for depositing thin film on wafer
KR100332314B1 (en) 2000-06-24 2002-04-12 서성기 Reactor for depositing thin film on wafer
KR100444149B1 (en) 2000-07-22 2004-08-09 주식회사 아이피에스 ALD thin film depositin equipment cleaning method
KR100396879B1 (en) 2000-08-11 2003-09-02 삼성전자주식회사 Semiconductor memory device having capacitor encapsulated by multi-layer which includes double layeres being made of same material and method of manufacturing thereof
KR100436941B1 (en) 2000-11-07 2004-06-23 주성엔지니어링(주) apparatus and method for depositing thin film
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
KR100869326B1 (en) 2000-11-30 2008-11-18 에이에스엠 인터내셔널 엔.브이. thin films for magnetic devices
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020197402A1 (en) 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6878402B2 (en) 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US20020073924A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US20020076481A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
KR100434487B1 (en) 2001-01-17 2004-06-05 삼성전자주식회사 Shower head & film forming apparatus having the same
US6844604B2 (en) 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
JP2002262392A (en) 2001-02-28 2002-09-13 Pioneer Electronic Corp Butterfly damper
FI109770B (en) 2001-03-16 2002-10-15 Asm Microchemistry Oy Growing transition metal nitride thin films by using compound having hydrocarbon, amino or silyl group bound to nitrogen as nitrogen source material
US7348042B2 (en) 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6583068B2 (en) 2001-03-30 2003-06-24 Intel Corporation Enhanced inspection of extreme ultraviolet mask
US20020144655A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. Gas valve system for a reactor
KR100363332B1 (en) 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
JP4680429B2 (en) 2001-06-26 2011-05-11 Okiセミコンダクタ株式会社 High speed reading control method in text-to-speech converter
TW539822B (en) 2001-07-03 2003-07-01 Asm Inc Source chemical container assembly
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US6806145B2 (en) 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US20030042630A1 (en) 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
KR20040012451A (en) * 2002-05-14 2004-02-11 어플라이드 머티어리얼스, 인코포레이티드 Methods for etching photolithographic reticles
US6913706B2 (en) 2002-12-28 2005-07-05 Intel Corporation Double-metal EUV mask absorber
US7605089B2 (en) 2003-05-19 2009-10-20 Nxp B.V. Method of manufacturing an electronic device
US8293430B2 (en) 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
JP6013720B2 (en) * 2010-11-22 2016-10-25 芝浦メカトロニクス株式会社 Reflective mask manufacturing method and reflective mask manufacturing apparatus
KR20130005804A (en) * 2011-07-07 2013-01-16 삼성전자주식회사 Method for forming magnetic tunnel junction structure

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080070128A1 (en) * 2006-09-15 2008-03-20 Applied Materials, Inc. Method of etching extreme ultraviolet light (euv) photomasks
JP2009212220A (en) * 2008-03-03 2009-09-17 Toshiba Corp Reflection-type mask and method of making the same
KR20100035559A (en) * 2008-09-26 2010-04-05 주식회사 에스앤에스텍 Blank mask for euv and photomask manufactured thereof
KR20100038275A (en) * 2008-10-04 2010-04-14 호야 가부시키가이샤 Method of manufacturing reflective mask
JP2010109164A (en) * 2008-10-30 2010-05-13 Toshiba Corp Method of correcting defect of euv mask

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022240704A1 (en) * 2021-05-12 2022-11-17 Applied Materials, Inc. Pulsing plasma treatment for film densification

Also Published As

Publication number Publication date
US20140154615A1 (en) 2014-06-05
TW201439668A (en) 2014-10-16
US8778574B2 (en) 2014-07-15

Similar Documents

Publication Publication Date Title
EP1686421B1 (en) Method for plasma etching a chromium layer suitable for photomask fabrication
US8778574B2 (en) Method for etching EUV material layers utilized to form a photomask
EP1901120B1 (en) Method of etching extreme ultraviolet light (EUV) photomasks
US7790334B2 (en) Method for photomask plasma etching using a protected mask
US8293430B2 (en) Method for etching a molybdenum layer suitable for photomask fabrication
US20130092655A1 (en) Method for etching an euv reflective multi-material layers utilized to form a photomask
US7879510B2 (en) Method for quartz photomask plasma etching
US7771894B2 (en) Photomask having self-masking layer and methods of etching same
JP2006215552A5 (en)
US10199224B2 (en) Method for improving CD micro-loading in photomask plasma etching
US9250514B2 (en) Apparatus and methods for fabricating a photomask substrate for EUV applications
US7786019B2 (en) Multi-step photomask etching with chlorine for uniformity control

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 13858819

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 13858819

Country of ref document: EP

Kind code of ref document: A1