WO2013095818A1 - Etch rate detection for anti-reflective coating layer and absorber layer etching - Google Patents

Etch rate detection for anti-reflective coating layer and absorber layer etching Download PDF

Info

Publication number
WO2013095818A1
WO2013095818A1 PCT/US2012/065330 US2012065330W WO2013095818A1 WO 2013095818 A1 WO2013095818 A1 WO 2013095818A1 US 2012065330 W US2012065330 W US 2012065330W WO 2013095818 A1 WO2013095818 A1 WO 2013095818A1
Authority
WO
WIPO (PCT)
Prior art keywords
layer
substrate
etching
reflected
endpoint
Prior art date
Application number
PCT/US2012/065330
Other languages
French (fr)
Inventor
Michael Grimbergen
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Publication of WO2013095818A1 publication Critical patent/WO2013095818A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Definitions

  • Embodiments of the present invention generally relate to the fabrication of integrated circuits and to the fabrication of photomasks useful in the manufacture of integrated circuits.
  • the next generation photomask as further discussed below is formed on a low thermal expansion glass or a quartz substrate having a multilayer film stack disposed thereon.
  • the multilayer film stack may include an anti-reflective coating layer, an absorber layer, a capping layer, and a reflective multi-material layer.
  • a photoresist layer is disposed on the film stack to facilitate transferring features into the film stack during the subsequent patterning processes.
  • the circuit design is written onto the photomask by exposing portions of the photoresist to extreme ultraviolet light or ultraviolet light, making the exposed portions soluble in a developing solution.
  • the soluble portion of the resist is then removed, allowing the underlying film stack exposed through the remaining photoresist be etched.
  • the etch process removes the film stack from the photomask at locations where the resist was removed, i.e., the exposed film stack is removed.
  • NGL Next generation lithography
  • EUVL extreme ultraviolet lithography
  • EPL electron projection lithography
  • IPL ion projection lithography
  • X-ray lithography X-ray lithography
  • the film stack is being developed to have a new film scheme so as to work with the EUV technology to facilitate forming the photomask with desired features disposed thereon.
  • the film stack may include multiple layers with different new materials to be etched to form the desired features. Imprecise etch process and etch endpoint control may result in critical dimension (CD) bias, poor critical dimension (CD) uniformity, undesired cross sectional profile and etch critical dimension (CD) linearity and unwanted defects. It is believed that EUV technology may provide good CD uniformity, less etching bias, desired linearity, less line edge roughness, and high thickness uniformity and less defectivity.
  • the new developed film stack described above includes an anti- reflective coating layer, an absorber layer, a capping and a reflective multi- material layer, obtaining precise etching endpoint for each of the layers being etched is becoming more and more difficult.
  • Inaccurate etch endpoint control will often result in etch bias which may result in accurate transfer of the patterns to the film stack with desired critical dimension less than about 5 ⁇ , such as about 50 nm to about 500 nm.
  • desired critical dimension less than about 5 ⁇ , such as about 50 nm to about 500 nm.
  • the critical dimensions of photomask continue to shrink, the importance of accurate etching endpoint control increases.
  • an accurate etching process endpoint control to the film stack disposed on the photomask for EUV technology is highly desirable.
  • a method of determining an etching endpoint of a tantalum containing layer disposed on a substrate during an etching process includes performing an etching process on a first tantalum containing layer disposed on a first surface of a substrate through a patterned mask layer in a plasma etch chamber, directing radiation having a first wavelength from about 200 nm and about 800 nm to an area of the first tantalum containing layer uncovered by the patterned mask layer during the etching process, collecting an optical signal reflected from the area uncovered by the patterned mask layer, analyzing a waveform obtained from the reflected optical signal, and determining a first endpoint of the etching process when a slope of the waveform change by about 5 percent or greater.
  • a method of determining an etching endpoint of a tantalum containing layer disposed on a substrate during an etching process includes performing an etching process on a tantalum and oxygen containing layer disposed on a first surface of a substrate through a patterned mask layer in a plasma etch chamber, directing a first radiation source having a first wavelength from about 200 nm and about 800 nm from the first surface of the substrate to an area uncovered by the patterned mask layer, collecting a first optical signal reflected from the area covered by the patterned mask layer to obtain a first waveform from the reflected first optical signal, analyzing a first waveform obtained the reflected first optical signal reflected from the first surface of the substrate from a first time point to a second time point, determining a first endpoint of the etching process when a slope of the waveform is changed about 5 percent or greater from the first time point to the second time point, continuing etching a tantalum containing and oxygen free layer disposed between the
  • a method of determining an etching endpoint of a tantalum containing layer disposed on a substrate during an etching process includes performing an etching process on a tantalum and oxygen containing layer disposed on a first surface of a substrate through a patterned mask layer in a plasma etch chamber, directing a first radiation source having a first wavelength from about 220 nm from the first surface of the substrate to an area uncovered by the patterned mask layer, collecting a first optical signal reflected from the area covered by the patterned mask layer to obtain a first waveform from the reflected first optical signal, analyzing a first waveform obtained the reflected first optical signal reflected from the first surface of the substrate, determining a first endpoint of the etching process when the reflected first optical signal becomes saturated, continuing etching a tantalum containing and oxygen free layer disposed between the tantalum containing and oxygen free layer and substrate, directing a second radiation source having a second wavelength about 230 nm from the
  • Figure 1 illustrates a etch chamber incorporating one embodiment of the present invention
  • Figure 2 illustrates schematically structures of one embodiment of the photomasks during fabrication
  • Figure 3 illustrates a flow diagram regarding an endpoint determination process during fabrication process depicted in Figure 2;
  • Figure 4A illustrates one embodiment of optical signals detected for etch rate determination for etching an antireflective coating layer
  • Figure 4B illustrates one embodiment of optical signals detected for etch rate determination for etching an bulk absorber layer.
  • the present invention provides a method and apparatus for etching a photomask substrate with enhanced process monitoring, for example, by providing for optical monitoring at certain regions of the photomask to obtain desired etch rate or endpoint determination.
  • the discussions and illustrative examples focus on the etching rate detection and process endpoint determination during an etching process of an anti-reflective coating layer and an absorber layer disposed on a photomask substrate, various embodiments of the invention can also be adapted for process monitoring of other suitable substrates, including transparent or dielectric substrates, or optical disks.
  • FIG. 1 is a schematic cross sectional view of a plasma etch chamber 10 in accordance with one embodiment of the invention.
  • Suitable plasma etch chambers that may be adapted to practice the invention include the TetraTM II photomask etch chamber or the Decoupled Plasma Source (DPSTM) chamber available from Applied Materials, Inc., of Santa Clara, California.
  • DPSTM Decoupled Plasma Source
  • Other suitably adapted process chambers may also be used in connection with embodiments of the invention, including, for example, capacitive coupled parallel plate chambers and magnetically enhanced ion etch chambers, as well as inductively coupled plasma etch chambers of different designs.
  • the particular embodiment of the etch chamber 10 shown herein is provided for illustrative purposes and should not be used to limit the scope of the invention. It is contemplated that the invention may be utilized in other processing systems, including those from other manufacturers.
  • the etch chamber 10 generally includes a cylindrical sidewall or chamber body 12, an energy transparent chamber lid 13 mounted on the body 12, and a chamber bottom 17.
  • the chamber lid 13 may be flat, rectangular, arcuate, conical, dome or multi-radius shaped.
  • At least one inductive coil 26 is disposed above at least a portion of the chamber lid 13. In the embodiment depicted in Figure 1 , two concentric coils 26 are shown.
  • the chamber body 12 and the chamber bottom 17 of the etch chamber 10 can be made of a metal, such as anodized aluminum, and the chamber lid 13 can be made of an energy transparent material such as a ceramic or other dielectric material.
  • a substrate support member 16 is disposed in the etch chamber 10 to support a substrate 102 during processing.
  • the support member 16 may be a conventional mechanical or electrostatic chuck with at least a portion of the support member 16 being electrically conductive and capable of serving as a process bias cathode.
  • a photomask adapter may be used to secure the photomask on the support member 16.
  • the photomask adapter generally includes a lower portion configured to cover an upper portion of the support member and a top portion having an opening that is sized and shaped to hold a photomask. In one embodiment, the top portion of the photomask adapter has a square opening.
  • a suitable photomask adapter is disclosed in U.S. Patent No. 6,251 ,217, issued on Jun. 26, 2001 , which is incorporated herein by reference.
  • Process gases are introduced into the etch chamber 10 from a process gas source 48 through a gas distributor 22 peripherally disposed about the support member 16 and/or disposed in the chamber lid 13.
  • Mass flow controllers (not shown) for each process gas, or alternatively, for mixtures of the process gas, are disposed between the etch chamber 10 and the process gas source 48 to regulate the respective flow rates of the process gases.
  • a plasma zone 14 is defined in the etch chamber 10 between the substrate support member 16 and the chamber lid 13.
  • a plasma is generated in the plasma zone 14 from the process gases by supplying power from a power supply 27 to the inductive coils 26 through an RF match network 35.
  • the support member 16 may include an electrode disposed therein, which is powered by an electrode power supply 28 and generates a capacitive electric field in the etch chamber 10 through an RF match network 25.
  • RF power is applied to the electrode in the support member 16 while the body 12 is electrically grounded.
  • the capacitive electric field which is transverse to the plane of the support member 16, influences the directionality of charged species to provide more anisotropic etching of the substrate 102.
  • Process gases and etchant byproducts are exhausted from the etch chamber 10 through an exhaust port 34 to an exhaust system 30.
  • the exhaust port 34 may be disposed in the bottom 17 of the etch chamber 10 or may be disposed in the body 12 of the etch chamber 10 for removal of process gases.
  • a throttle valve 32 is provided in the exhaust port 34 for controlling the pressure in the etch chamber 10.
  • an etch rate (i.e., endpoint) detection system 164 operatively coupled to the etch chamber 10.
  • At least one optical access ports or viewports are provided in different regions of the substrate support member 16, lid 13 and/or chamber body 12.
  • the optical access port comprises respectively a window 192 at a central region 16C of the chamber lid 13.
  • the endpoint detection system 164 is configured to detect optical signals through the window 192. It is noted that more than one window may be formed in the chamber lid 13 or other locations of the etch chamber 10 which allows optical monitoring of various locations on a photomask substrate 102 from its surface during etching.
  • a side window 193 may be formed on the chamber wall 15 having a second etch rate detection system 195 coupled thereto to facilitate etch rate determination process.
  • a camera 199 may be disposed adjacent to the etch rate detection system 164 to assist viewing the substrate 102 through the same optical view port as the endpoint detection system 164 so as to confirm that the radiation from the etch rate detection system 164 is directed to a correct location on the substrate surface for detection.
  • the optical access port may generally comprise a flat window made of quartz or other materials that transmit light over a broad wavelength spectrum and resist plasma etching. A more detailed discussion of different optical configurations will be provided further below.
  • the endpoint detection system 164 comprises optical setup for operating in at least one of reflection, interferometry or transmission modes, and is configured for different types of measurements such as reflectance or transmittance, interferometry, or optical emission spectroscopy.
  • endpoints may be detected based on a change in the reflectance or transmittance intensities, the number of interference fringes, or changes in optical emission intensities at specific wavelengths, or a combination thereof.
  • the endpoint detection system 164 is configured to detect a process endpoint based on a change in the reflectance reflected from an etched substrate surface.
  • the reflection mode of operation allows reflectance (or reflectometry) and interferometric measurement to be performed.
  • the endpoint detection system 164 generally comprises a light source 166, a focusing assembly 168 for focusing an incident optical beam 176 from the light source 166 onto a discreet area (spot) 180 on the surface of substrate 102, and a photodetector 170 for measuring the intensity of a reflected optical beam 178 reflected off the spot 180 of the substrate 102.
  • Any adjustment mechanism 196 may be provided to set an angle of incidence 197 of the beam 176 so that the spot 180 may be selectively located on a desired location on the substrate 102.
  • the adjustment mechanism 196 may be an actuator, set screw or other device suitable for setting the angle of incidence 197 by moving (tilting) the endpoint detection system 164 itself or a component therein, such as with an optical beam positioned 184, further discussed below.
  • the photodetector 170 may be a single wavelength or multi-wavelength detector, or a spectrometer.
  • a computer system 172 Based on the measured signal of the reflected optical beam 178, a computer system 172 calculates portions of the real-time waveform and compares it with a stored characteristic waveform pattern to extract information relating to the etch process. In one embodiment, the calculation may be based on slope changes or other characteristic changes in the detected signals, either in reflection or transmission mode, for example, when a film is etched to a target depth.
  • the calculation may be based on interferometric signals as the depth of a trench or the thickness of a film changes during etching.
  • more detailed calculations may be performed based on interferometric signals obtained over a wide spectrum in order to determine the depth or thickness at any point in the etch process to determine etch rate of the object being etched.
  • the light source 166 may be monochromatic, polychromatic, white light, or other suitable light source.
  • the optical signal from the reflected optical beam 178 may be analyzed to extract information regarding the presence or absence of a layer ⁇ e.g., an anti-reflective coating layer or an absorber layer), or the thickness of certain material layers within the spot 180.
  • the intensity of the incident optical beam 176 is selected to be sufficiently high to provide a reflected optical beam 178 with a measurable intensity.
  • the lamp can also be switched on and off to subtract background light.
  • the light source 166 provides polychromatic light, e.g., from an Hg- Cd lamp, an arc lamp, or a light emitting diode (LED) or LED array, which generate light in wavelength ranges from about 170 nm to about 800 nm, or about 200 to 800 nm, for example about 250 nm to about 800 nm respectively.
  • the polychromatic light source 166 can be filtered to provide an incident optical beam 176 having selected frequencies. Color filters can be placed in front of the photodetector 170 to filter out all wavelengths except for the desired wavelength of light, prior to measuring the intensity of the reflected optical beam 178 entering the photodetector 170.
  • the light can be analyzed by a spectrometer (array detector with a wavelength-dispersive element) to provide data over a wide wavelength range, such as ultraviolet to visible, from about 200 nm to 800 nm.
  • the light source 166 can also comprise a flash lamp, e.g., a Xe or other halogen lamp, or a monochromatic light source that provides optical emission at a selected wavelength, for example, a He-Ne or ND-YAG laser.
  • the light source may be configured to operate in a continuous or pulsed mode.
  • the wavelength range may be expanded into the deep UV as low as 170 nm or beyond using optical materials with stable deep UV transmission and purging air paths with inert gas or other suitable carrier gas, such as nitrogen gas.
  • One or more convex focusing lenses 174A, 174B may be used to focus the incident optical beam 176 to the spot 180 on the substrate surface, and to focus the reflected optical beam 178 back on the active surface of photodetector 170.
  • the spot 180 should be sufficiently large to compensate for variations in surface topography of the substrate 102 and device design features. This enables detection of etch endpoints for high aspect ratio features having small openings, such as vias or deep narrow trenches, which may be densely present or more isolated.
  • the area of the reflected optical beam 178 should be sufficiently large to activate a large portion of the active light- detecting surface of the photodetector 170.
  • the incident and reflected optical beams 176, 178 are directed through the transparent window 192 in the etch chamber 10 that allows the optical beams to pass in and out of the processing environment.
  • the diameter of the beam spot 180 is generally about 2 mm to about 10 mm. However, if the beam spot 180 encompasses large isolated areas of the substrate 102 containing only a small number of etched features, it may be necessary to use a larger beam spot in order to encompass a greater number of etched features. The size of the beam spot can therefore be optimized, depending on the design features for a particular device. If the signal is sufficient, a large beam spot or field of view will enable process control without precisely matching the position of the substrate support hole and the etched area of the substrate giving rise to the signal.
  • the optical beam positioner 184 may be used to move the incident optical beam 176 across the substrate 102 to locate a suitable portion of the substrate surface on which to position the beam spot 180 to monitor an etching process.
  • the optical beam positioner 184 may include one or more primary mirrors 186 that rotate at small angles to deflect the optical beam from the light source 166 onto different positions of the substrate surface. Additional secondary mirrors may be used (not shown) to direct the reflected optical beam 178 on the photodetector 170.
  • the optical beam positioner 184 may also be used to scan the optical beam in a raster pattern across the surface of the substrate 102.
  • the optical beam positioner 184 comprises a scanning assembly consisting of a movable stage (not shown), upon which the light source 166, the focusing assembly 168 and the photodetector 170 are mounted.
  • the movable stage can be moved through set intervals by a drive mechanism, such as a stepper motor or galvanometer, to scan the beam spot 180 across the substrate 102.
  • the photodetector 170 comprises a light-sensitive electronic component, such as a photovoltaic cell, photodiode, phototransistor, or photomultipher, which provides a signal in response to a measured intensity of the reflected optical beam 178.
  • the signal can be in the form of a change in the level of a current passing through an electrical component or a change in a voltage applied across an electrical component.
  • the photodetector 170 can also comprise a spectrometer (array detector with a wavelength-dispersive element) to provide data over a wide wavelength range, such as ultraviolet to visible, from about 170 nm to 800 nm.
  • the reflected optical beam 178 undergoes constructive and/or destructive interference which increases or decreases the intensity of the optical beam, and the photodetector 170 provides an electrical output signal in relation to the measured intensity of the reflected optical beam 178.
  • the electrical output signal is plotted as a function of time to provide a spectrum having numerous waveform patterns corresponding to the varying intensity of the reflected optical beam 178.
  • a plasma signal e.g., plasma emission generated in the plasma zone
  • a computer program on a computer system 172 analyzes the shape of the measured waveform pattern of the reflected optical beam 178 to determine the endpoint of the etching process.
  • the waveform generally has a sinusoidal-like oscillating shape, with the trough of each wavelength occurring when the depth of the etched feature causes the return signal to be 180 degrees out of phase with the return signal reflected by the overlaying layer.
  • the endpoint may be determined by calculating the etch rate using the measured waveform, phase information of the measured waveform and/or comparison of the measured waveform to a reference waveform. As such, the period of the interference signal may be used to calculate the depth and etch rate.
  • the program may also operate on the measured waveform to detect a characteristic waveform, such as, an inflection point indicative of a phase difference between light reflected from different layers.
  • a characteristic waveform such as, an inflection point indicative of a phase difference between light reflected from different layers.
  • the operations can be simple mathematic operations, such as evaluating a moving derivative to detect an inflection point.
  • FIG. 2 shows a photomask substrate 102 with a film stack 200 disposed thereon for etching that may be monitored by different etch rate detection techniques of the present invention.
  • the film stack 200 disposed on the photomask substrate 102 that may be utilized to form desired features (i.e., openings 218) in the film stack 200.
  • the photomask substrate 102 may be a quartz substrate or a special low thermal expansion glass substrate.
  • the photomask substrate 102 has a rectangular shape having sides between about 5 inches to about 9 inches in length.
  • the photomask substrate 102 may be between about 0.15 inches and about 0.25 inches thick. In one embodiment, the photomask substrate 102 is about 0.25 inches thick.
  • An optional chromium containing layer 204 such as a chromium nitride (CrN) layer may be disposed to a backside of the photomask substrate 102 as needed.
  • CrN chromium nitride
  • An EUV reflective multi-material layer 206 is disposed on the photomask substrate 102.
  • the reflective multi-material layer 206 may include at least one molybdenum layer 206a and a silicon layer 206b.
  • the embodiment depicted in Figure 2 shows five pairs of molybdenum layer 206a and silicon layer 206b (alternating molybdenum layers 206a and the silicon layers 206b repeatedly formed on the photomask substrate 102), it is noted that number of molybdenum layers 206a and the silicon layers 206b may be varied based on different process needs. In one particular embodiment, forty pairs of molybdenum layers 206a and the silicon layers 206b may be deposited to form the reflective multi-material layer 206.
  • each single molybdenum layer 206a may be controlled at between about 10 A and about 100 A, such as about 30 A, and the thickness of the each single silicon layer 106b may be controlled at between about 10 A and about 100 A, such as about 40 A.
  • the reflective multi-material layer 206 may have a total thickness between about 100 A and about 5000 A.
  • the reflective multi-material layer 206 may have an EUV light reflectivity of up to 70 % at 13.5 nm wavelength.
  • the reflective multi-material layer 206 may have a total thickness between about 70 nm and about 140 nm.
  • a capping layer 208 is disposed on the reflective multi- material layer 206.
  • the capping layer 208 may be fabricated by a metallic material, such as ruthenium (Ru) material, zirconium (Zr) material, or any other suitable material.
  • the capping layer 208 is a ruthenium (Ru) layer.
  • the capping layer 208 has a thickness between about 1 nm and about 10 nm.
  • An absorber layer 216 may then be disposed on the capping layer 208.
  • the absorber layer 216 is an opaque and light-shielding layer configured to absorb portion of the light generated during the lithography process.
  • the absorber layer 216 may be in form of a single layer or a multi-layer structure, such as including an antireflective coating layer 212 disposed on a bulk absorber layer 210, as the embodiments depicted in Figures 2.
  • the absorber layer 216 has a total film thickness between about 50 nm and about 200 nm. The total thickness of the absorber layer 216 advantageously facilitates meeting the strict overall etch profile tolerance for EUV masks in sub-45 nm technology node applications.
  • the bulk absorber layer 210 may comprise tantalum-based materials with essentially no oxygen, for example tantalum silicide based materials, such as TaSi, nitrogenized tantalum boride-based materials, such as TaBN, and tantalum nitride-based materials, such as TaN.
  • tantalum silicide based materials such as TaSi
  • nitrogenized tantalum boride-based materials such as TaBN
  • tantalum nitride-based materials such as TaN.
  • the antireflective coating layer 212 may be fabricated from a tantalum and oxygen-based materials.
  • the composition of the antireflective coating layer 212 corresponds to the composition of the bulk absorber layer 210 and may comprise oxidized and nitrogenized tantalum and silicon based materials, such as TaSiON, when the bulk absorber layer 210 comprises TaSi or TaSiN; tantalum boron oxide based materials, such as TaBO, when the bulk absorber layer 210 comprises TaBN; and oxidized and nitrogenized tantalum-based materials, such as TaON, when the bulk absorber layer 210 comprises TaN.
  • the antireflective coating layer 212 can also comprise TaO.
  • a patterned photoresist layer 214 is then formed over the absorber layer 216 having openings 218 formed therein that expose portions 220 of the absorber layer 216 for etching.
  • the photoresist layer 214 may comprise any suitable photosensitive resist materials, such as an e-beam resist (for example, a chemically amplified resist (CAR)), and deposited and patterned in any suitable manner.
  • the photoresist layer may be deposited to a thickness between about 100 nm and about 1000 nm.
  • the photomask substrate 102 is readily to be transferred to an etching processing chamber, such as the etch reactor 100 depicted with referenced to Figure 1 , to perform an etching process.
  • the embodiment depicted in Figure 2 shows a portion 224 of the absorber layer 216 has been etched away and the endpoint detection system 164 is turned on during the etching process to monitor the etching progress to determine a proper etching process endpoint, which will be discussed in detail further below with referenced to Figures 3-4B.
  • the etching process is performed to etch the absorber layer 216 and the capping layer 208 exposed through the opening 218 defined by the photoresist layer 214.
  • the etching process is performed to etch the absorber layer 216 and the capping layer 208 until the underlying surface of the reflective multi-material layer 206 is exposed.
  • the antireflective coating layer 212 and the bulk absorber layer 210 may be continuously etched using one process step, such as a single etchant chemistry, or separately etched by multiple steps in one or different etching processes as needed.
  • the patterns from the photoresist layer 214 are then transferred into the absorber layer 216 through the etching process.
  • a reflective multi-material layer etching process is performed to etch the reflective multi-material layer 206.
  • the reflective multi- material etching process uses an etching gas mixture configured to etch the reflective multi-material layer 206 until a desired depth of the reflective multi- material layer 206 is removed, or the underlying photomask substrate 102 is exposed.
  • the etching gas mixture as selected is configured to have high etching capability to etch different materials as well as maintaining high selectivity to the upper capping layer 208 and the absorber layer 216 so as to maintain desired sidewall profiles to complete the photomask manufacture process.
  • Figure 3 is a flow diagram of one embodiment of a method 300 for etching an absorber layer formed in a film stack disposed on a photomask, such as the absorber layer 216 formed in the film stack 200 depicted in Figure 2, and determining an etching process endpoint for etching the absorber layer 216.
  • a method 300 for etching an absorber layer formed in a film stack disposed on a photomask, such as the absorber layer 216 formed in the film stack 200 depicted in Figure 2, and determining an etching process endpoint for etching the absorber layer 216.
  • the method 300 is described below with reference to a substrate utilized to fabricate a photomask, the method 300 may also be used to advantage in other photomask etching or any etching applications.
  • the method 300 begins at block 302 when the photomask substrate 102 is transferred to and placed on a substrate support member disposed in an etch reactor, such as the etching chamber depicted in Figure 1 .
  • the photomask substrate 102 includes an optically transparent silicon based material, such as quartz or low thermal expansion glass layer having the absorber layer 216 disposed thereon having portions 222 of absorber layer 216 exposed by the patterned photoresist layer 214 readily for etching.
  • an etching process is performed to etch the absorber layer 216 disposed on the substrate 102.
  • the patterned photoresist layer 214 may serve as a mask layer to protect some portion of the absorber layer 216 from being etched during the absorber layer etching process.
  • the etching process endpoint detection for etching the absorber layer 216 can be monitored either in reflection or transmission mode, and reflectance, transmittance and/or interferometric signals can be performed. In one particular embodiment depicted therein, the process endpoint detection for etching the absorber layer 216 is monitored in reflection mode.
  • halogen-containing gases are typically used for etching different materials found on the film stack 200 of the photomask structure.
  • a process gas containing chlorine may be used for etching an absorber layer (e.g., a tantalum containing layer).
  • a fluorine-containing gas such as trifluoromethane (CHF 3 ) or tetrafluoromethane (CF ) may also be used for etching quartz.
  • a fluorine- containing gas such as trifluoromethane (CHF 3 ) or tetrafluoromethane (CF 4 ) is often used to etch a TaO or TaBO antireflection layer while more selective chlorine and oxygen gas combinations are used to etch the TaN or TaBN absorber layer.
  • CHF 3 trifluoromethane
  • CF 4 tetrafluoromethane
  • an incident optical beam 750 from the endpoint detection system 164 is directed to the etched substrate surface.
  • the incident optical beam 750 as shown in Figure 2, from the etch rate detection system 164 is directed, through one of the windows in the chamber lid, onto one or more areas of the photomask substrate 102.
  • the incident optical beam 750 is configured to be directed to the opening 218, such as open areas where the absorber layer 216 is exposed by the patterned photoresist layer 214 to be etched to form trenches, vias, and apertures for the film stack 200 as needed.
  • the plasma itself may be used as the light source.
  • a return beam 752 e.g., reflecting off the surface of etched absorber layer 216 within the openings 218 being etched and exposed, is detected by the photodetector 170 of the etch rate detection system 164.
  • the return beam may be plasma light reflected off the photomask at the directed areas.
  • the intensity of the reflected optical beam 752 changes overtime.
  • the time-varying intensity of the reflected optical beam 752 at a particular wavelength is then analyzed to determine at least one of the depth etched, the etch rate and the end point of the absorber layer etching process.
  • an etching process endpoint is determined by analyzing the waveform obtained from the detected reflected optical beam 752 reflected from the surface of the etched substrate.
  • the absorber layer 206 is a composite layer having the antireflective coating layer 212 disposed on the bulk absorber layer 210
  • the reflected optical beam 752 initially detected is for etching the antireflective coating layer 212.
  • the reflected optical beam 752 may be continued to be collected for determination of the endpoint of etching the bulk absorber layer 210.
  • the endpoint detection process may be split into a twp step process using two different wavelengths, or the endpoint detection process may be continuously performed using the same wavelength for detection until the whole bulk absorber layer 210 is etched away, exposing the underlying capping layer 208.
  • Figure 4A depicts one embodiment of optical signals as detected for etch rate determination for etching the antireflective coating layer 212 at a light wavelength at between about 200 nm and about 230 nm from the light source 166.
  • the optical signal 402, as shown in Figure 4A is plotted as a function of time to provide a waveform pattern corresponding to the varying intensity of the reflected optical beam 752 over time. The waveform pattern will be different at other wavelengths.
  • the optical signal 402 is detected real-time when a production substrate is etched in the etch reactor.
  • the intensity of the reflected optical beam 752 is gradually increasing as the underlying bulk absorber layer 210 is gradually exposing.
  • the intensity of the reflected optical beam 752 is gradually increasing until getting saturated.
  • the intensity of the reflected optical beam 752 is saturated and steady at a stable value 404 at a time point 406, it indicates the antireflective coating layer 212 has been etched away, exposing the underlying bulk absorber layer 210, thereby determining the time point 406 is the proper endpoint for etching away the antireflective coating layer 212.
  • an endpoint for etching the antireflective coating layer 212 may be determined when the intensity of the reflected optical beam 752 as detected is between about 1 percent and about 20 percent, such as between about 4 percent to 12 percent, for example about 5 percent or 10 percent, increased from the initial detected reflected optical beam 752 collected in a beginning time point 403 of the detection process.
  • the endpoint for etching the antireflective coating layer 212 may be determined when the slope of the optical signal 402 is initially small, then rising by at least two times, then becoming small. In other word, the endpoint for etching the antireflective coating layer 212 is changed about 100 percent from the original detected slope.
  • the endpoint for etching the antireflective coating layer 212 may be determined when the optical signal 402 has become saturated and remains in a steady state for about over than 3 seconds.
  • the process endpoint occurred at time point 406 is between about 10 seconds and about 25 seconds.
  • Figure 4B depicts one embodiment of the optical signal 752 as detected for etch rate determination for etching the bulk absorber layer 210 at a light wavelength at between about 200 nm and about 800 nm, such as between about 200 nm and about 240nm, from the light source 166 or the plasma source.
  • the optical signal 410 as shown in Figure 4B, is plotted as a function of time to provide a waveform pattern corresponding to the varying intensity of the reflected optical beam 752 over time when etching the bulk absorber layer 210.
  • the intensity of the reflected optical beam 752 is initially low and somewhat decreasing, and then gradually increases as the bulk absorber layer 210 is gradually etched away.
  • the intensity of the reflected optical beam 752 is gradually increasing until getting saturated and becoming constant.
  • the intensity of the reflected optical beam 752 is saturated and steady at a stable value 414 at a time point 412, it indicates the bulk absorber layer 210 has been substantially etched away, exposing the underlying capping layer 208, thereby determining the time point 412 is the proper endpoint for etching away the antireflective coating layer 212.
  • the reflectivity as detected raises when the underlying capping layer 208 is gradually exposing. The endpoint is then reached when the reflectivity raises and becomes constant.
  • an endpoint for etching the bulk absorber layer 210 may be determined when the intensity of the reflected optical beam 752 as detected is about 5 percent or greater increased from the initial detected reflected optical beam 752 collected from a beginning time point 408 of the detection process.
  • the endpoint for etching the bulk absorber layer 210 may be determined when the slope of the optical signal 410 is about 0.01 per 10 seconds, changing from about 0.23 to about 0.24 (plasma source). In other word, the endpoint for etching the antireflective coating layer 212 is changed about 5 percent or greater from the original detected slope.
  • the endpoint for etching the bulk absorber layer 210 may be determined when the optical signal 410 has become saturated and remains in a steady state (or no longer increasing) for about more than 10 seconds.
  • the process endpoint occurred at time point 412 is between about 25 seconds and about 175 seconds.
  • an etched absorber layer (either an antireflective coating or a bulk absorber layer), such as a Ta containing material, at a predetermined wavelength
  • proper process endpoints may be obtained by analyzing waveforms obtained from the reflected optical beam reflected from an etched substrate surface.
  • the embodiments of the present invention provide an improved apparatus and method with enhanced process monitoring and control capabilities. These improvements also allow reliable etch rate/loss of thickness and endpoint determination for absorber layer etching applications.

Abstract

A method and apparatus for etching a photomask substrate with enhanced process monitoring is provided. In one embodiment, a method of determining an etching endpoint includes performing an etching process on a first tantalum containing layer through a patterned mask layer, directing a radiation source having a first wavelength from about 200 nm and about 800 nm to an area uncovered by the patterned mask layer, collecting an optical signal reflected from the area covered by the patterned mask layer, analyzing a waveform obtained the reflected optical signal reflected from the substrate from a first time point to a second time point, and determining a first endpoint of the etching process when a slope of the waveform is changed about 5 percent from the first time point to the second time point.

Description

ETCH RATE DETECTION FOR ANTI-REFLECTIVE COATING LAYER AND ABSORBER LAYER ETCHING
BACKGROUND OF THE INVENTION
Field of the Invention
[0001] Embodiments of the present invention generally relate to the fabrication of integrated circuits and to the fabrication of photomasks useful in the manufacture of integrated circuits.
Description of the Related Art
[0002] In the manufacture of integrated circuits (IC), or chips, patterns representing different layers of the chip are created by a chip designer. A series of reusable masks, or photomasks, are created from these patterns in order to transfer the design of each chip layer onto a semiconductor substrate during the manufacturing process. Mask pattern generation systems use precision lasers or electron beams to image the design of each layer of the chip onto a respective mask. The masks are then used much like photographic negatives to transfer the circuit patterns for each layer onto a semiconductor substrate. These layers are built up using a sequence of processes and translate into the tiny transistors and electrical circuits that comprise each completed chip. Thus, any defects in the mask may be transferred to the chip, potentially adversely affecting performance. Defects that are severe enough may render the mask completely useless. Typically, a set of 15 to 30 masks is used to construct a chip and can be used repeatedly.
[0003] The next generation photomask as further discussed below is formed on a low thermal expansion glass or a quartz substrate having a multilayer film stack disposed thereon. The multilayer film stack may include an anti-reflective coating layer, an absorber layer, a capping layer, and a reflective multi-material layer. When manufacturing the photomask, a photoresist layer is disposed on the film stack to facilitate transferring features into the film stack during the subsequent patterning processes. During the patterning process, the circuit design is written onto the photomask by exposing portions of the photoresist to extreme ultraviolet light or ultraviolet light, making the exposed portions soluble in a developing solution. The soluble portion of the resist is then removed, allowing the underlying film stack exposed through the remaining photoresist be etched. The etch process removes the film stack from the photomask at locations where the resist was removed, i.e., the exposed film stack is removed.
[0004] With the shrink of critical dimensions (CD), present optical lithography is approaching a technological limit at the 45 nanometer (nm) technology node. Next generation lithography (NGL) is expected to replace the conventional optical lithography method, for example, in the 32 nm technology node and beyond. There are several NGL candidates, such as extreme ultraviolet (EUV) lithography (EUVL), electron projection lithography (EPL), ion projection lithography (IPL), nano-imprint, and X-ray lithography. Among these, EUVL is the most likely successor due to the fact that EUVL has most of the properties of optical lithography, which is a more mature technology as compared with other NGL methods.
[0005] Accordingly, the film stack is being developed to have a new film scheme so as to work with the EUV technology to facilitate forming the photomask with desired features disposed thereon. The film stack may include multiple layers with different new materials to be etched to form the desired features. Imprecise etch process and etch endpoint control may result in critical dimension (CD) bias, poor critical dimension (CD) uniformity, undesired cross sectional profile and etch critical dimension (CD) linearity and unwanted defects. It is believed that EUV technology may provide good CD uniformity, less etching bias, desired linearity, less line edge roughness, and high thickness uniformity and less defectivity.
[0006] As the new developed film stack described above includes an anti- reflective coating layer, an absorber layer, a capping and a reflective multi- material layer, obtaining precise etching endpoint for each of the layers being etched is becoming more and more difficult. Inaccurate etch endpoint control will often result in etch bias which may result in accurate transfer of the patterns to the film stack with desired critical dimension less than about 5 μιτι, such as about 50 nm to about 500 nm. This results in non-uniformity of the etched features of the photomask and correspondingly diminishes the ability to produce features for devices having small critical dimensions using the photomask. As the critical dimensions of photomask continue to shrink, the importance of accurate etching endpoint control increases. Thus, an accurate etching process endpoint control to the film stack disposed on the photomask for EUV technology is highly desirable.
[0007] Therefore, there is an ongoing need for improved etching endpoint process control in photomask fabrication, including improved apparatus and methods for collecting etch rate data and determining process endpoints.
SUMMARY OF THE INVENTION
[0008] The present invention provides a method and apparatus for etching a photomask substrate with enhanced process monitoring, for example, by providing for optical monitoring at certain regions of the photomask to obtain desired etch rate or thickness loss. In one embodiment, a method of determining an etching endpoint of a tantalum containing layer disposed on a substrate during an etching process includes performing an etching process on a first tantalum containing layer disposed on a first surface of a substrate through a patterned mask layer in a plasma etch chamber, directing radiation having a first wavelength from about 200 nm and about 800 nm to an area of the first tantalum containing layer uncovered by the patterned mask layer during the etching process, collecting an optical signal reflected from the area uncovered by the patterned mask layer, analyzing a waveform obtained from the reflected optical signal, and determining a first endpoint of the etching process when a slope of the waveform change by about 5 percent or greater.
[0009] In another embodiment, a method of determining an etching endpoint of a tantalum containing layer disposed on a substrate during an etching process includes performing an etching process on a tantalum and oxygen containing layer disposed on a first surface of a substrate through a patterned mask layer in a plasma etch chamber, directing a first radiation source having a first wavelength from about 200 nm and about 800 nm from the first surface of the substrate to an area uncovered by the patterned mask layer, collecting a first optical signal reflected from the area covered by the patterned mask layer to obtain a first waveform from the reflected first optical signal, analyzing a first waveform obtained the reflected first optical signal reflected from the first surface of the substrate from a first time point to a second time point, determining a first endpoint of the etching process when a slope of the waveform is changed about 5 percent or greater from the first time point to the second time point, continuing etching a tantalum containing and oxygen free layer disposed between the tantalum containing and oxygen free layer and substrate, directing a second radiation source having a second wavelength from about 200 nm and about 800 nm from the first surface of the substrate to an area uncovered by the patterned mask layer and the etched tantalum containing and oxygen free layer, collecting a second optical signal reflected from the area covered by the patterned mask layer and the etched tantalum and oxygen containing layer to obtain a second waveform from the reflected second optical signal, analyzing a second waveform obtained the reflected second optical signal reflected from the first surface of the substrate from a third time point to a fourth time point, and determining a second endpoint of the etching process when a slope of the waveform is changed about 5 percent or greater from the third time point to the fourth time point.
[0010] In yet another embodiment, a method of determining an etching endpoint of a tantalum containing layer disposed on a substrate during an etching process includes performing an etching process on a tantalum and oxygen containing layer disposed on a first surface of a substrate through a patterned mask layer in a plasma etch chamber, directing a first radiation source having a first wavelength from about 220 nm from the first surface of the substrate to an area uncovered by the patterned mask layer, collecting a first optical signal reflected from the area covered by the patterned mask layer to obtain a first waveform from the reflected first optical signal, analyzing a first waveform obtained the reflected first optical signal reflected from the first surface of the substrate, determining a first endpoint of the etching process when the reflected first optical signal becomes saturated, continuing etching a tantalum containing and oxygen free layer disposed between the tantalum containing and oxygen free layer and substrate, directing a second radiation source having a second wavelength about 230 nm from the first surface of the substrate to an area uncovered by the patterned mask layer and the etched tantalum containing and oxygen free layer, collecting a second optical signal reflected from the area covered by the patterned mask layer and the etched tantalum and oxygen containing layer to obtain a second waveform from the reflected second optical signal, analyzing a second waveform obtained the reflected second optical signal reflected from the first surface of the substrate, and determining a second endpoint of the etching process when the reflected second optical signal becomes saturated.
BRIEF DESCRIPTION OF THE DRAWINGS
[0011] So that the manner in which the above recited features, advantages and objects of the invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings.
[0012] It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
[0013] Figure 1 illustrates a etch chamber incorporating one embodiment of the present invention;
[0014] Figure 2 illustrates schematically structures of one embodiment of the photomasks during fabrication;
[0015] Figure 3 illustrates a flow diagram regarding an endpoint determination process during fabrication process depicted in Figure 2;
[0016] Figure 4A illustrates one embodiment of optical signals detected for etch rate determination for etching an antireflective coating layer; and
[0017] Figure 4B illustrates one embodiment of optical signals detected for etch rate determination for etching an bulk absorber layer.
[0018] To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements and features of one embodiment may be beneficially incorporated in other embodiments without further recitation.
[0019] It is to be noted, however, that the appended drawings illustrate only exemplary embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
DETAILED DESCRIPTION
[0020] The present invention provides a method and apparatus for etching a photomask substrate with enhanced process monitoring, for example, by providing for optical monitoring at certain regions of the photomask to obtain desired etch rate or endpoint determination. Although the discussions and illustrative examples focus on the etching rate detection and process endpoint determination during an etching process of an anti-reflective coating layer and an absorber layer disposed on a photomask substrate, various embodiments of the invention can also be adapted for process monitoring of other suitable substrates, including transparent or dielectric substrates, or optical disks.
[0021] Figure 1 is a schematic cross sectional view of a plasma etch chamber 10 in accordance with one embodiment of the invention. Suitable plasma etch chambers that may be adapted to practice the invention include the Tetra™ II photomask etch chamber or the Decoupled Plasma Source (DPS™) chamber available from Applied Materials, Inc., of Santa Clara, California. Other suitably adapted process chambers may also be used in connection with embodiments of the invention, including, for example, capacitive coupled parallel plate chambers and magnetically enhanced ion etch chambers, as well as inductively coupled plasma etch chambers of different designs. The particular embodiment of the etch chamber 10 shown herein is provided for illustrative purposes and should not be used to limit the scope of the invention. It is contemplated that the invention may be utilized in other processing systems, including those from other manufacturers.
[0022] The etch chamber 10 generally includes a cylindrical sidewall or chamber body 12, an energy transparent chamber lid 13 mounted on the body 12, and a chamber bottom 17. The chamber lid 13 may be flat, rectangular, arcuate, conical, dome or multi-radius shaped. At least one inductive coil 26 is disposed above at least a portion of the chamber lid 13. In the embodiment depicted in Figure 1 , two concentric coils 26 are shown. The chamber body 12 and the chamber bottom 17 of the etch chamber 10 can be made of a metal, such as anodized aluminum, and the chamber lid 13 can be made of an energy transparent material such as a ceramic or other dielectric material.
[0023] A substrate support member 16 is disposed in the etch chamber 10 to support a substrate 102 during processing. The support member 16 may be a conventional mechanical or electrostatic chuck with at least a portion of the support member 16 being electrically conductive and capable of serving as a process bias cathode. While not shown, a photomask adapter may be used to secure the photomask on the support member 16. The photomask adapter generally includes a lower portion configured to cover an upper portion of the support member and a top portion having an opening that is sized and shaped to hold a photomask. In one embodiment, the top portion of the photomask adapter has a square opening. A suitable photomask adapter is disclosed in U.S. Patent No. 6,251 ,217, issued on Jun. 26, 2001 , which is incorporated herein by reference.
[0024] Process gases are introduced into the etch chamber 10 from a process gas source 48 through a gas distributor 22 peripherally disposed about the support member 16 and/or disposed in the chamber lid 13. Mass flow controllers (not shown) for each process gas, or alternatively, for mixtures of the process gas, are disposed between the etch chamber 10 and the process gas source 48 to regulate the respective flow rates of the process gases.
[0025] A plasma zone 14 is defined in the etch chamber 10 between the substrate support member 16 and the chamber lid 13. A plasma is generated in the plasma zone 14 from the process gases by supplying power from a power supply 27 to the inductive coils 26 through an RF match network 35. The support member 16 may include an electrode disposed therein, which is powered by an electrode power supply 28 and generates a capacitive electric field in the etch chamber 10 through an RF match network 25. Typically, RF power is applied to the electrode in the support member 16 while the body 12 is electrically grounded. The capacitive electric field, which is transverse to the plane of the support member 16, influences the directionality of charged species to provide more anisotropic etching of the substrate 102.
[0026] Process gases and etchant byproducts are exhausted from the etch chamber 10 through an exhaust port 34 to an exhaust system 30. The exhaust port 34 may be disposed in the bottom 17 of the etch chamber 10 or may be disposed in the body 12 of the etch chamber 10 for removal of process gases. A throttle valve 32 is provided in the exhaust port 34 for controlling the pressure in the etch chamber 10.
[0027] In one embodiment, an etch rate (i.e., endpoint) detection system 164 operatively coupled to the etch chamber 10. At least one optical access ports or viewports, are provided in different regions of the substrate support member 16, lid 13 and/or chamber body 12. In the example shown in Figure 1 , the optical access port comprises respectively a window 192 at a central region 16C of the chamber lid 13. The endpoint detection system 164 is configured to detect optical signals through the window 192. It is noted that more than one window may be formed in the chamber lid 13 or other locations of the etch chamber 10 which allows optical monitoring of various locations on a photomask substrate 102 from its surface during etching. Alternatively, different numbers of windows may be provided at other locations of the lid 13, chamber body 12 and/or substrate support member 16 as needed. For example, a side window 193 may be formed on the chamber wall 15 having a second etch rate detection system 195 coupled thereto to facilitate etch rate determination process. A camera 199 may be disposed adjacent to the etch rate detection system 164 to assist viewing the substrate 102 through the same optical view port as the endpoint detection system 164 so as to confirm that the radiation from the etch rate detection system 164 is directed to a correct location on the substrate surface for detection.
[0028] In general, a larger window facilitates the installation of optical components. However, the size of the window, especially in the central region 16C of the chamber lid 13, is selected to be sufficiently large for optical monitoring, yet small enough to avoid potential adverse impact for the RF interference. Selecting a small window also improves the lateral temperature uniformity of the chamber lid 13. The optical access port may generally comprise a flat window made of quartz or other materials that transmit light over a broad wavelength spectrum and resist plasma etching. A more detailed discussion of different optical configurations will be provided further below. [0029] The endpoint detection system 164 comprises optical setup for operating in at least one of reflection, interferometry or transmission modes, and is configured for different types of measurements such as reflectance or transmittance, interferometry, or optical emission spectroscopy. Depending on the application of interest, e.g., the material layers or substrate structure being processed, endpoints may be detected based on a change in the reflectance or transmittance intensities, the number of interference fringes, or changes in optical emission intensities at specific wavelengths, or a combination thereof. In one particular embodiment depicted therein, the endpoint detection system 164 is configured to detect a process endpoint based on a change in the reflectance reflected from an etched substrate surface.
[0030] The reflection mode of operation allows reflectance (or reflectometry) and interferometric measurement to be performed. The endpoint detection system 164 generally comprises a light source 166, a focusing assembly 168 for focusing an incident optical beam 176 from the light source 166 onto a discreet area (spot) 180 on the surface of substrate 102, and a photodetector 170 for measuring the intensity of a reflected optical beam 178 reflected off the spot 180 of the substrate 102. Any adjustment mechanism 196 may be provided to set an angle of incidence 197 of the beam 176 so that the spot 180 may be selectively located on a desired location on the substrate 102. The adjustment mechanism 196 may be an actuator, set screw or other device suitable for setting the angle of incidence 197 by moving (tilting) the endpoint detection system 164 itself or a component therein, such as with an optical beam positioned 184, further discussed below. The photodetector 170 may be a single wavelength or multi-wavelength detector, or a spectrometer. Based on the measured signal of the reflected optical beam 178, a computer system 172 calculates portions of the real-time waveform and compares it with a stored characteristic waveform pattern to extract information relating to the etch process. In one embodiment, the calculation may be based on slope changes or other characteristic changes in the detected signals, either in reflection or transmission mode, for example, when a film is etched to a target depth. Alternatively, the calculation may be based on interferometric signals as the depth of a trench or the thickness of a film changes during etching. In other embodiments, more detailed calculations may be performed based on interferometric signals obtained over a wide spectrum in order to determine the depth or thickness at any point in the etch process to determine etch rate of the object being etched.
[0031] The light source 166 may be monochromatic, polychromatic, white light, or other suitable light source. In general, the optical signal from the reflected optical beam 178 may be analyzed to extract information regarding the presence or absence of a layer {e.g., an anti-reflective coating layer or an absorber layer), or the thickness of certain material layers within the spot 180. The intensity of the incident optical beam 176 is selected to be sufficiently high to provide a reflected optical beam 178 with a measurable intensity. The lamp can also be switched on and off to subtract background light. In one embodiment, the light source 166 provides polychromatic light, e.g., from an Hg- Cd lamp, an arc lamp, or a light emitting diode (LED) or LED array, which generate light in wavelength ranges from about 170 nm to about 800 nm, or about 200 to 800 nm, for example about 250 nm to about 800 nm respectively. The polychromatic light source 166 can be filtered to provide an incident optical beam 176 having selected frequencies. Color filters can be placed in front of the photodetector 170 to filter out all wavelengths except for the desired wavelength of light, prior to measuring the intensity of the reflected optical beam 178 entering the photodetector 170. The light can be analyzed by a spectrometer (array detector with a wavelength-dispersive element) to provide data over a wide wavelength range, such as ultraviolet to visible, from about 200 nm to 800 nm. The light source 166 can also comprise a flash lamp, e.g., a Xe or other halogen lamp, or a monochromatic light source that provides optical emission at a selected wavelength, for example, a He-Ne or ND-YAG laser. The light source may be configured to operate in a continuous or pulsed mode. Alternatively, the wavelength range may be expanded into the deep UV as low as 170 nm or beyond using optical materials with stable deep UV transmission and purging air paths with inert gas or other suitable carrier gas, such as nitrogen gas.
[0032] One or more convex focusing lenses 174A, 174B may be used to focus the incident optical beam 176 to the spot 180 on the substrate surface, and to focus the reflected optical beam 178 back on the active surface of photodetector 170. The spot 180 should be sufficiently large to compensate for variations in surface topography of the substrate 102 and device design features. This enables detection of etch endpoints for high aspect ratio features having small openings, such as vias or deep narrow trenches, which may be densely present or more isolated. The area of the reflected optical beam 178 should be sufficiently large to activate a large portion of the active light- detecting surface of the photodetector 170. The incident and reflected optical beams 176, 178 are directed through the transparent window 192 in the etch chamber 10 that allows the optical beams to pass in and out of the processing environment.
[0033] The diameter of the beam spot 180 is generally about 2 mm to about 10 mm. However, if the beam spot 180 encompasses large isolated areas of the substrate 102 containing only a small number of etched features, it may be necessary to use a larger beam spot in order to encompass a greater number of etched features. The size of the beam spot can therefore be optimized, depending on the design features for a particular device. If the signal is sufficient, a large beam spot or field of view will enable process control without precisely matching the position of the substrate support hole and the etched area of the substrate giving rise to the signal.
[0034] Optionally, the optical beam positioner 184 may be used to move the incident optical beam 176 across the substrate 102 to locate a suitable portion of the substrate surface on which to position the beam spot 180 to monitor an etching process. The optical beam positioner 184 may include one or more primary mirrors 186 that rotate at small angles to deflect the optical beam from the light source 166 onto different positions of the substrate surface. Additional secondary mirrors may be used (not shown) to direct the reflected optical beam 178 on the photodetector 170. The optical beam positioner 184 may also be used to scan the optical beam in a raster pattern across the surface of the substrate 102. In this embodiment, the optical beam positioner 184 comprises a scanning assembly consisting of a movable stage (not shown), upon which the light source 166, the focusing assembly 168 and the photodetector 170 are mounted. The movable stage can be moved through set intervals by a drive mechanism, such as a stepper motor or galvanometer, to scan the beam spot 180 across the substrate 102.
[0035] The photodetector 170 comprises a light-sensitive electronic component, such as a photovoltaic cell, photodiode, phototransistor, or photomultipher, which provides a signal in response to a measured intensity of the reflected optical beam 178. The signal can be in the form of a change in the level of a current passing through an electrical component or a change in a voltage applied across an electrical component. The photodetector 170 can also comprise a spectrometer (array detector with a wavelength-dispersive element) to provide data over a wide wavelength range, such as ultraviolet to visible, from about 170 nm to 800 nm. The reflected optical beam 178 undergoes constructive and/or destructive interference which increases or decreases the intensity of the optical beam, and the photodetector 170 provides an electrical output signal in relation to the measured intensity of the reflected optical beam 178. The electrical output signal is plotted as a function of time to provide a spectrum having numerous waveform patterns corresponding to the varying intensity of the reflected optical beam 178.
[0036] In another embodiment, a plasma signal, e.g., plasma emission generated in the plasma zone, may also be collected for detection as needed for different process requirements.
[0037] A computer program on a computer system 172 analyzes the shape of the measured waveform pattern of the reflected optical beam 178 to determine the endpoint of the etching process. The waveform generally has a sinusoidal-like oscillating shape, with the trough of each wavelength occurring when the depth of the etched feature causes the return signal to be 180 degrees out of phase with the return signal reflected by the overlaying layer. The endpoint may be determined by calculating the etch rate using the measured waveform, phase information of the measured waveform and/or comparison of the measured waveform to a reference waveform. As such, the period of the interference signal may be used to calculate the depth and etch rate. The program may also operate on the measured waveform to detect a characteristic waveform, such as, an inflection point indicative of a phase difference between light reflected from different layers. The operations can be simple mathematic operations, such as evaluating a moving derivative to detect an inflection point.
[0038] Figure 2 shows a photomask substrate 102 with a film stack 200 disposed thereon for etching that may be monitored by different etch rate detection techniques of the present invention. The film stack 200 disposed on the photomask substrate 102 that may be utilized to form desired features (i.e., openings 218) in the film stack 200. As the exemplary embodiment depicted in Figure 2, the photomask substrate 102 may be a quartz substrate or a special low thermal expansion glass substrate. The photomask substrate 102 has a rectangular shape having sides between about 5 inches to about 9 inches in length. The photomask substrate 102 may be between about 0.15 inches and about 0.25 inches thick. In one embodiment, the photomask substrate 102 is about 0.25 inches thick. An optional chromium containing layer 204, such as a chromium nitride (CrN) layer may be disposed to a backside of the photomask substrate 102 as needed.
[0039] An EUV reflective multi-material layer 206 is disposed on the photomask substrate 102. The reflective multi-material layer 206 may include at least one molybdenum layer 206a and a silicon layer 206b. Although the embodiment depicted in Figure 2 shows five pairs of molybdenum layer 206a and silicon layer 206b (alternating molybdenum layers 206a and the silicon layers 206b repeatedly formed on the photomask substrate 102), it is noted that number of molybdenum layers 206a and the silicon layers 206b may be varied based on different process needs. In one particular embodiment, forty pairs of molybdenum layers 206a and the silicon layers 206b may be deposited to form the reflective multi-material layer 206. In one embodiment, the thickness of each single molybdenum layer 206a may be controlled at between about 10 A and about 100 A, such as about 30 A, and the thickness of the each single silicon layer 106b may be controlled at between about 10 A and about 100 A, such as about 40 A. The reflective multi-material layer 206 may have a total thickness between about 100 A and about 5000 A. The reflective multi-material layer 206 may have an EUV light reflectivity of up to 70 % at 13.5 nm wavelength. The reflective multi-material layer 206 may have a total thickness between about 70 nm and about 140 nm. [0040] Subsequently, a capping layer 208 is disposed on the reflective multi- material layer 206. The capping layer 208 may be fabricated by a metallic material, such as ruthenium (Ru) material, zirconium (Zr) material, or any other suitable material. In the embodiment depicted in Figure 2, the capping layer 208 is a ruthenium (Ru) layer. The capping layer 208 has a thickness between about 1 nm and about 10 nm.
[0041] An absorber layer 216 may then be disposed on the capping layer 208. The absorber layer 216 is an opaque and light-shielding layer configured to absorb portion of the light generated during the lithography process. The absorber layer 216 may be in form of a single layer or a multi-layer structure, such as including an antireflective coating layer 212 disposed on a bulk absorber layer 210, as the embodiments depicted in Figures 2. In one embodiment, the absorber layer 216 has a total film thickness between about 50 nm and about 200 nm. The total thickness of the absorber layer 216 advantageously facilitates meeting the strict overall etch profile tolerance for EUV masks in sub-45 nm technology node applications.
[0042] In one embodiment, the bulk absorber layer 210 may comprise tantalum-based materials with essentially no oxygen, for example tantalum silicide based materials, such as TaSi, nitrogenized tantalum boride-based materials, such as TaBN, and tantalum nitride-based materials, such as TaN. The antireflective coating layer 212 may be fabricated from a tantalum and oxygen-based materials. The composition of the antireflective coating layer 212 corresponds to the composition of the bulk absorber layer 210 and may comprise oxidized and nitrogenized tantalum and silicon based materials, such as TaSiON, when the bulk absorber layer 210 comprises TaSi or TaSiN; tantalum boron oxide based materials, such as TaBO, when the bulk absorber layer 210 comprises TaBN; and oxidized and nitrogenized tantalum-based materials, such as TaON, when the bulk absorber layer 210 comprises TaN. The antireflective coating layer 212 can also comprise TaO.
[0043] A patterned photoresist layer 214 is then formed over the absorber layer 216 having openings 218 formed therein that expose portions 220 of the absorber layer 216 for etching. The photoresist layer 214 may comprise any suitable photosensitive resist materials, such as an e-beam resist (for example, a chemically amplified resist (CAR)), and deposited and patterned in any suitable manner. The photoresist layer may be deposited to a thickness between about 100 nm and about 1000 nm.
[0044] The photomask substrate 102 is readily to be transferred to an etching processing chamber, such as the etch reactor 100 depicted with referenced to Figure 1 , to perform an etching process. The embodiment depicted in Figure 2 shows a portion 224 of the absorber layer 216 has been etched away and the endpoint detection system 164 is turned on during the etching process to monitor the etching progress to determine a proper etching process endpoint, which will be discussed in detail further below with referenced to Figures 3-4B. The etching process is performed to etch the absorber layer 216 and the capping layer 208 exposed through the opening 218 defined by the photoresist layer 214. The etching process is performed to etch the absorber layer 216 and the capping layer 208 until the underlying surface of the reflective multi-material layer 206 is exposed. The antireflective coating layer 212 and the bulk absorber layer 210 may be continuously etched using one process step, such as a single etchant chemistry, or separately etched by multiple steps in one or different etching processes as needed. The patterns from the photoresist layer 214 are then transferred into the absorber layer 216 through the etching process.
[0045] Subsequently, a reflective multi-material layer etching process is performed to etch the reflective multi-material layer 206. The reflective multi- material etching process uses an etching gas mixture configured to etch the reflective multi-material layer 206 until a desired depth of the reflective multi- material layer 206 is removed, or the underlying photomask substrate 102 is exposed. As the reflective multi-material layer 206 may include more than one types of the materials, the etching gas mixture as selected is configured to have high etching capability to etch different materials as well as maintaining high selectivity to the upper capping layer 208 and the absorber layer 216 so as to maintain desired sidewall profiles to complete the photomask manufacture process.
[0046] Figure 3 is a flow diagram of one embodiment of a method 300 for etching an absorber layer formed in a film stack disposed on a photomask, such as the absorber layer 216 formed in the film stack 200 depicted in Figure 2, and determining an etching process endpoint for etching the absorber layer 216. Although the method 300 is described below with reference to a substrate utilized to fabricate a photomask, the method 300 may also be used to advantage in other photomask etching or any etching applications.
[0047] The method 300 begins at block 302 when the photomask substrate 102 is transferred to and placed on a substrate support member disposed in an etch reactor, such as the etching chamber depicted in Figure 1 . As described above, the photomask substrate 102 includes an optically transparent silicon based material, such as quartz or low thermal expansion glass layer having the absorber layer 216 disposed thereon having portions 222 of absorber layer 216 exposed by the patterned photoresist layer 214 readily for etching.
[0048] At block 304, an etching process is performed to etch the absorber layer 216 disposed on the substrate 102. The patterned photoresist layer 214 may serve as a mask layer to protect some portion of the absorber layer 216 from being etched during the absorber layer etching process. The etching process endpoint detection for etching the absorber layer 216 can be monitored either in reflection or transmission mode, and reflectance, transmittance and/or interferometric signals can be performed. In one particular embodiment depicted therein, the process endpoint detection for etching the absorber layer 216 is monitored in reflection mode.
[0049] In one embodiment, halogen-containing gases are typically used for etching different materials found on the film stack 200 of the photomask structure. For example, a process gas containing chlorine may be used for etching an absorber layer (e.g., a tantalum containing layer). Alternatively, a fluorine-containing gas such as trifluoromethane (CHF3) or tetrafluoromethane (CF ) may also be used for etching quartz. In one embodiment, a fluorine- containing gas such as trifluoromethane (CHF3) or tetrafluoromethane (CF4) is often used to etch a TaO or TaBO antireflection layer while more selective chlorine and oxygen gas combinations are used to etch the TaN or TaBN absorber layer.
[0050] At block 306, while etching the absorber layer 216, an incident optical beam 750 from the endpoint detection system 164 is directed to the etched substrate surface. The incident optical beam 750, as shown in Figure 2, from the etch rate detection system 164 is directed, through one of the windows in the chamber lid, onto one or more areas of the photomask substrate 102. The incident optical beam 750 is configured to be directed to the opening 218, such as open areas where the absorber layer 216 is exposed by the patterned photoresist layer 214 to be etched to form trenches, vias, and apertures for the film stack 200 as needed. Alternatively, the plasma itself may be used as the light source.
[0051] A return beam 752, e.g., reflecting off the surface of etched absorber layer 216 within the openings 218 being etched and exposed, is detected by the photodetector 170 of the etch rate detection system 164. Alternatively, the return beam may be plasma light reflected off the photomask at the directed areas. During etching of the absorber layer 216, the intensity of the reflected optical beam 752 changes overtime. The time-varying intensity of the reflected optical beam 752 at a particular wavelength is then analyzed to determine at least one of the depth etched, the etch rate and the end point of the absorber layer etching process.
[0052] At block 308, an etching process endpoint is determined by analyzing the waveform obtained from the detected reflected optical beam 752 reflected from the surface of the etched substrate. In the embodiment wherein the absorber layer 206 is a composite layer having the antireflective coating layer 212 disposed on the bulk absorber layer 210, the reflected optical beam 752 initially detected is for etching the antireflective coating layer 212. After the antireflective coating layer 212 is etched away, the reflected optical beam 752 may be continued to be collected for determination of the endpoint of etching the bulk absorber layer 210. The endpoint detection process may be split into a twp step process using two different wavelengths, or the endpoint detection process may be continuously performed using the same wavelength for detection until the whole bulk absorber layer 210 is etched away, exposing the underlying capping layer 208. Figure 4A depicts one embodiment of optical signals as detected for etch rate determination for etching the antireflective coating layer 212 at a light wavelength at between about 200 nm and about 230 nm from the light source 166. The optical signal 402, as shown in Figure 4A, is plotted as a function of time to provide a waveform pattern corresponding to the varying intensity of the reflected optical beam 752 over time. The waveform pattern will be different at other wavelengths. Collecting a spectrum of wavelengths will provide numerous waveform patterns. The optical signal 402 is detected real-time when a production substrate is etched in the etch reactor. In the embodiment depicted in Figure 4A, the intensity of the reflected optical beam 752 is gradually increasing as the underlying bulk absorber layer 210 is gradually exposing. When the antireflective coating layer 212 is gradually etched away, the intensity of the reflected optical beam 752 is gradually increasing until getting saturated. When the intensity of the reflected optical beam 752 is saturated and steady at a stable value 404 at a time point 406, it indicates the antireflective coating layer 212 has been etched away, exposing the underlying bulk absorber layer 210, thereby determining the time point 406 is the proper endpoint for etching away the antireflective coating layer 212.
[0053] In one embodiment, an endpoint for etching the antireflective coating layer 212 may be determined when the intensity of the reflected optical beam 752 as detected is between about 1 percent and about 20 percent, such as between about 4 percent to 12 percent, for example about 5 percent or 10 percent, increased from the initial detected reflected optical beam 752 collected in a beginning time point 403 of the detection process. In another embodiment, the endpoint for etching the antireflective coating layer 212 may be determined when the slope of the optical signal 402 is initially small, then rising by at least two times, then becoming small. In other word, the endpoint for etching the antireflective coating layer 212 is changed about 100 percent from the original detected slope. In yet another embodiment, the endpoint for etching the antireflective coating layer 212 may be determined when the optical signal 402 has become saturated and remains in a steady state for about over than 3 seconds. In an exemplary embodiment wherein a light source of about 230 nm wavelength is utilized to detect the endpoint for etching the antireflective coating layer 212, the process endpoint occurred at time point 406 is between about 10 seconds and about 25 seconds.
[0054] Figure 4B depicts one embodiment of the optical signal 752 as detected for etch rate determination for etching the bulk absorber layer 210 at a light wavelength at between about 200 nm and about 800 nm, such as between about 200 nm and about 240nm, from the light source 166 or the plasma source. The optical signal 410, as shown in Figure 4B, is plotted as a function of time to provide a waveform pattern corresponding to the varying intensity of the reflected optical beam 752 over time when etching the bulk absorber layer 210. In the embodiment depicted in Figure 4B, the intensity of the reflected optical beam 752 is initially low and somewhat decreasing, and then gradually increases as the bulk absorber layer 210 is gradually etched away. When the bulk absorber layer 210 is gradually etched away exposing the underlying capping layer 208, the intensity of the reflected optical beam 752 is gradually increasing until getting saturated and becoming constant. When the intensity of the reflected optical beam 752 is saturated and steady at a stable value 414 at a time point 412, it indicates the bulk absorber layer 210 has been substantially etched away, exposing the underlying capping layer 208, thereby determining the time point 412 is the proper endpoint for etching away the antireflective coating layer 212. As the bulk absorber layer 210 is gradually etched away to expose the underlying capping layer 208, since the underlying capping layer 208 has a reflective surface, the reflectivity as detected raises when the underlying capping layer 208 is gradually exposing. The endpoint is then reached when the reflectivity raises and becomes constant.
[0055] In one embodiment, an endpoint for etching the bulk absorber layer 210 may be determined when the intensity of the reflected optical beam 752 as detected is about 5 percent or greater increased from the initial detected reflected optical beam 752 collected from a beginning time point 408 of the detection process. In another embodiment, the endpoint for etching the bulk absorber layer 210 may be determined when the slope of the optical signal 410 is about 0.01 per 10 seconds, changing from about 0.23 to about 0.24 (plasma source). In other word, the endpoint for etching the antireflective coating layer 212 is changed about 5 percent or greater from the original detected slope. In yet another embodiment, the endpoint for etching the bulk absorber layer 210 may be determined when the optical signal 410 has become saturated and remains in a steady state (or no longer increasing) for about more than 10 seconds. In an exemplary embodiment wherein a light source of about 220 nm wavelength is utilized to detect the endpoint for etching bulk absorber layer 210, the process endpoint occurred at time point 412 is between about 25 seconds and about 175 seconds.
[0056] By monitoring reflectivity of an optical beam reflected from an etched absorber layer (either an antireflective coating or a bulk absorber layer), such as a Ta containing material, at a predetermined wavelength, proper process endpoints may be obtained by analyzing waveforms obtained from the reflected optical beam reflected from an etched substrate surface. The embodiments of the present invention provide an improved apparatus and method with enhanced process monitoring and control capabilities. These improvements also allow reliable etch rate/loss of thickness and endpoint determination for absorber layer etching applications.
[0057] While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims

Claims:
1 . A method of determining an etching endpoint of a tantalum containing layer disposed on a substrate during an etching process, comprising:
performing an etching process on a first tantalum containing layer disposed on a first surface of a substrate through a patterned mask layer in a plasma etch chamber;
directing radiation having a first wavelength from about 200 nm and about 800 nm to an area of the first tantalum containing layer uncovered by the patterned mask layer during the etching process;
collecting an optical signal reflected from the area uncovered by the patterned mask layer;
analyzing a waveform obtained from the reflected optical signal; and determining a first endpoint of the etching process when a slope of the waveform changes by about 5 percent or greater.
2. The method of claim 1 , wherein the first substrate is a photomask reticle.
3. The method of claim 1 , wherein the first and the second radiation source has a wavelength between about 170 nm and about 800 nm.
4. The method of claim 1 , wherein analyzing the waveform further comprises:
obtaining a first spectrum in a reflective form plotted as a function of time from the reflected optical signal.
5. The method of claim 1 , wherein a second tantalum containing layer is disposed between the substrate and the first tantalum containing layer.
6. The method of claim 5, further comprising:
etching the second tantalum containing layer disposed between the substrate and the first tantalum containing layer; and directing radiation having a second wavelength of about 200 nm and about 800 nm while etching the second tantalum containing layer through the area uncovered by the patterned mask layer.
7. The method of claim 6, further comprising:
determining a second endpoint for etching the second tantalum containing layer when a slope of the second waveform changes about 5 percent.
8. The method of claim 1 , wherein the first tantalum containing layer is a TaSiON layer, a TaBO layer, or a TaON layer.
9. The method of claim 5, wherein the second tantalum containing layer is a TaSi layer, a TaBN layer, or a TaN layer.
10. The method of claim 1 , wherein the first wavelength is about 220 nm.
1 1 . The method of claim 6, wherein the second wavelength is about 230 nm.
12. The method of claim 5, a reflective multi-material layer is disposed between the second tantalum containing layer and the substrate and the reflective multi-material layer include at least one molybdenum layer and a silicon layer.
13. A method of determining an etching endpoint of a tantalum containing layer disposed on a substrate during an etching process, comprising:
performing an etching process on a tantalum and oxygen containing layer disposed on a first surface of a substrate through a patterned mask layer in a plasma etch chamber;
directing a first radiation source having a first wavelength from about 200 nm and about 800 nm from the first surface of the substrate to an area uncovered by the patterned mask layer; collecting a first optical signal reflected from the area covered by the patterned mask layer to obtain a first waveform from the reflected first optical signal;
analyzing a first waveform obtained the reflected first optical signal reflected from the first surface of the substrate from a first time point to a second time point;
determining a first endpoint of the etching process when a slope of the waveform is changed about 5 percent or greater from the first time point to the second time point;
continuing etching a tantalum containing and oxygen free layer disposed between the tantalum containing and oxygen free layer and substrate;
directing a second radiation source having a second wavelength from about 200 nm and about 800 nm from the first surface of the substrate to an area uncovered by the patterned mask layer and the etched tantalum containing and oxygen free layer;
collecting a second optical signal reflected from the area covered by the patterned mask layer and the etched tantalum and oxygen containing layer to obtain a second waveform from the reflected second optical signal;
analyzing a second waveform obtained the reflected second optical signal reflected from the first surface of the substrate from a third time point to a fourth time point; and
determining a second endpoint of the etching process when a slope of the waveform is changed about 5 percent or greater from the third time point to the fourth time point.
14. The method of claim 13, wherein the tantalum containing and oxygen free layer is TaSi, a TaBN, or a TaN layer and the tantalum and oxygen containing layer is a TaSiON, a TaBO, or a TaON layer.
15. The method of claim 13, wherein the first wavelength is about 220 nm, and the second wavelength is about 230 nm.
PCT/US2012/065330 2011-12-19 2012-11-15 Etch rate detection for anti-reflective coating layer and absorber layer etching WO2013095818A1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201161577318P 2011-12-19 2011-12-19
US61/577,318 2011-12-19
US13/543,222 2012-07-06
US13/543,222 US8900469B2 (en) 2011-12-19 2012-07-06 Etch rate detection for anti-reflective coating layer and absorber layer etching

Publications (1)

Publication Number Publication Date
WO2013095818A1 true WO2013095818A1 (en) 2013-06-27

Family

ID=48610514

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2012/065330 WO2013095818A1 (en) 2011-12-19 2012-11-15 Etch rate detection for anti-reflective coating layer and absorber layer etching

Country Status (3)

Country Link
US (2) US8900469B2 (en)
TW (1) TWI579642B (en)
WO (1) WO2013095818A1 (en)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
JP6339333B2 (en) * 2013-08-27 2018-06-06 芝浦メカトロニクス株式会社 Dry etching apparatus and etching amount measuring method
US9543225B2 (en) * 2014-04-29 2017-01-10 Lam Research Corporation Systems and methods for detecting endpoint for through-silicon via reveal applications
US9870935B2 (en) * 2014-12-19 2018-01-16 Applied Materials, Inc. Monitoring system for deposition and method of operation thereof
US11605546B2 (en) 2015-01-16 2023-03-14 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
WO2016179023A1 (en) * 2015-05-01 2016-11-10 Adarza Biosystems, Inc. Methods and devices for the high-volume production of silicon chips with uniform anti-reflective coatings
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
CN108027448B (en) 2015-10-09 2022-02-11 深圳帧观德芯科技有限公司 Packaging method of semiconductor X-ray detector
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
JP6784530B2 (en) * 2016-03-29 2020-11-11 東京エレクトロン株式会社 How to process the object to be processed
US10312121B2 (en) * 2016-03-29 2019-06-04 Lam Research Corporation Systems and methods for aligning measurement device in substrate processing systems
US11011353B2 (en) 2016-03-29 2021-05-18 Lam Research Corporation Systems and methods for performing edge ring characterization
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US11022877B2 (en) * 2017-03-13 2021-06-01 Applied Materials, Inc. Etch processing system having reflective endpoint detection
US10553428B2 (en) 2017-08-22 2020-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflection mode photomask and fabrication method therefore
TWI659258B (en) * 2018-05-23 2019-05-11 亞智科技股份有限公司 Etching time detection method and system thereof
US11728177B2 (en) 2021-02-11 2023-08-15 Applied Materials, Inc. Systems and methods for nitride-containing film removal
CN115274488B (en) * 2022-09-27 2023-02-10 浙江大学杭州国际科创中心 Silicon carbide bare chip and silicon carbide mask layer etching depth selection ratio prediction method

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0521395A (en) * 1991-07-10 1993-01-29 Fujitsu Ltd Method of detecting etching end point
JPH066797B2 (en) * 1985-03-11 1994-01-26 株式会社日立製作所 Etching end point detection method
JPH0620060B2 (en) * 1987-03-18 1994-03-16 日本電気株式会社 Dry etching equipment
JPH0691045B2 (en) * 1986-03-03 1994-11-14 日電アネルバ株式会社 Etching monitor-device
JP3901533B2 (en) * 2002-02-04 2007-04-04 株式会社東芝 MONITORING METHOD, ETCHING METHOD, AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD

Family Cites Families (119)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
SE393967B (en) 1974-11-29 1977-05-31 Sateko Oy PROCEDURE AND PERFORMANCE OF LAYING BETWEEN THE STORAGE IN A LABOR PACKAGE
FI57975C (en) 1979-02-28 1980-11-10 Lohja Ab Oy OVER ANCHORING VIDEO UPDATE FOR AVAILABILITY
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US5294286A (en) 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
JPH0639357B2 (en) 1986-09-08 1994-05-25 新技術開発事業団 Method for growing element semiconductor single crystal thin film
DE3721637A1 (en) 1987-06-30 1989-01-12 Aixtron Gmbh GAS INLET FOR A MULTIPLE DIFFERENT REACTION GAS IN REACTION VESSELS
DE3743938C2 (en) 1987-12-23 1995-08-31 Cs Halbleiter Solartech Process for atomic layer epitaxy growth of a III / V compound semiconductor thin film
JPH0824191B2 (en) 1989-03-17 1996-03-06 富士通株式会社 Thin film transistor
GB2237303A (en) 1989-10-28 1991-05-01 Services Tech Sedco Forex Method of quantitative analysis of drilling fluid products
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5483919A (en) 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5480818A (en) 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
JP3265042B2 (en) 1993-03-18 2002-03-11 東京エレクトロン株式会社 Film formation method
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
FI97730C (en) 1994-11-28 1997-02-10 Mikrokemia Oy Equipment for the production of thin films
FI97731C (en) 1994-11-28 1997-02-10 Mikrokemia Oy Method and apparatus for making thin films
FI100409B (en) 1994-11-28 1997-11-28 Asm Int Method and apparatus for making thin films
US6084302A (en) 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
US6159297A (en) 1996-04-25 2000-12-12 Applied Materials, Inc. Semiconductor process chamber and processing method
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6649075B1 (en) * 1996-07-23 2003-11-18 Applied Materials, Inc. Method and apparatus for measuring etch uniformity of a semiconductor wafer
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5835677A (en) 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
US5923056A (en) 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
DE69839782D1 (en) 1997-03-03 2008-09-04 Adcock Ingram Ltd A composition containing a carrier component and a purified mycobacterial cell wall component and their use for the prevention, treatment and diagnosis of diseases
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US5866795A (en) 1997-03-17 1999-02-02 Applied Materials, Inc. Liquid flow rate estimation and verification by direct liquid measurement
US6291718B1 (en) 1997-07-09 2001-09-18 Mitsui Chemicals, Inc. Process for preparing hydroperoxides
KR100385946B1 (en) 1999-12-08 2003-06-02 삼성전자주식회사 Method for forming a metal layer by an atomic layer deposition and a semiconductor device with the metal layer as a barrier metal layer, an upper electrode, or a lower electrode of capacitor
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (en) 1997-07-31 2000-10-16 윤종용 Integrate circuit device having buffer layer containing metal oxide stabilized by low temperature treatment and fabricating method thereof
KR100261017B1 (en) 1997-08-19 2000-08-01 윤종용 Method for forming metal wiring of semiconductor device
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US6197683B1 (en) 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
KR100274603B1 (en) 1997-10-01 2001-01-15 윤종용 Method and apparatus for fabricating semiconductor device
FI104383B (en) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Procedure for coating the inside of a plant
KR100269328B1 (en) 1997-12-31 2000-10-16 윤종용 Method for forming conductive layer using atomic layer deposition process
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
KR100282853B1 (en) 1998-05-18 2001-04-02 서성기 Apparatus for thin film deposition using cyclic gas injection
KR100267885B1 (en) 1998-05-18 2000-11-01 서성기 Deposition apparatus
NL1009327C2 (en) 1998-06-05 1999-12-10 Asm Int Method and device for transferring wafers.
KR100319888B1 (en) 1998-06-16 2002-01-10 윤종용 Method of forming selective metal layer and method of forming capacitor and filling contact hole using the same
KR100275738B1 (en) 1998-08-07 2000-12-15 윤종용 Method for producing thin film using atomatic layer deposition
KR100327328B1 (en) 1998-10-13 2002-05-09 윤종용 Method for forming dielectric layer of capacitor having partially different thickness in the layer
KR100297719B1 (en) 1998-10-16 2001-08-07 윤종용 Method for manufacturing thin film
KR100331544B1 (en) 1999-01-18 2002-04-06 윤종용 Method for introducing gases into a reactor chamber and a shower head used therein
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6358629B1 (en) 1999-03-31 2002-03-19 Mitsubishi Denki Kabushiki Kaisha Epoxy resin composition and semiconductor device using the same
KR100347379B1 (en) 1999-05-01 2002-08-07 주식회사 피케이엘 Atomic layer deposition apparatus for depositing multi substrate
FI118342B (en) 1999-05-10 2007-10-15 Asm Int Apparatus for making thin films
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
IT1312358B1 (en) 1999-06-17 2002-04-15 Stoppani Luigi Spa VITAMIN K ADDUCT, PARTICULARLY SUITABLE AS A VITAMIN SUPPLEMENT FOR FEED
KR100319494B1 (en) 1999-07-15 2002-01-09 김용일 Apparatus for Deposition of thin films on wafers through atomic layer epitaxial process
US6732391B2 (en) 1999-08-11 2004-05-11 Comfort Research, Llc Frameless chair
US6984415B2 (en) 1999-08-20 2006-01-10 International Business Machines Corporation Delivery systems for gases for gases via the sublimation of solid precursors
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6472107B1 (en) 1999-09-30 2002-10-29 Photronics, Inc. Disposable hard mask for photomask plasma etching
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
KR100330749B1 (en) 1999-12-17 2002-04-03 서성기 Thin film deposition apparatus for semiconductor
KR100624903B1 (en) 1999-12-22 2006-09-19 주식회사 하이닉스반도체 Method of manufacturing a capacitor in a semiconductor device
KR100705926B1 (en) 1999-12-22 2007-04-11 주식회사 하이닉스반도체 Method of manufacturing a capacitor in a semiconductor device
FI118343B (en) 1999-12-28 2007-10-15 Asm Int Apparatus for making thin films
FI118474B (en) 1999-12-28 2007-11-30 Asm Int Apparatus for making thin films
FI20000099A0 (en) 2000-01-18 2000-01-18 Asm Microchemistry Ltd A method for growing thin metal films
KR100378871B1 (en) 2000-02-16 2003-04-07 주식회사 아펙스 showerhead apparatus for radical assisted deposition
AU2001245388A1 (en) 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
TW576873B (en) 2000-04-14 2004-02-21 Asm Int Method of growing a thin film onto a substrate
KR100363088B1 (en) 2000-04-20 2002-12-02 삼성전자 주식회사 Method of manufacturing barrier metal layer using atomic layer deposition method
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
FI118805B (en) 2000-05-15 2008-03-31 Asm Int A method and configuration for introducing a gas phase reactant into a reaction chamber
KR100403611B1 (en) 2000-06-07 2003-11-01 삼성전자주식회사 Metal-insulator-metal capacitor and manufacturing method thereof
KR100332313B1 (en) 2000-06-24 2002-04-12 서성기 Apparatus and method for depositing thin film on wafer
KR100332314B1 (en) 2000-06-24 2002-04-12 서성기 Reactor for depositing thin film on wafer
KR100444149B1 (en) 2000-07-22 2004-08-09 주식회사 아이피에스 ALD thin film depositin equipment cleaning method
KR100396879B1 (en) 2000-08-11 2003-09-02 삼성전자주식회사 Semiconductor memory device having capacitor encapsulated by multi-layer which includes double layeres being made of same material and method of manufacturing thereof
KR100436941B1 (en) 2000-11-07 2004-06-23 주성엔지니어링(주) apparatus and method for depositing thin film
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
EP1340269B1 (en) 2000-11-30 2009-02-25 Asm International N.V. Thin films for magnetic devices
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US20020197402A1 (en) 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6878402B2 (en) 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US20020073924A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US20020076481A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
KR100434487B1 (en) 2001-01-17 2004-06-05 삼성전자주식회사 Shower head & film forming apparatus having the same
US6844604B2 (en) 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
JP2002262392A (en) 2001-02-28 2002-09-13 Pioneer Electronic Corp Butterfly damper
FI109770B (en) 2001-03-16 2002-10-15 Asm Microchemistry Oy Growing transition metal nitride thin films by using compound having hydrocarbon, amino or silyl group bound to nitrogen as nitrogen source material
US7348042B2 (en) 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020144655A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. Gas valve system for a reactor
KR100363332B1 (en) 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
JP4680429B2 (en) 2001-06-26 2011-05-11 Okiセミコンダクタ株式会社 High speed reading control method in text-to-speech converter
TW539822B (en) 2001-07-03 2003-07-01 Asm Inc Source chemical container assembly
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US6806145B2 (en) 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US20030042630A1 (en) 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US7049034B2 (en) * 2003-09-09 2006-05-23 Photronics, Inc. Photomask having an internal substantially transparent etch stop layer
US7829243B2 (en) * 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
WO2007039161A1 (en) * 2005-09-27 2007-04-12 Schott Ag Mask blanc and photomasks having antireflective properties
US7985689B2 (en) * 2006-06-16 2011-07-26 Applied Matrials, Inc. Patterning 3D features in a substrate
US7771895B2 (en) * 2006-09-15 2010-08-10 Applied Materials, Inc. Method of etching extreme ultraviolet light (EUV) photomasks
US20080099435A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
JP5441332B2 (en) * 2006-10-30 2014-03-12 アプライド マテリアルズ インコーポレイテッド Endpoint detection for photomask etching
US8808559B2 (en) * 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH066797B2 (en) * 1985-03-11 1994-01-26 株式会社日立製作所 Etching end point detection method
JPH0691045B2 (en) * 1986-03-03 1994-11-14 日電アネルバ株式会社 Etching monitor-device
JPH0620060B2 (en) * 1987-03-18 1994-03-16 日本電気株式会社 Dry etching equipment
JPH0521395A (en) * 1991-07-10 1993-01-29 Fujitsu Ltd Method of detecting etching end point
JP3901533B2 (en) * 2002-02-04 2007-04-04 株式会社東芝 MONITORING METHOD, ETCHING METHOD, AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD

Also Published As

Publication number Publication date
US20150111315A1 (en) 2015-04-23
US9142467B2 (en) 2015-09-22
TW201331704A (en) 2013-08-01
US8900469B2 (en) 2014-12-02
US20130157388A1 (en) 2013-06-20
TWI579642B (en) 2017-04-21

Similar Documents

Publication Publication Date Title
US9142467B2 (en) Etch rate detection for anti-reflective coating layer and absorber layer etching
US8092695B2 (en) Endpoint detection for photomask etching
EP1926125B1 (en) Endpoint detection for photomask etching
US8961804B2 (en) Etch rate detection for photomask etching
US10453696B2 (en) Dual endpoint detection for advanced phase shift and binary photomasks
US20080099435A1 (en) Endpoint detection for photomask etching
US8956809B2 (en) Apparatus and methods for etching quartz substrate in photomask manufacturing applications
US20080099436A1 (en) Endpoint detection for photomask etching
US7682984B2 (en) Interferometer endpoint monitoring device
US8808559B2 (en) Etch rate detection for reflective multi-material layers etching
US20230360914A1 (en) Reflection mode photomask
US8778204B2 (en) Methods for reducing photoresist interference when monitoring a target layer in a plasma process

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 12860068

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 12860068

Country of ref document: EP

Kind code of ref document: A1