WO2010027669A2 - In-situ chamber treatment and deposition process - Google Patents

In-situ chamber treatment and deposition process Download PDF

Info

Publication number
WO2010027669A2
WO2010027669A2 PCT/US2009/054321 US2009054321W WO2010027669A2 WO 2010027669 A2 WO2010027669 A2 WO 2010027669A2 US 2009054321 W US2009054321 W US 2009054321W WO 2010027669 A2 WO2010027669 A2 WO 2010027669A2
Authority
WO
WIPO (PCT)
Prior art keywords
gas
substrate
precursor
tantalum
processing chamber
Prior art date
Application number
PCT/US2009/054321
Other languages
French (fr)
Other versions
WO2010027669A3 (en
Inventor
Paul F. Ma
Joseph F. Aubuchon
Mei Chang
Steven H. Kim
Dien-Yeh Wu
Norman M. Nakashima
Mark Johnson
Roja Palakodeti
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to CN200980134897.4A priority Critical patent/CN102144281B/en
Priority to KR1020167000331A priority patent/KR101772635B1/en
Priority to JP2011526095A priority patent/JP2012502179A/en
Publication of WO2010027669A2 publication Critical patent/WO2010027669A2/en
Publication of WO2010027669A3 publication Critical patent/WO2010027669A3/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4411Cooling of the reaction chamber walls
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45582Expansion of gas before it reaches the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric

Definitions

  • Embodiments of the invention generally relate to semiconductor and other electronic device processing, and more particularly, to an improved method for treating the surfaces of a processing chamber and a substrate therein prior to a vapor deposition process.
  • tantalum-containing layers such as tantalum, tantalum nitride, and tantalum silicon nitride
  • process control particularly with respect to contact formation.
  • PVD physical vapor deposition
  • ALD atomic layer deposition
  • a method for treating a chamber and depositing a material on a substrate surface includes exposing inner surfaces of a processing chamber and a substrate disposed within the processing chamber to a treatment gas containing a hydrogenated ligand compound during a pretreatment process, and subsequently, exposing the substrate to a first precursor gas to deposit a material on the substrate during a vapor deposition process.
  • the substrate may be sequentially or simultaneously exposed to the first precursor and at least a second precursor gas during the vapor deposition process, such as an atomic layer deposition (ALD) process or a chemical vapor deposition (CVD) process.
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • the hydrogenated ligand compound within the treatment gas has the chemical formula of HL, where L is a ligand such as alkylamino, alkylimino, alkoxy, alkyl, alkene, alkyne, cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, or derivatives thereof.
  • L is a ligand such as alkylamino, alkylimino, alkoxy, alkyl, alkene, alkyne, cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, or derivatives thereof.
  • the first precursor gas may contain a first precursor having the chemical formula of MU x , where x is 1 , 2, 3, 4, 5, 6, or greater, M is an element such as Ti, Zr, Hf, Nb, Ta, Mo, W, Ru, Co, Ni, Pd, Pt, Cu, Al, Ga, In, Si, Ge, Sn, P, As, or Sb, and each L 1 is independently a ligand such as alkylamino, alkylimino, alkoxy, alkyl, alkene, alkyne, cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, hydrogen, halogen, derivatives thereof, or combinations thereof.
  • M is an element such as Ti, Zr, Hf, Nb, Ta, Mo, W, Ru, Co, Ni, Pd, Pt, Cu, Al, Ga, In, Si, Ge, Sn, P, As, or Sb
  • each L 1 is independently a
  • the method provides that the vapor deposition process is an ALD process and the substrate is sequentially exposed to the alkylamino metal precursor gas and the second precursor gas during the ALD process.
  • the vapor deposition process is a CVD process and the substrate is simultaneously exposed to the alkylamino metal precursor gas and the second precursor gas during the CVD process.
  • a method for treating a chamber and depositing a material on a substrate surface includes exposing inner surfaces of a processing chamber and a substrate within the processing chamber to a treatment gas containing an alkylamine compound during a pretreatment process, and exposing the substrate sequentially to an alkylamino metal precursor gas and at least a second precursor gas while depositing a material on the substrate during an ALD process.
  • the second precursor gas contains a nitrogen precursor, such as ammonia, which is used to deposit a metal nitride material, such as tantalum nitride.
  • the treatment gas contains a hydrogenated ligand compound, such as an alkylamine compound having the chemical formula of H 2 NR or HNR'R", where each R, R', and R" is independently methyl, ethyl, propyl, butyl, amyl, phenyl, aryl, isomers thereof, derivatives thereof, or combinations thereof.
  • the alkylamine compound may be methylamine, dimethylamine, ethylamine, diethylamine, methylethylamine, propylamine, dipropylamine, butylamine, dibutylamine, isomers thereof, derivatives thereof, or combinations thereof.
  • the treatment gas may further contain at least one carrier gas such as ammonia, hydrogen, nitrogen, argon, helium, or combinations thereof. In one example the treatment gas contains dimethylamine, ammonia, and another carrier gas, such as argon.
  • the alkylamino metal precursor gas contains an alkylamino metal precursor having the chemical formula of ML' X , where x is 1 , 2, 3, 4, 5, 6, or greater, M may be a metal or other element such as Ti, Zr, Hf, Ta, Mo, W, or Si, and each ligand L' is independently a ligand, such as an alkylamino ligand, which include N(CHg) 2 , N(C 2 Hs) 2 , N(C 3 H 7 J 2 , N(C 4 Hg) 2 , N(CH 3 )(C 2 H 5 ), isomers thereof, derivatives thereof, or combinations thereof.
  • M may be a metal or other element such as Ti, Zr, Hf, Ta, Mo, W, or Si
  • each ligand L' is independently a ligand, such as an alkylamino ligand, which include N(CHg) 2 , N(C 2 Hs) 2 , N(C 3 H 7 J 2 , N
  • the metal or element M may be Si, Ti, Zr, or Hf while x is usually 4.
  • the alkylamino metal precursor is a tantalum precursor with the metal M being Ta while x is usually 4 or 5.
  • tantalum precursors include pentakis(dimethylamino) tantalum (PDMAT), pentakis(diethylamino) tantalum, pentakis(ethylmethylamino) tantalum, tert-butylimino tris(dimethylamino) tantalum, tert-butylimino tris(diethylamino) tantalum, tert-butylimino tris(ethylmethylamino) tantalum, tert-amylimino-tris(dimethylamino) tantalum, tert-amylimino- tris(diethylamino) tantalum, tert-amylimino-tris(ethylamino)
  • the hydrogenated ligand compound within the treatment gas may be an alcohol compound having the chemical formula of ROH, where R is methyl, ethyl, propyl, butyl, amyl, isomers thereof, or derivatives thereof.
  • the alcohol compound may be methanol, ethanol, propanol, butanol, pentanol, isomers thereof, derivatives thereof, or combinations thereof.
  • the hydrogenated ligand compound is an alcohol
  • the first precursor may contain an alkoxy ligand such as OCH 3 , OC 2 H 5 , OC 3 H 7 , OC 4 H 9 , isomers thereof, or derivatives thereof.
  • the ligand L of the hydrogenated ligand compound may be cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, isomers thereof, or derivatives thereof and the ligand L' of the first precursor may be cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, isomers thereof, or derivatives thereof.
  • the processing chamber generally contains a lid assembly and a chamber body, which may be independently heated to a temperature within a range from about 30 0 C to about 100 0 C, preferably, from about 40 0 C to about 80°C, during the pretreatment process.
  • the inner surfaces of the lid assembly and the chamber body may be exposed to the alkylamine compound gas during the pretreatment process.
  • the pretreatment process may last for a time period within a range from about 5 seconds to about 60 seconds, preferably, from about 15 seconds to about 40 seconds, and more preferably, from about 10 seconds to about 30 seconds.
  • the treatment of the interior surfaces of the processing chamber during the pretreatment process helps to increase the uniformity (or decrease the non- uniformity) across the substrate surface of the deposited materials - as opposed to not conducting the pretreatment process.
  • the non-uniformity of the deposited material across the substrate surface may be about 12% or less, preferably, about 10% or less, and more preferably, about 8% or less, for example, about 6% or less.
  • a method for treating a chamber and depositing a material on a substrate surface includes exposing the inner surfaces of a processing chamber and a substrate disposed within the processing chamber to a carrier gas having a continuous flow, introducing a treatment gas containing methylamine or dimethylamine to the continuously flowing carrier gas to expose the inner surfaces of the processing chamber and the substrate to the treatment gas during a pretreatment process.
  • the method further provides alternately or sequentially pulsing a tantalum precursor gas and a nitrogen precursor gas into the continuously flowing carrier gas to sequentially expose the substrate to the tantalum and nitrogen precursor gases while depositing a tantalum nitride material on the substrate during an ALD process.
  • the tantalum precursor gas contains PDMAT and the nitrogen precursor gas contains ammonia.
  • Figure 1 depicts a flow diagram showing a method for depositing a tantalum nitride material in accordance with one embodiment described herein;
  • Figure 2 depicts a flow diagram showing a method for depositing a tantalum nitride material in accordance with another embodiment described herein;
  • Figures 3A-3C depict a schematic cross-sectional view of a processing chamber including a lid assembly and a gas delivery apparatus adapted for ALD as described in another embodiment herein.
  • Embodiments of the invention provide a method for treating the inner surfaces of a processing chamber in situ and depositing a material on a substrate within the processing chamber during a vapor deposition process.
  • the substrate may be sequentially or simultaneously exposed to a first precursor and a second precursor gas during the vapor deposition process, such as atomic layer deposition (ALD) or by chemical vapor deposition (CVD).
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • the inner surfaces of the processing chamber and the substrate may be exposed to a treatment gas containing a reagent, such as a hydrogenated ligand compound during a pretreatment process.
  • the hydrogenated ligand compound may be the same ligand as a free ligand formed from the metal-organic precursor used during the subsequent vapor deposition process.
  • the free ligand is usually formed by hydrogenation or thermolysis during the deposition process.
  • the processing chamber and substrate are exposed to an alkylamine compound ⁇ e.g., dimethylamine) during a pretreatment process prior to conducting the vapor deposition process which utilizes a metal-organic chemical precursor which may have alkylamino ligands, such as pentakis(dimethylamino) tantalum (PDMAT, ((CHh) 2 N) 5 Ta).
  • the treatment gas contains a hydrogenated ligand compound having the chemical formula of HL, where L is a ligand such as alkylamino, alkylimino, alkoxy, alkyl, alkene, alkyne, cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, or derivatives thereof.
  • L is a ligand such as alkylamino, alkylimino, alkoxy, alkyl, alkene, alkyne, cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, or derivatives thereof.
  • the chemical precursor gas contains a chemical precursor having the chemical formula of ML'*, where x is 1 , 2, 3, 4, 5, 6, or greater, M is an element such as Ti, Zr, Hf, Nb, Ta, Mo, W, Ru, Co, Ni, Pd, Pt, Cu, Al, Ga, In, Si, Ge, Sn, P, As, or Sb, and each L' is independently a ligand such as alkylamino, alkylimino, alkoxy, alkyl, alkene, alkyne, cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, hydrogen, halogen, derivatives thereof, or combinations thereof.
  • M is an element such as Ti, Zr, Hf, Nb, Ta, Mo, W, Ru, Co, Ni, Pd, Pt, Cu, Al, Ga, In, Si, Ge, Sn, P, As, or Sb
  • each L' is independently a lig
  • the method provides that the vapor deposition process is an ALD process and the substrate is sequentially exposed to the alkylamino metal precursor gas and another chemical precursor gas during the ALD process.
  • the vapor deposition process is a CVD process and the substrate is simultaneously exposed to the alkylamino metal precursor gas and another chemical precursor gas during the CVD process.
  • the method provides exposing inner surfaces of a processing chamber and a substrate within the processing chamber to a treatment gas containing an alkylamine compound during a pretreatment process, and exposing the substrate sequentially to an alkylamino metal precursor gas and at least one additional chemical precursor gas while depositing a material on the substrate during an ALD process.
  • the additional chemical precursor gas contains a nitrogen precursor, such as ammonia, which is used to deposit a metal nitride material, such as tantalum nitride.
  • the treatment gas contains an alkylamine compound having the chemical formula of H 2 NR or HNR'R", where each R, R', and R" is independently methyl, ethyl, propyl, butyl, amyl, phenyl, aryl, isomers thereof, derivatives thereof, or combinations thereof.
  • the alkylamine compound may be methylamine, dimethylamine, ethylamine, diethylamine, methylethylamine, propylamine, dipropylamine, butylamine, dibutylamine, isomers thereof, derivatives thereof, or combinations thereof.
  • the treatment gas may further contain at least one carrier gas such as ammonia, hydrogen, nitrogen, argon, helium, or combinations thereof. In one example the treatment gas contains dimethylamine, ammonia, and another carrier gas, such as argon.
  • the alkylamino metal precursor gas contains an alkylamino metal precursor having the chemical formula of ML' X , where x is 1 , 2, 3, 4, 5, 6, or greater, M may be a metal or other element such as Ti, Zr, Hf, Ta, Mo, W, or Si, and each ligand L' is independently a ligand, such as an alkylamino ligand, which include N(CH 3 ) 2 , N(C 2 H 5 ) 2 , N(C 3 Hy) 2 , N(C 4 Hg) 2 , N(CH 3 )(C 2 H 5 ), isomers thereof, derivatives thereof, or combinations thereof.
  • M may be a metal or other element such as Ti, Zr, Hf, Ta, Mo, W, or Si
  • each ligand L' is independently a ligand, such as an alkylamino ligand, which include N(CH 3 ) 2 , N(C 2 H 5 ) 2 , N(C 3 Hy) 2
  • the metal or the element M may be Si, Ti, Zr, or Hf while x is usually 4.
  • the alkylamino metal precursor is a tantalum precursor with the metal M being Ta while x is usually 4 or 5.
  • tantalum precursors include pentakis(dimethylamino) tantalum, pentakis(diethylamino) tantalum, pentakis(ethylmethylamino) tantalum, tert-butylimino tris(dimethylamino) tantalum, tert-butylimino tris(diethylamino) tantalum, tert-butylimino tris(ethylmethylamino) tantalum, tert-amylimino-tris(dimethylamino) tantalum, tert-amylimino- tris(diethylamino) tantalum, tert-amylimino-tris(ethyl) tantalum,
  • the hydrogenated ligand compound within the treatment gas may be an alcohol compound having the chemical formula of ROH, where R is methyl, ethyl, propyl, butyl, amyl, isomers thereof, or derivatives thereof.
  • the alcohol compound may be methanol, ethanol, propanol, butanol, pentanol, isomers thereof, derivatives thereof, or combinations thereof.
  • the hydrogenated ligand compound is an alcohol
  • the chemical precursor may contain an alkoxy ligand such as OCH 3 , OC 2 H 5 , OC 3 H 7 , OC 4 H 9 , isomers thereof, or derivatives thereof.
  • the ligand L of the hydrogenated ligand compound may be cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, isomers thereof, or derivatives thereof and the ligand L' of the chemical precursor may be cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, isomers thereof, or derivatives thereof.
  • the processing chamber generally contains a lid assembly and a chamber body, which may be independently heated to a temperature within a range from about 30 0 C to about 100 0 C, preferably, from about 40 0 C to about 8O 0 C, during the pretreatment process.
  • the inner surfaces of the lid assembly and the chamber body may be exposed to the alkylamine compound gas during the pretreatment process.
  • the pretreatment process may last for a time period within a range from about 5 seconds to about 60 seconds, preferably, from about 15 seconds to about 40 seconds, and more preferably, from about 10 seconds to about 30 seconds.
  • a method for treating a chamber and depositing a material on a substrate surface includes exposing the inner surfaces of a processing chamber and a substrate disposed within the processing chamber to a carrier gas having a continuous flow, introducing a treatment gas containing methylamine or dimethylamine to the continuously flowing carrier gas to expose the inner surfaces of the processing chamber and the substrate to the treatment gas during a pretreatment process.
  • the method further provides alternately or sequentially pulsing a tantalum precursor gas and a nitrogen precursor gas into the continuously flowing carrier gas to sequentially expose the substrate to the tantalum and nitrogen precursor gases while depositing a tantalum nitride material on the substrate during an ALD process.
  • the tantalum precursor gas contains PDMAT and the nitrogen precursor gas contains ammonia.
  • FIG. 1 depicts a flowchart of sequences for ALD process 100 for depositing a tantalum nitride material in accordance with one embodiment described herein.
  • ALD process 100 provides a constant flow of a carrier gas administered into the processing chamber and exposed to a substrate therein.
  • the processing chamber may be heated and pressurized to a predetermined temperature and pressure.
  • the processing chamber and/or substrate may be exposed to a pretreatment process during step 102.
  • the pretreatment process provides exposing the inner surfaces of the processing chamber and the substrate to a treatment gas containing a hydrogenated ligand compound, preferably, an alkylamine compound, such as methylamine, dimethylamine, or derivatives thereof.
  • a hydrogenated ligand compound preferably, an alkylamine compound, such as methylamine, dimethylamine, or derivatives thereof.
  • the treatment gas containing the hydrogenated ligand compound may be exposed to the inner surfaces of the processing chamber or the substrate with or without a carrier gas.
  • the treatment gas contains at least one carrier gas as well as the hydrogenated ligand compound.
  • the carrier gas of the treatment gas may be ammonia, argon, nitrogen, hydrogen, helium, or mixtures thereof.
  • the carrier gas of the treatment gas may include oxygen, nitrous oxide, or air.
  • the processing chamber and the substrate may be exposed to the treatment gas having a gas flow rate within a range from about 0.5 slm to about 20 slm, preferably, from about 1 slm to about 16 slm, and more preferably, from about 2 slm to about 8 slm, such as about 4 slm during step 102.
  • the treatment gas may formed by flowing the carrier gas through an ampoule or a bubbler containing the hydrogenated ligand compound. Alternatively, the treatment gas may formed by co- flowing the hydrogenated ligand compound with the carrier gas.
  • the hydrogenated ligand compound may have a gas flow rate within a range from about 5 seem to about 1 ,000 seem, preferably, from about 25 seem to about 500 seem, and more preferably, from about 50 seem to about 150 seem, such as about 100 seem.
  • the treatment gas contains an alkylamine compound, such as methylamine, dimethylamine, or derivatives thereof, as well as at least one carrier gas.
  • the treatment gas may contain dimethylamine with a flow rate of about 100 seem and argon with a flow rate of about 4 slm.
  • the treatment gas may contain dimethylamine with a flow rate of about 20 seem, ammonia with a flow rate of about 1 slm, and argon with a flow rate of about 8 slm.
  • the processing chamber and/or substrate may be exposed to the treatment gas containing the hydrogenated ligand or other reagent for a time period within a range from about 2 seconds to about 120 seconds, preferably, from about 5 seconds to about 60 seconds, for example, about 20 seconds or about 40 seconds.
  • the processing chamber and the substrate may be maintained approximately below a thermal decomposition temperature of the selected chemical precursor, such as PDMAT.
  • a thermal decomposition temperature of the selected chemical precursor such as PDMAT.
  • An exemplary temperature of the processing chamber, the substrate, and/or the substrate pedestal during the pretreatment process and the deposition process may be within a range from about 100 0 C to about 500 0 C, preferably, from about 200 0 C to about 400 0 C, and more preferably, from about 250 0 C to about 300 0 C.
  • the processing chamber may contain a chamber body and a chamber lid, which each may independently be heated to a temperature within a range from about 25°C to about 300 0 C, preferably, from about 30 0 C to about 100 0 C, and more preferably, from about 40 0 C to about 80 0 C.
  • the processing chamber may have an internal pressure within a range from about 1 mTorr to about 100 Torr, preferably, from about 1 Torr to about 50 Torr, and more preferably, from about 5 Torr to about 20 Torr, such as about 10 Torr.
  • the tantalum nitride layer formation is described as starting a stream of carrier gas into the processing chamber and across the substrate in step 104.
  • a pulse of tantalum precursor is administered into the processing chamber.
  • the tantalum precursor is pulsed into the stream of carrier gas.
  • a monolayer of a tantalum precursor is adsorbed on the substrate.
  • the remaining tantalum precursor may be removed by the flow of the purge gas and/or pull of a vacuum system.
  • the carrier gas is continuously exposed to the substrate and a pulse of nitrogen precursor is added into the carrier gas during step 108.
  • the nitrogen precursor such as ammonia, reacts with the adsorbed tantalum precursor to form a tantalum nitride layer on the substrate.
  • the remaining nitrogen precursor and any by-products may be removed by the flow of the purge gas and/or pull of a vacuum system.
  • the deposition process is ended at step 112.
  • multiple cycles of steps 104-110 are generally repeated before achieving the desired tantalum nitride layer thickness.
  • PDMAT and ammonia are sequentially pulsed for 40 cycles to deposit a film with a thickness about 20 A.
  • the tantalum nitride layer formation may start with the adsorption of a monolayer of a nitrogen precursor on the substrate followed by a monolayer of the tantalum precursor.
  • a pump evacuation alone between pulses of reactant gases and/or purge gases may be used to prevent mixing of the reactant gases.
  • the PDMAT precursor may be heated within an ampoule, a vaporizer, a bubbler, or a similar container prior to flowing into an ALD processing chamber.
  • the PDMAT may be heated to a temperature at least 30 0 C, preferably within a range from about 45°C to about 90 0 C, more preferably from about 50 0 C to about 80 0 C, such as about 73°C.
  • the preheated PDMAT precursor is retained in the carrier gas more thoroughly than if the PDMAT precursor was at room temperature (about 20 0 C).
  • the ampoule, delivery lines, and valves on the ampoule and/or delivery lines may each be independently heated to a temperature within a range from about 25°C to about 300 0 C, preferably, from about 50 0 C to about 150 0 C, and more preferably, from about 70 0 C to about 120 0 C.
  • the sidewalls of the ampoule may be heated to about 85°C
  • the delivery lines may be heated to about 100 0 C
  • the valves may be heated to about 95°C.
  • Pulses of a tantalum precursor or a tantalum-containing compound, such as PDMAT may be introduced into the processing chamber.
  • the tantalum precursor may be provided with the aid of a carrier gas or purge gas, which includes, but is not limited to, helium, argon, nitrogen, hydrogen, forming gas, or mixtures thereof.
  • Pulses of a nitrogen precursor or a nitrogen-containing compound, such as ammonia are also introduced into the processing chamber.
  • a carrier gas may be used to deliver the nitrogen precursor.
  • the flow of purge gas may be continuously provided by a gas sources ⁇ e.g., tank or in-house) to act as a purge gas between the pulses of the tantalum precursor and of the nitrogen precursor and to act as a carrier gas during the pulses of the tantalum precursor and the nitrogen precursor.
  • a pulse of purge gas may be provided after each pulse of the tantalum precursor and each pulse the nitrogen precursor.
  • a constant purge or carrier gas may be flowing through the processing chamber during each of the deposition steps or half reactions.
  • the substrate may be heated to a temperature within a range from about 250 0 C to about 300 0 C and the internal pressure of the chamber may be within a range from about 5 Torr to about 15 Torr.
  • the substrate may be exposed to an argon carrier gas having a flow rate within a range from about 1 ,000 seem to about 3,000 seem, preferably about 1 ,500 seem.
  • a tantalum precursor gas is formed by flowing the argon carrier gas through the ampoule of preheated PDMAT a rate from about 200 seem to about 2,000 seem, preferably about 500 seem.
  • the PDMAT is maintained at about 73°C.
  • a process gas containing PDMAT is administered to the substrate surface for a period of time within a range from about 0.1 seconds to about 3.0 seconds, preferably, from about 0.5 seconds to about 1.5 seconds, for example, about 1 second.
  • the flow of argon carrier gas may continue to purge for a period of time within a range from about 0.2 seconds to about 5.0 seconds, preferably, from about 0.25 seconds to about 1.5 seconds, for example, about 0.5 seconds.
  • a vacuum system removes any remaining PDMAT during this purge step.
  • a pulse of a nitrogen- containing process gas containing ammonia is administered to the substrate surface.
  • the process gas may include the nitrogen precursor in a carrier gas or may be solely the nitrogen precursor. In one example, the process gas contains ammonia and nitrogen.
  • the process gas containing ammonia is delivered a rate from about 1 ,000 seem to about 3,000 seem, preferably about 1 ,500 seem and is administered to the substrate surface for a period of time within a range from about 0.1 seconds to about 3.0 seconds, preferably, from about 0.5 seconds to about 1.5 seconds, fro example about 1 second.
  • the flow of the argon carrier gas may continue for a period of time within a range from about 0.2 seconds to about 5.0 seconds, preferably, from about 0.25 seconds to about 1.5 seconds, for example, about 0.5 seconds.
  • the vacuum system removes any remaining nitrogen precursor and/or any by-products formed during the reaction.
  • the ALD cycle is repeated until a predetermined thickness of the deposited material, such as tantalum nitride, is achieved, such as within a range from about 5 A to about 200 A, preferably, from about 10 A to about 30 A, such as about 20 A for a barrier layer.
  • the treatment of the interior surfaces of the processing chamber during the pretreatment process helps to increase the uniformity (or decrease the non-uniformity) across the substrate surface of the deposited materials - as opposed to not conducting the pretreatment process.
  • the non-uniformity of the deposited material across the substrate surface may be about 12% or less, preferably, about 10% or less, and more preferably, about 8% or less, for example, about 6% or less.
  • FIG. 2 depicts a flowchart of sequences for ALD process 200 for depositing a tantalum nitride material in accordance with one embodiment described herein.
  • ALD process 200 provides a constant flow of a carrier gas administered into the processing chamber and exposed to a substrate therein.
  • the processing chamber may be heated and pressurized to a predetermined temperature and pressure.
  • the processing chamber and/or substrate may be exposed to a pretreatment process during step 202.
  • the pretreatment process provides exposing the inner surfaces of the processing chamber and the substrate to a treatment gas containing a hydrogenated ligand compound, preferably, an alkylamine compound, such as methylamine, dimethylamine, or derivatives thereof.
  • a hydrogenated ligand compound preferably, an alkylamine compound, such as methylamine, dimethylamine, or derivatives thereof.
  • the treatment gas containing the hydrogenated ligand compound may be exposed to the inner surfaces of the processing chamber or the substrate with or without a carrier gas.
  • the treatment gas contains at least one carrier gas as well as the hydrogenated ligand compound.
  • the carrier gas of the treatment gas may be ammonia, argon, nitrogen, hydrogen, helium, or mixtures thereof.
  • the carrier gas of the treatment gas may include oxygen, nitrous oxide, or air.
  • the processing chamber and the substrate may be exposed to the treatment gas having a gas flow rate within a range from about 0.5 slm to about 20 slm, preferably, from about 1 slm to about 16 slm, and more preferably, from about 2 slm to about 8 slm, such as about 4 slm during step 202.
  • the treatment gas may formed by flowing the carrier gas through an ampoule or a bubbler containing the hydrogenated ligand compound. Alternatively, the treatment gas may formed by co- flowing the hydrogenated ligand compound with the carrier gas.
  • the hydrogenated ligand compound may have a gas flow rate within a range from about 5 seem to about 1 ,000 seem, preferably, from about 25 seem to about 500 seem, and more preferably, from about 50 seem to about 150 seem, such as about 100 seem.
  • the treatment gas contains an alkylamine compound, such as methylamine, dimethylamine, or derivatives thereof, as well as at least one carrier gas.
  • the treatment gas may contain dimethylamine with a flow rate of about 100 seem and argon with a flow rate of about 4 slm.
  • the treatment gas may contain dimethylamine with a flow rate of about 20 seem, ammonia with a flow rate of about 1 slm, and argon with a flow rate of about 8 slm.
  • the processing chamber and/or substrate may be exposed to the treatment gas containing the hydrogenated ligand or other reagent for a time period within a range from about 2 seconds to about 120 seconds, preferably, from about 5 seconds to about 60 seconds, for example, about 20 seconds or about 40 seconds.
  • a first pulse of purge gas is administered into the processing chamber and across the substrate during step 204.
  • a vacuum system removes gases from the processing chamber during steps 204 and 208.
  • the substrate is exposed to a pulse of the tantalum precursor.
  • the PDMAT adsorbs to the substrate forming a monolayer.
  • a second pulse of purge gas removes excess PDMAT and any gaseous contaminants during step 208.
  • a nitrogen precursor is pulsed into the chamber and across the substrate. The nitrogen precursor reacts with the adsorbed PDMAT to form a tantalum- containing material, such as tantalum nitride.
  • step 212 if the desired tantalum nitride layer thickness is achieved, then the deposition process is ended at step 214.
  • steps 204-212 are generally repeated before achieving the desired tantalum nitride layer thickness.
  • PDMAT and ammonia are sequentially pulsed for 20 cycles to deposit a film with a thickness about 10 A.
  • the processing chamber and the substrate may be maintained approximately below a thermal decomposition temperature of the selected chemical precursor, such as PDMAT.
  • a thermal decomposition temperature of the selected chemical precursor such as PDMAT.
  • An exemplary temperature of the processing chamber, the substrate, and/or the substrate pedestal during the pretreatment process and the deposition process may be within a range from about 100 0 C to about 500 0 C, preferably, from about 200 0 C to about 400 0 C, and more preferably, from about 250 0 C to about 300 0 C.
  • the processing chamber may contain a chamber body and a chamber lid, which each may independently be heated to a temperature within a range from about 25°C to about 300 0 C, preferably, from about 30 0 C to about 100 0 C, and more preferably, from about 40 0 C to about 80 0 C.
  • the processing chamber may have an internal pressure within a range from about 1 mTorr to about 100 Torr, preferably, from about 1 Torr to about 50 Torr, and more preferably, from about 5 Torr to about 20 Torr, such as about 10 Torr.
  • the tantalum precursor gas may be formed by flowing the argon carrier gas through the ampoule of preheated PDMAT as described above.
  • the substrate may be exposed to the tantalum precursor gas containing PDMAT for a period of time within a range from about 0.1 seconds to about 3.0 seconds, preferably, from about 0.5 seconds to about 1.5 seconds, and more preferably about 1 second.
  • a pulse of purge gas may be injected into the processing chamber and the vacuum system removes the various gases from the interior of the processing chamber for a time period within a range from about 0.2 seconds to about 5.0 seconds, preferably, from about 0.25 seconds to about 1.5 seconds, and more preferably, about 0.5 seconds.
  • the substrate is exposed to a pulse of a nitrogen precursor gas or a nitrogen-containing process gas containing ammonia.
  • the nitrogen precursor gas may include the nitrogen precursor in a carrier gas or may be solely the nitrogen precursor.
  • the nitrogen precursor gas containing ammonia may be delivered at a rate from about 1 ,000 seem to about 3,000 seem, preferably about 1 ,500 seem and exposed to the substrate for a period of time within a range from about 0.1 seconds to about 3.0 seconds, preferably, from about 0.5 seconds to about 1.5 seconds, and more preferably about 1 second.
  • another pulse of purge gas may be injected into the processing chamber and the vacuum system removes the various gases from the interior of the processing chamber for a time period within a range from about 0.2 seconds to about 5.0 seconds, preferably, from about 0.25 seconds to about 1.5 seconds, and more preferably, about 0.5 seconds.
  • the ALD cycle is repeated until a predetermined thickness of the tantalum-containing layer, such as tantalum nitride, is achieved, such as within a range from about 5 A to about 200 A, preferably, from about 10 A to about 30 A, such as about 20 ⁇ .
  • the time duration for each pulse of tantalum-containing gas, pulse of the nitrogen-containing gas, and pulse of purge gas between pulses of the reactants are variable and depend on the volume capacity of a deposition chamber employed as well as a vacuum system coupled thereto. For example, (1) a lower chamber pressure of a gas will require a longer pulse time; (2) a lower gas flow rate will require a longer time for chamber pressure to rise and stabilize requiring a longer pulse time; and (3) a large-volume chamber will take longer to fill, longer for chamber pressure to stabilize thus requiring a longer pulse time. Similarly, time between each pulse is also variable and depends on volume capacity of the processing chamber as well as the vacuum system coupled thereto.
  • the time duration of a pulse of the tantalum-containing gas or the nitrogen-containing gas should be long enough for adsorption or reaction of a monolayer of the compound.
  • a pulse of a tantalum-containing gas may still be in the chamber when a pulse of a nitrogen-containing gas enters.
  • the duration of the purge gas and/or pump evacuation should be long enough to prevent the pulses of the tantalum-containing gas and the nitrogen-containing gas from mixing together in the reaction zone.
  • the processing chamber may be exposed to a treatment gas during a pretreatment process prior to forming other materials on the substrate thereon.
  • the hydrogenated ligand compound may be an alkylamine compound, such as methylamine or dimethylamine
  • PDMAT may be used as a tantalum precursor to form other tantalum-containing material, such as tantalum oxide, tantalum silicon nitride, tantalum boron nitride, tantalum phosphorous nitride, tantalum oxynitride, or tantalum silicate.
  • Processes 100 and 200 may be modified in order to obtain ternary tantalum-containing materials.
  • a tantalum silicon nitride material may be formed if the substrate is exposed to a pulse of a silicon precursor as an additional step of the ALD cycle containing the pulses of the tantalum precursor gas and a nitrogen precursor.
  • a tantalum oxynitride material may be formed if the substrate is exposed to a pulse of an oxygen precursor as an additional step of the ALD cycle containing the pulses of the tantalum precursor gas and a nitrogen precursor.
  • a tantalum silicate material may be formed if the substrate is exposed to a pulse of the tantalum precursor gas, a pulse of a silicon precursor, and a pulse of an oxygen precursor during the ALD cycle.
  • a tantalum oxide material may be formed if the substrate is exposed to a pulse of the tantalum precursor gas and a pulse of an oxygen precursor during the ALD cycle.
  • a tantalum phosphorous nitride material may be formed if the substrate is exposed to a pulse of the tantalum precursor gas, a pulse of a nitrogen precursor and a pulse of a phosphorous precursor (e.g., phosphine) during the ALD cycle.
  • a tantalum boron nitride material may be formed if the substrate is exposed to a pulse of the tantalum precursor gas, a pulse of a nitrogen precursor and a pulse of a boron precursor ⁇ e.g., diborane) during the ALD cycle.
  • tantalum nitride material may be formed or deposited with the chemical formula TaN x , where x is within a range from about 0.4 to about 2.0.
  • the tantalum nitride materials may be formed with empirical formulas of TaN, Ta 3 N 5 , Ta 2 N, or Ta 6 N 2 57 .
  • the tantalum nitride materials may be deposited as amorphous or crystalline materials.
  • the ALD process provides stoichiometric control during the deposition of the tantalum nitride materials.
  • the stoichiometry may be altered by various procedures following the deposition process, such as when Ta 3 N 5 is thermally annealed to form TaN.
  • the ratio of the precursors may be altered during deposition to control the stoichiometry of the tantalum nitride materials.
  • the various tantalum materials such as tantalum nitride
  • ALD processes which utilize the tantalum precursor PDMAT and the hydrogenated ligand compound dimethylamine.
  • other chemical precursors and hydrogenated ligand compounds are within the scope of embodiments of the invention.
  • An important characteristic for a chemical precursor used in a vapor deposition process is to have a favorable vapor pressure.
  • the chemical precursor may have a gaseous state, a liquid state, or a solid state at ambient temperature and/or pressure.
  • precursors are volatilized to a gas and delivered to the ALD or CVD processing chamber. The chemical precursors are usually heated prior to being delivered into the processing chamber.
  • Tantalum precursors may contain ligands such as alkylamino, alkylimino, cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, alkyl, alkene, alkyne, alkoxyl, isomers thereof, derivatives thereof, or combinations thereof.
  • Alkylamino tantalum compounds used as tantalum precursors include (RR 1 N) 5 Ta, where each of R or R' is independently hydrogen, methyl, ethyl, propyl, or butyl.
  • Alkylimino tantalum compounds used as tantalum precursors include (RN)(R'R"N) 3 Ta, where each of R, R', or R" is independently hydrogen, methyl, ethyl, propyl, butyl, or pentyl (amyl).
  • Exemplary tantalum precursors include pentakis(dimethylamino) tantalum (PDMAT, (Me 2 N) 5 Ta), pentakis(diethylamino) tantalum (PDEAT, (Et 2 N) 5 Ta), pentakis(ethylmethylamino) tantalum (PEMAT, (EtMeN) 5 Ta), tert-butylimino tris(dimethylamino) tantalum (TBTDMT, ( ( BuN)Ta(NMe 2 ) 3 ), tert-butylimino tris(diethylamino) tantalum (TBTDET, ( 1 BuN)Ta(NEy 3 ), tert-butylimino tris(ethylmethylamino) tantalum (TBTEMT, ( 1 BuN)Ta(NMeEt) 3 ), tert-amylimino- tris(dimethylamino) tantalum (TAIMATA,
  • TAIMATA is used herein to describe tertiaryamylimino- tris(dimethylamino) tantalum with the chemical formula ( l AmylN)Ta(NMe 2 ) 3 , wherein ⁇ myl is the tertiaryamyl (tert-amyl) group (C 5 H 11 - or CH 3 CH 2 C(CHa) 2 -).
  • a tantalum-containing gas may be formed by heating a liquid TAIMATA precursor in a vaporizer, a bubbler or an ampoule to a temperature of at least 30 0 C, preferably to a temperature within a range from about 50 0 C to about 80 0 C.
  • a carrier gas may be flown across or bubbled through the heated TAIMATA to form a tantalum-containing gas.
  • exemplary chemical precursors that may also be used in vapor deposition (e.g., ALD or CVD) processes include titanium precursors, tungsten precursors, hafnium precursors, zirconium precursors, aluminum precursors, cobalt precursors, ruthenium precursors, copper precursors, silicon precursors, nitrogen precursors, oxygen precursors, as well as other chemical precursors.
  • Materials that may be formed or deposited include a variety of metals, nitrides, oxides, suicides, including metallic tantalum, tantalum nitride, tantalum oxide, tantalum oxynitride, tantalum suicide, tantalum suicide nitride, metallic titanium, titanium nitride, titanium oxide, titanium oxynitride, titanium suicide, titanium suicide nitride, metallic tungsten, tungsten nitride, tungsten oxide, tungsten boronitride, tungsten suicide, tungsten suicide nitride, tungsten boride, metallic hafnium, hafnium nitride, hafnium oxide, hafnium oxynitride, hafnium suicide, hafnium silicon nitride, hafnium silicate, hafnium silicon oxynitride, metallic zirconium, zirconium nitride, zirconium oxide, zirconium oxynitrid
  • the treatment gas contains a hydrogenated ligand compound having the chemical formula of HL, where L is a ligand such as alkylamino, alkylimino, alkoxy, alkyl, alkene, alkyne, cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, or derivatives thereof.
  • L is a ligand such as alkylamino, alkylimino, alkoxy, alkyl, alkene, alkyne, cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, or derivatives thereof.
  • the treatment gas contains a hydrogenated ligand compound which may be an alkylamine compound having the chemical formula of H 2 NR or HNR'R", where each R, R', and R" is independently methyl, ethyl, propyl, butyl, amyl, phenyl, aryl, isomers thereof, derivatives thereof, or combinations thereof.
  • the alkylamine compound may be methylamine, dimethylamine, ethylamine, diethylamine, methylethylamine, propylamine, dipropylamine, butylamine, dibutylamine, isomers thereof, derivatives thereof, or combinations thereof.
  • the treatment gas further contains at least one carrier gas such as ammonia, hydrogen, nitrogen, argon, helium, or combinations thereof.
  • the treatment gas contains dimethylamine, ammonia, and another carrier gas, such as argon.
  • the treatment gas contains a hydrogenated ligand compound which may be an alcohol compound having the chemical formula of ROH, where R is methyl, ethyl, propyl, butyl, amyl, isomers thereof, or derivatives thereof.
  • the alcohol compound may be methanol, ethanol, propanol, butanol, pentanol, isomers thereof, derivatives thereof, or combinations thereof.
  • the chemical precursor contains an alkoxy ligand such as OCH 3 , OC 2 H 5 , OC 3 H 7 , OC 4 Hg, isomers thereof, or derivatives thereof.
  • the precursor gas contains a chemical precursor having the chemical formula of ML' X , where x is 1 , 2, 3, 4, 5, 6, or greater, M is an element such as Ti, Zr, Hf, Nb, Ta, Mo, W, Ru, Co, Ni, Pd, Pt, Cu, Al, Ga, In, Si, Ge, Sn, P, As, or Sb, and each L' is independently a ligand such as alkylamino, alkylimino, alkoxy, alkyl, alkene, alkyne, cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, hydrogen, halogen, derivatives thereof, or combinations thereof.
  • M is an element such as Ti, Zr, Hf, Nb, Ta, Mo, W, Ru, Co, Ni, Pd, Pt, Cu, Al, Ga, In, Si, Ge, Sn, P, As, or Sb
  • each L' is independently a
  • the ligand L of the hydrogenated ligand compound may be cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, isomers thereof, or derivatives thereof and the ligand L' of the chemical precursor may be cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, isomers thereof, or derivatives thereof.
  • the precursor gas contains the alkylamino metal precursor gas contains an alkylamino metal precursor having the chemical formula of MU x , where x is 1 , 2, 3, 4, 5, 6, or greater, M may be a metal or other element such as Ti, Zr, Hf, Ta, Mo, W, or Si, and each ligand L' is independently a ligand, such as an alkylamino ligand, which include N(CH 3 ) 2 , N(C 2 Hs) 2 , N(C 3 Hy) 2 , N(C 4 Hg) 2 , N(CH 3 )(C 2 H 5 ), isomers thereof, derivatives thereof, or combinations thereof.
  • metal/element M may be Si, Ti, Zr, or Hf while x is usually 4.
  • the alkylamino metal precursor is a tantalum precursor with metal M being Ta while x is usually 4 or 5.
  • the hydrogenated ligand compound may be an alcohol compound having the chemical formula of ROH, where R is methyl, ethyl, propyl, butyl, amyl, isomers thereof, or derivatives thereof.
  • the alcohol compound may be methanol, ethanol, propanol, butanol, pentanol, isomers thereof, derivatives thereof, or combinations thereof.
  • the first precursor contains an alkoxy ligand such as OCH 3 , OC 2 H 5 , OC 3 H 7 , OC 4 H 9 , isomers thereof, or derivatives thereof.
  • the ligand L of the hydrogenated ligand compound may be cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, isomers thereof, or derivatives thereof and the ligand L' of the first precursor may be cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, isomers thereof, or derivatives thereof.
  • Titanium precursors useful for depositing materials as described herein include tetrakis(dimethylamino) titanium (TDMAT), tetrakis(ethylmethylamino) titanium (TEMAT), tetrakis(diethylamino) titanium (TDEAT), or derivatives thereof.
  • Tungsten precursors useful for depositing materials as described herein include bis(tert-butylimino)-bis(dimethylamino) tungsten ((*BuN) 2 W(NMe 2 ) 2 ), bis(tert- butylimino)-bis(diethylamino) tungsten (( t BuN) 2 W(NEt 2 ) 2 ), bis(tert-butylimino)- bis(ethylmethylamino) tungsten (('BuN) 2 W(NEtMe) 2 ), or derivatives thereof.
  • Hafnium alkylamino compounds useful as hafnium precursors include (RR 1 N) 4 Hf, where each R and R' is independently hydrogen, methyl, ethyl, propyl, butyl, amyl, or isomers thereof.
  • Hafnium precursors useful for depositing materials as described herein include tetrakis(diethylamino) hafnium ((Et 2 N) 4 Hf, TDEAH), tetrakis(dimethylamino) hafnium ((Me 2 N) 4 Hf, TDMAH), tetrakis(ethylmethylamino) hafnium ((EtMeN) 4 Hf, TEMAH), hafnium tetramethoxide ((MeO) 4 Hf), hafnium tetraethoxide ((EtO) 4 Hf), hafnium tetrapropoxide ((PrO) 4 Hf), hafnium tetrabutoxide ((BuO) 4 Hf), isomers thereof, or derivatives thereof.
  • hafnium precursors may include hafnium chloride (HfCI 4 ), hafnium iodide (HfI 4 ), ( t BuC 5 H 4 ) 2 HfCI 2 , (C 5 Hs) 2 HfCI 2 , (EtC 5 H 4 ) 2 HfCI 2) (Me 5 Cs) 2 HfCI 2 , (Me 5 C 5 )HfCI 3 , ('PrCsH 4 ) 2 HfCI 2 , ( 1 PrC 5 H 4 )HfCI 3 , ( t BuC 5 H 4 ) 2 HfMe 2 , (acac) 4 Hf, (hfac) 4 Hf, (tfac) 4 Hf, (thd) 4 Hf, (NOa) 4 Hf, or derivatives thereof.
  • Zirconium alkylamino compounds useful as zirconium precursors include (RR 1 N) 4 Zr, where each R and R' is independently hydrogen, methyl, ethyl, propyl, butyl, amyl, or isomers thereof.
  • Zirconium precursors useful for depositing materials as described herein include tetrakis(diethylamino) zirconium ((Et 2 N) 4 Zr), tetrakis(dimethylamino) zirconium ((Me 2 N) 4 Zr), tetrakis(ethylmethylamino) zirconium ((EtMeN) 4 Zr), zirconium tetramethoxide ((MeO) 4 Zr), zirconium tetraethoxide ((EtO) 4 Zr), zirconium tetrapropoxide ((PrO) 4 Zr), zirconium tetrabutoxide ((BuO) 4 Zr), isomers thereof, or derivatives thereof.
  • zirconium precursors may include zirconium chloride (ZrCI 4 ), zirconium iodide (ZrI 4 ), ( t BuC 5 H 4 ) 2 ZrCI 2 , (C 5 Hs) 2 ZrCI 2 , (EtC 5 H 4 J 2 ZrCI 2 , (Me 5 Cs) 2 ZrCI 2 , (Me 5 C 5 )ZrCI 3 , ('PrC 5 H 4 ) 2 ZrCI 2 , ( 1 PrC 5 H 4 )ZrCI 3 , ('BuC 5 H 4 ) 2 ZrMe 2 , (acac) 4 Zr, (Zrac) 4 Zr, (tfac) 4 Zr, (thd) 4 Zr, (NO 3 ) 4 Zr, or derivatives thereof.
  • ZrCI 4 zirconium chloride
  • ZrI 4 zirconium iodide
  • ZrI 4 zirconium iodide
  • Aluminum precursors useful for depositing materials as described herein include aluminum methoxide ((MeO) 3 AI), aluminum ethoxide ((EtO) 3 AI), aluminum propoxide ((PrO) 3 AI), aluminum butoxide ((BuO) 3 AI), or derivatives thereof.
  • Silicon precursors useful for depositing materials as described herein include silane compounds, alkylamino silane compounds, silanol, or alkoxysilane compounds, as well as other silicon containing compounds.
  • Alkylamino silane compounds useful as silicon precursors include (RR'N) 4 - n SiH n , where R or R' are independently hydrogen, methyl, ethyl, propyl, butyl, amyl, isomers thereof, or derivatives thereof and n is 0, 1 , 2, or 3.
  • Alkoxy silane compounds may be described by the generic chemical formula (RO) 4 - n Sil_ n , where R is methyl, ethyl, propyl, butyl, amyl, isomers thereof, or derivatives thereof and L is H, OH, F, Cl, Br, I, methyl, ethyl, propyl, butyl, or mixtures thereof, and n is 0, 1 , 2, or 3.
  • R is methyl, ethyl, propyl, butyl, amyl, isomers thereof, or derivatives thereof and L is H, OH, F, Cl, Br, I, methyl, ethyl, propyl, butyl, or mixtures thereof, and n is 0, 1 , 2, or 3.
  • Silicon precursors may include tetrakis(dimethylamino) silane ((Me 2 N) 4 Si, DMAS), tris(dimethylamino) silane ((Me 2 N) 3 SiH, Tris-DMAS), bis(dimethylamino) silane ((Me 2 N) 2 SiH 2 ), dimethylamino silane ((Me 2 N)SiH 3 ), tetrakis(diethylamino) silane ((Et 2 N) 4 Si)), tris(diethylamino) silane ((Et 2 N) 3 SiH), tetrakis(methylethylamino) silane ((MeEtN) 4 Si), tris(methylethylamino) silane ((MeEtN) 3 SiH), tetramethoxysilane ((MeO) 4 Si), tetraethoxysilane ((EtO) 4 Si), isomers thereof, derivative
  • silicon precursors that may be used in vapor deposition processes described herein include silane (SiH 4 ), disilane (Si 2 H 6 ), tetrachlorosilane (SiCI 4 ), hexachlorodisilane (Si 2 CI 6 ), tetraisocyanate silane (Si(NCO) 4 ), trisocyanate methylsilane (MeSi(NCO) 3 ), or derivatives thereof.
  • a family of ruthenium precursors useful to form a ruthenium material during the deposition process described herein includes pyrrolyl ruthenium precursors.
  • the hydrogenated ligand compound within the treatment gas may be a hydrogenated pyrrolyl ligand, pyridine, or derivatives thereof.
  • a pyrrolyl ruthenium precursor contains ruthenium and at least one pyrrolyl ligand or at least one pyrrolyl derivative ligand.
  • a pyrrolyl ruthenium precursor may have a pyrrolyl ligand, such as, for example:
  • R 1 , R 2 , R 3 , R 4 , and R 5 is each independently absent, hydrogen, an alkyl group (e.g., methyl, ethyl, propyl, butyl, amyl, or higher), an amine group, an alkoxy group, an alcohol group, an aryl group, another pyrrolyl group (e.g., 2,2'-bipyrrolyl), a pyrazole group, derivatives thereof, or combinations thereof.
  • the pyrrolyl ligand may have any two or more of R 1 , R 2 , R 3 , R 4 , and R 5 connected together by a chemical group.
  • R 2 and R 3 may be a portion of a ring structure such as an indolyl group or derivative thereof.
  • a pyrrolyl ruthenium precursor as used herein refers to any chemical compound containing ruthenium and at least one pyrrolyl ligand or at least one derivative of a pyrrolyl ligand.
  • a pyrrolyl ruthenium precursor may include bis(tetramethylpyrrolyl) ruthenium, bis(2,5- dimethylpyrrolyl) ruthenium, bis(2,5-diethylpyrrolyl) ruthenium, bis(tetraethylpyrrolyl) ruthenium, pentadienyl tetramethylpyrrolyl ruthenium, pentadienyl 2,5- dimethylpyrrolyl ruthenium, pentadienyl tetraethylpyrrolyl ruthenium, pentadienyl 2,5- diethylpyrrolyl ruthenium, 1 ,3-dimethylpentadienyl pyrrolyl ruthenium, 1 ,3- diethylpentadienyl pyrrolyl ruthenium, methylcyclopentadienyl pyrrolyl ruthenium, ethylcycl
  • a pyrrolyl ligand as used herein, may be abbreviated by "py” and a pyrrolyl derivative ligand may be abbreviated by "R-py.”
  • Exemplary pyrrolyl ruthenium precursors useful to form a ruthenium material during the deposition process described herein include alkyl pyrrolyl ruthenium precursors (e.g., (R x - py)Ru), bis(pyrrolyl) ruthenium precursors (e.g., (py) 2 Ru) and dienyl pyrrolyl ruthenium precursors (e.g., (Cp)(py)Ru).
  • alkyl pyrrolyl ruthenium precursors include methylpyrrolyl ruthenium, ethylpyrrolyl ruthenium, propylpyrrolyl ruthenium, dimethylpyrrolyl ruthenium, diethylpyrrolyl ruthenium, dipropylpyrrolyl ruthenium, trimethylpyrrolyl ruthenium, triethylpyrrolyl ruthenium, tetramethylpyrrolyl ruthenium, tetraethylpyrrolyl ruthenium, or derivatives thereof.
  • bis(pyrrolyl) ruthenium precursors include bis(pyrrolyl) ruthenium, bis(methylpyrrolyl) ruthenium, bis(ethylpyrrolyl) ruthenium, bis(propylpyrrolyl) ruthenium, bis(dimethylpyrrolyl) ruthenium, bis(diethylpyrrolyl) ruthenium, bis(dipropylpyrrolyl) ruthenium, bis(trimethylpyrrolyl) ruthenium, bis(triethylpyrrolyl) ruthenium, bis(tetramethylpyrrolyl) ruthenium, bis(tetraethylpyrrolyl) ruthenium, methylpyrrolyl pyrrolyl ruthenium, ethylpyrrolyl ruthenium, propylpyrrolyl pyrrolyl ruthenium, dimethylpyrrolyl pyrrolyl ruthenium, die
  • a dienyl pyrrolyl ruthenium precursor contains at least one dienyl ligand and at least one pyrrolyl ligand.
  • the dienyl ligand may contain a carbon backbone with as little as four carbon atoms or as many as about ten carbon atoms, preferably, about five or six.
  • the dienyl ligand may have a ring structure (e.g., cyclopentadienyl) or may be an open alkyl chain (e.g., pentadienyl).
  • dienyl ligand may contain no alkyl groups, one alkyl group, or many alkyl groups.
  • the dienyl pyrrolyl ruthenium precursor contains a pentadienyl ligand or an alkylpentadienyl ligand.
  • pentadienyl pyrrolyl ruthenium precursors include pentadienyl pyrrolyl ruthenium, pentadienyl methylpyrrolyl ruthenium, pentadienyl ethylpyrrolyl ruthenium, pentadienyl propylpyrrolyl ruthenium, pentadienyl dimethylpyrrolyl ruthenium, pentadienyl diethylpyrrolyl ruthenium, pentadienyl dipropylpyrrolyl ruthenium, pentadienyl trimethylpyrrolyl ruthenium, pentadienyl triethylpyrrolyl ruthenium, pentadienyl te
  • alkylpentadienyl pyrrolyl ruthenium precursors include alkylpentadienyl pyrrolyl ruthenium, alkylpentadienyl methylpyrrolyl ruthenium, alkylpentadienyl ethylpyrrolyl ruthenium, alkylpentadienyl propylpyrrolyl ruthenium, alkylpentadienyl dimethylpyrrolyl ruthenium, alkylpentadienyl diethylpyrrolyl ruthenium, alkylpentadienyl dipropylpyrrolyl ruthenium, alkylpentadienyl trimethylpyrrolyl ruthenium, alkylpentadienyl triethylpyrrolyl ruthenium, alkylpentadienyl tetramethylpyrrolyl ruthenium, alkylpentadienium
  • the dienyl pyrrolyl ruthenium precursor contains a cyclopentadienyl ligand or an alkylcyclopentadienyl ligand.
  • cyclopentadienyl pyrrolyl ruthenium precursors include cyclopentadienyl pyrrolyl ruthenium, cyclopentadienyl methylpyrrolyl ruthenium, cyclopentadienyl ethylpyrrolyl ruthenium, cyclopentadienyl propylpyrrolyl ruthenium, cyclopentadienyl dimethylpyrrolyl ruthenium, cyclopentadienyl diethylpyrrolyl ruthenium, cyclopentadienyl dipropylpyrrolyl ruthenium, cyclopentadienyl trimethylpyrrolyl ruthenium, cyclopentadienyl trimethylpyrrolyl rut
  • alkylcyclopentadienyl pyrrolyl ruthenium precursors include alkylcyclopentadienyl pyrrolyl ruthenium, alkylcyclopentadienyl methylpyrrolyl ruthenium, alkylcyclopentadienyl ethylpyrrolyl ruthenium, alkylcyclopentadienyl propylpyrrolyl ruthenium, alkylcyclopentadienyl dimethylpyrrolyl ruthenium, alkylcyclopentadienyl diethylpyrrolyl ruthenium, alkylcyclopentadienyl dipropylpyrrolyl ruthenium, alkylcyclopentadienyl trimethylpyrrolyl ruthenium, alkylcyclopentadienyl triethylpyrrolyl ruthenium, alkylcyclopentadienyl tetramethylpyrrolyl ruthen
  • a ruthenium precursor may contain no pyrrolyl ligand or pyrrolyl derivative ligand, but instead, contains at least one open chain dienyl ligand, such as CH 2 CRCHCRCHk, where R is independently an alkyl group or hydrogen.
  • a ruthenium precursor may have two open-chain dienyl ligands, such as pentadienyl or heptadienyl.
  • a bis(pentadienyl) ruthenium compound has a generic chemical formula (CH 2 CRCHCRCH 2 ⁇ RU, where R is independently an alkyl group or hydrogen. Usually, R is independently hydrogen, methyl, ethyl, propyl or butyl.
  • ruthenium precursors may include bis(dialkylpentadienyl) ruthenium compounds, bis(alkylpentadienyl) ruthenium compounds, bis(pentadienyl) ruthenium compounds, or combinations thereof.
  • ruthenium precursors include bis(2,4-dimethylpentadienyl) ruthenium, bis(2,4-diethylpentadienyl) ruthenium, bis(2,4-diisopropylpentadienyl) ruthenium, bis(2,4-ditertbutylpentadienyl) ruthenium, bis(methylpentadienyl)ruthenium, bis(ethylpentadienyl) ruthenium, bis(isopropylpentadienyl) ruthenium, bis(tertbutylpentadienyl) ruthenium, derivatives thereof, or combinations thereof.
  • other ruthenium precursors include tris(2,2,6,6-tetramethyl-3,5-heptanedionato) ruthenium, dicarbonyl pentadienyl ruthenium, ruthenium acetyl acetonate, 2,4-dimethylpentadienyl cyclopentadienyl ruthenium, bis(2,2,6,6-tetramethyl-3,5-heptanedionato) (1 ,5- cyclooctadiene) ruthenium, 2,4-dimethylpentadienyl methylcyclopentadienyl ruthenium, 1 ,5-cyclooctadiene cyclopentadienyl ruthenium, 1 ,5-cyclooctadiene methylcyclopentadienyl ruthenium, 1 ,5-cyclooctadiene ethylcyclopentadienyl ruthen
  • Cobalt precursors useful for depositing materials as described herein include cobalt carbonyl complexes, cobalt amidinates compounds, cobaltocene compounds, cobalt dienyl complexes, cobalt nitrosyl complexes, derivatives thereof, complexes thereof, plasma thereof, or combinations thereof.
  • cobalt materials may be deposited by CVD and ALD processes further described in commonly assigned U.S. Pat. Nos. 7,1164,846 and 7,404,985, which are herein incorporated by reference.
  • cobalt carbonyl compounds or complexes may be utilized as cobalt precursors.
  • Cobalt carbonyl compounds or complexes have the general chemical formula (CO) x Co y L z , where X may be 1 , 2, 3, 4, 5, 6, 7, 8, 9, 10, 11 , or 12, Y may be 1 , 2, 3, 4, or 5, and Z may be 1 , 2, 3, 4, 5, 6, 7, or 8.
  • the group L is absent, one ligand or multiple ligands, that may be the same ligand or different ligands, and include cyclopentadienyl, alkylcyclopentadienyl (e.g., methylcyclopentadienyl or pentamethylcyclopentadienyl), pentadienyl, alkylpentadienyl, cyclobutadienyl, butadienyl, ethylene, allyl (or propylene), alkenes, dialkenes, alkynes, acetylene, butylacetylene, nitrosyl, ammonia, or derivatives thereof.
  • alkylcyclopentadienyl e.g., methylcyclopentadienyl or pentamethylcyclopentadienyl
  • pentadienyl alkylpentadienyl
  • cyclobutadienyl butadienyl
  • dicobalt hexacarbonyl acetyl compounds may be used to form cobalt materials ⁇ e.g., cobalt layer 220) during a deposition process.
  • Dicobalt hexacarbonyl acetyl compounds may have the chemical formula of (CO) 6 Co 2 (RC ⁇ CR'), wherein R and R' are independently hydrogen, methyl, ethyl, propyl, isopropyl, butyl, tertbutyl, penta, benzyl, aryl, isomers thereof, derivatives thereof, or combinations thereof.
  • dicobalt hexacarbonyl butylacetylene (CCTBA, (CO) 6 CO 2 (HCSC 1 BU)) is the cobalt precursor.
  • dicobalt hexacarbonyl acetyl compounds include dicobalt hexacarbonyl methylbutylacetylene ((CO) 6 Co 2 (MeCsC 1 Bu)), dicobalt hexacarbonyl phenylacetylene ((CO) 6 Co 2 (HC ⁇ CPh)), hexacarbonyl methylphenylacetylene ((CO) 6 Co 2 (MeC ⁇ CPh)), dicobalt hexacarbonyl methylacetylene
  • cobalt amidinates or cobalt amino complexes may be utilized as cobalt precursors.
  • Cobalt amino complexes have the general chemical formula (RR 1 N) x Co, where X may be 1 , 2, or 3, and R and R' are independently hydrogen, methyl, ethyl, propyl, butyl, alkyl, silyl, alkylsilyl, derivatives thereof, or combinations thereof.
  • Some exemplary cobalt amino complexes include bis(di(butyldimethylsilyl)amino) cobalt (((BuMe 2 Si) 2 N) 2 Co), bis(di(ethyldimethylsilyl)amino) cobalt (((EtMe 2 Si) 2 N) 2 Co), bis(di(propyldimethylsilyl)amino) cobalt (((PrMe 2 Si) 2 N) 2 Co), bis(di(trimethylsilyl)amino) cobalt (((Me 3 Si) 2 N) 2 Co), tris(di(trimethylsilyl)amino) cobalt (((Me 3 Si) 2 N) 3 Co), or derivatives thereof.
  • Some exemplary cobalt precursors include methylcyclopentadienyl cobalt bis(carbonyl) (MeCpCo(CO) 2 ), ethylcyclopentadienyl cobalt bis(carbonyl) (EtCpCo(CO) 2 ), pentamethylcyclopentadienyl cobalt bis(carbonyl) (Me 5 CpCo(CO) 2 ), dicobalt octa(carbonyl) (Co 2 (CO) 8 ), nitrosyl cobalt tris(carbonyl) ((ON)Co(CO) 3 ), bis(cyclopentadienyl) cobalt, (cyclopentadienyl) cobalt (cyclohexadienyl), cyclopentadienyl cobalt (1 ,3-hexadienyl), (cyclobutadienyl) cobalt (cyclopentadienyl), bis(methylcyclopentadieny
  • Nitrogen precursors may be used to deposit nitride or nitrogen-containing materials.
  • Nitrogen precursors useful for depositing materials as described herein include ammonia (NH 3 ), hydrazine (N 2 H 4 ), methyl hydrazine ((CH 3 )HN 2 H 2 ), dimethyl hydrazine ((CH 3 ) 2 N 2 H 2 ), t-butylhydrazine (C 4 H 9 N 2 H 3 ), phenylhydrazine (C 6 H 5 N 2 H 3 ), other hydrazine derivatives, amines, a nitrogen plasma source ⁇ e.g., N 2 , atomic-N, N 2 /H 2 , NH 3 , or a N 2 H 4 plasma), 2,2'-azotertbutane ((CH 3 ) 6 C 2 N 2 ), organic or alkyl azides, such as methylazide (CH 3 N 3 ), ethylazide (C 2 H 5 N 3 ),
  • Radical nitrogen compounds such as N 3 , N 2 , N, NH, or NH 2 , may be produced by heat, hot-wires, in situ plasma, or remote plasma.
  • the nitrogen precursor is ammonia.
  • the nitrogen precursor contains a nitrogen plasma formed in situ or by a remote plasma system.
  • tantalum nitride examples include oxygen sources and reductants.
  • a tantalum-containing material such as tantalum silicate, tantalum oxide, or tantalum oxynitride may be formed with the addition of an oxygen source to the vapor deposition ⁇ e.g., ALD or CVD) process.
  • Oxygen sources or oxygen precursors include atomic-O, O 2 , O 3 , H 2 O, H 2 O 2 , organic peroxides, derivatives thereof, or combinations thereof.
  • Reducing compounds may be included in the vapor deposition process to form a tantalum precursor, such as metallic tantalum, tantalum boron nitride or tantalum phosphorous nitride.
  • Reducing compounds include borane (BH 3 ), diborane (B 2 He), alkylboranes ⁇ e.g., Et 3 B), phosphine (PH 3 ), hydrogen (H 2 ), derivatives thereof, or combinations thereof.
  • a detailed description for a processing chamber such as an ALD chamber, is described in commonly assigned U.S. Pat. No. 6,916,398, and U.S. Ser. No. 10/281 ,079, filed October 25, 2002, and published as U.S. Pub. No. 2003- 0121608, which are herein incorporated by reference in their entirety.
  • PE-ALD plasma-enhanced ALD
  • a chamber and process to perform PE-ALD is further described in commonly assigned U.S. Pat. No. 6,998,014, which is herein incorporated by reference in its entirety.
  • a detailed description for a system to deliver the precursors, such as PDMAT or TAIMATA, to processing chamber is described in commonly assigned U.S. Pat. No. 6,955,211 , and U.S. Ser. No. 10/700,328, filed November 3, 2003, and published as U.S. Pub. No. 2005-0095859, which are herein incorporated by reference in their entirety.
  • FIGS 3A-3C are schematic views of processing chamber 1100 including gas delivery system 1130 adapted for ALD processes, as described in embodiments herein.
  • Processing chamber 1100 contains a chamber body 1102 having sidewalls 1 104 and bottom 1106.
  • Slit valve 1108 in processing chamber 1100 provides access for a robot (not shown) to deliver and retrieve substrate 1110, such as a 200 mm or 300 mm semiconductor wafer or a glass substrate, to and from processing chamber 1100.
  • substrate 1110 such as a 200 mm or 300 mm semiconductor wafer or a glass substrate
  • a detailed disclosure of processing chambers that may be used with the pretreatment processes and the deposition processes described herein may be found in commonly assigned U.S. Pat. Nos. 6,916,398 and 7,204,886, and U.S. Ser. No. 11/923,583, filed October 24, 2007, and published as U.S. Pub. No. 2008- 0102208, which are herein incorporated by reference in their entirety.
  • Substrate support 1112 supports substrate 1110 on substrate receiving surface 1111 in processing chamber 1100.
  • Substrate support 1112 is mounted to lift motor 1 114 for raising and lowering substrate support 1112 and substrate 1110 disposed thereon.
  • Lift plate 1116 connected to lift motor 1118 is mounted in processing chamber 1100 and raises and lowers lift pins 1120 movably disposed through substrate support 1112.
  • Lift pins 1120 raise and lower substrate 1110 over the surface of substrate support 1112.
  • Substrate support 1112 may include a vacuum chuck (not shown), an electrostatic chuck (not shown), or a clamp ring (not shown) for securing substrate 1110 to substrate support 1112 during a deposition process.
  • the temperature of substrate support 1112 may be adjusted to control the temperature of substrate 1110 disposed thereon.
  • substrate support 1112 may be heated using an embedded heating element, such as a resistive heater (not shown), or may be heated using radiant heat, such as heating lamps (not shown) disposed above substrate support 1112.
  • Purge ring 1122 may be disposed on substrate support 1112 to define purge channel 1124 which provides a purge gas to a peripheral portion of substrate 1110 to prevent deposition thereon.
  • Gas delivery system 1130 is disposed at an upper portion of chamber body 1102 to provide a gas, such as a process gas and/or a purge gas, to processing chamber 1100.
  • a gas such as a process gas and/or a purge gas
  • Figures 3A-3C depict gas delivery system 1130 configured to expose substrate 1110 to at least two gas sources or chemical precursors. In other examples, gas delivery system 1130 may be reconfigured to expose substrate 1110 to a single gas source or to three or more gas sources or chemical precursors.
  • Vacuum system 1178 is in communication with pumping channel 1179 to evacuate any desired gases from processing chamber 1100 and to help maintain a desired pressure or a desired pressure range inside pumping zone 1166 of processing chamber 1100.
  • gas delivery system 1130 contains chamber lid assembly 1132 having gas dispersing channel 1128 extending through a central portion of chamber lid assembly 1132.
  • Gas dispersing channel 1128 extends perpendicular to substrate receiving surface 1 111 and also extends along central axis 1133 of gas dispersing channel 1128, through lid plate 1 170, and to lower surface 1160.
  • Converging channel 1134a is a portion of gas dispersing channel 1128 that tapers towards central axis 1133 within upper portion 1137 of gas dispersing channel 1128.
  • Diverging channel 1134b is a portion of gas dispersing channel 1128 that tapers away from central axis 1133 within lower portion 1135 of gas dispersing channel 1128.
  • Throttle 1131 is a narrow passage separating converging channel 1134a and diverging channel 1134b. Gas dispersing channel 1128 further extends pass lower surface 1160 and into reaction zone 1164. Lower surface 1160 extends from diverging channel 1134 to choke 1162. Lower surface 1160 is sized and shaped to substantially cover substrate 1110 disposed on substrate receiving surface 1111 of substrate support 1112.
  • Circular gas flow 1174 may contain a flow pattern, such as a vortex pattern, a helix pattern, a spiral pattern, a twirl pattern, a twist pattern, a coil pattern, a whirlpool pattern, or derivatives thereof.
  • Circular gas flow 1174 may extend at least about 1 revolution around central axis 1133 of gas dispersing channel 1128, preferably, at least about 1.5 revolutions, more preferably, at least about 2 revolutions, more preferably, at least about 3 revolutions, and more preferably, about 4 revolutions or more.
  • Gas dispersing channel 1128 has gas inlets 1136a, 1136b to provide gas flows from two similar pairs of valves 1142a/1152a, 1142b/1152b, which may be provided together and/or separately.
  • valve 1 142a and valve 1142b are coupled to separate reactant gas sources but are preferably coupled to the same purge gas source.
  • valve 1142a is coupled to reactant gas source 1138 and valve 1 142b is coupled to reactant gas source 1139, and both valves 1 142a, 1142b are coupled to purge gas source 1140.
  • Each valve 1142a, 1142b includes delivery line 1143a, 1 143b having valve seat assembly 1144a, 1144b and each valve 1 152a, 1152b includes purge line 1145a, 1145b having valve seat assembly 1146a, 1 146b.
  • Delivery line 1143a, 1143b is in fluid communication with reactant gas source 1138, 1143 and is in fluid communication with gas inlet 1136a, 1136b of gas dispersing channel 1 128.
  • Valve seat assembly 1144a, 1144b of the delivery line 1143a, 1143b controls the flow of the reactant gas from reactant gas source 1138, 1143 to gas dispersing channel 1128.
  • Purge line 1 145a, 1145b is in fluid communication with purge gas source 1140 and intersects delivery line 1143a, 1143b downstream of valve seat assembly 1144a, 1144b of delivery line 1143a, 1143b.
  • Valve seat assembly 1146a, 1146b of purge line 1145a, 1145b controls the flow of the purge gas from purge gas source 1140 to gas dispersing channel 1128. If a carrier gas is used to deliver reactant gases from reactant gas source 1138, 1143, the same gas may be used as the carrier gas and the purge gas (e.g., argon used as a carrier gas and a purge gas).
  • a carrier gas e.g., argon used as a carrier gas and a purge gas.
  • Each valve seat assembly 1144a, 1144b, 1146a, 1146b may contain a diaphragm (not shown) and a valve seat (not shown).
  • the diaphragm may be biased open or closed and may be actuated closed or open respectively.
  • the diaphragms may be pneumatically actuated or may be electrically actuated.
  • Pneumatically actuated valves include pneumatically actuated valves available from Fujikin, Inc. and Veriflo Division, Parker Hannifin, Corp.
  • Electrically actuated valves include electrically actuated valves available from Fujikin, Inc.
  • an ALD valve that may be used is the Fujikin Model No.
  • Programmable logic controllers 1148a, 1148b may be coupled to valves 1 142a, 1142b to control actuation of the diaphragms of valve seat assemblies 1144a, 1144b, 1146a, 1146b of valves 1142a, 1142b.
  • Pneumatically actuated valves may provide pulses of gases in time periods as low as about 0.020 seconds.
  • Electrically actuated valves may provide pulses of gases in time periods as low as about 0.005 seconds.
  • An electrically actuated valve typically requires the use of a driver coupled between the valve and the programmable logic controller.
  • Each valve 1142a, 1142b may be a zero dead volume valve to enable flushing of a reactant gas from delivery line 1143a, 1143b when valve seat assembly 1144a, 1144b is closed.
  • purge line 1145a, 1145b may be positioned adjacent valve seat assembly 1144a, 1144b of delivery line 1143a, 1143b. When valve seat assembly 1144a, 1144b is closed, purge line 1145a, 1145b may provide a purge gas to flush delivery line 1143a, 1143b.
  • purge line 1145a, 1145b is positioned slightly spaced from valve seat assembly 1144a, 1144b of delivery line 1 143a, 1143b so that a purge gas is not directly delivered into valve seat assembly 1144a, 1144b when open.
  • a zero dead volume valve as used herein is defined as a valve which has negligible dead volume ⁇ e.g., not necessary zero dead volume).
  • Each valve pair 1142a/1152a, 1142b/1152b may be adapted to provide a combined gas flow and/or separate gas flows of the reactant gas and the purge gas.
  • a combined gas flow of the reactant gas and the purge gas includes a continuous flow of a purge gas from purge gas source 1140 through purge line 1145a and pulses of a reactant gas from reactant gas source 1138 through delivery line 1143a.
  • the continuous flow of the purge gas may be provided by leaving the diaphragm of valve seat assembly 1146a of purge line 1145a open.
  • the pulses of the reactant gas from reactant gas source 1138 may be provided by opening and closing the diaphragm of valve seat assembly 1144a of delivery line 1143a.
  • one example of separate gas flows of the reactant gas and the purge gas includes pulses of a purge gas from purge gas source 1140 through purge line 1145a and pulses of a reactant gas from reactant gas source 1138 through delivery line 1143a.
  • the pulses of the purge gas may be provided by opening and closing the diaphragm of valve seat assembly 1146a of purge line 1145a.
  • the pulses of the reactant gas from reactant gas source 1138 may be provided by opening and closing the diaphragm of valve seat assembly 1144a of delivery line 1143a.
  • Delivery lines 1143a, 1143b of valves 1142a, 1142b may be coupled to gas inlets 1136a, 1136b through gas conduits 1150a, 1150b.
  • Gas conduits 1150a, 1150b may be integrated or may be separate from valves 1 142a, 1142b.
  • valves 1 142a, 1142b are coupled in close proximity to gas dispersing channel 1128 to reduce any unnecessary volume of delivery line 1143a, 1143b and gas conduits 1150a, 1150b between valves 1142a, 1142b and gas inlets 1136a, 1136b.
  • FIG. 3C depicts each gas conduit 1150a and 1150b and gas inlet 1136a and 1136b positioned in a variety of angles in relationship to central axis 1133 of gas dispersing channel 1128.
  • gas conduit 1150a, 1150b may be positioned horizontally normal to central axis 1133 and, may be angled downwardly at an angle + ⁇ , or may be angled upwardly at an angle - ⁇ to provide a gas flow towards the walls of gas dispersing channel 1128 rather than directly downward towards substrate 1110 which helps reduce the likelihood of blowing off reactants adsorbed on the surface of substrate 1110.
  • the diameter of gas conduits 1150a, 1150b may be increasing from delivery lines 1143a, 1143b of valves 1142a, 1142b to gas inlet 1136a, 1136b to help reduce the velocity of the gas flow prior to its entry into gas dispersing channel 1128.
  • gas conduits 1150a, 1150b may contain an inner diameter which is gradually increasing or may contain a plurality of connected conduits having increasing inner diameters.
  • Figure 3C depicts gas dispersing channel 1128 containing an inner diameter which decreases within converging channel 1134a from upper portion 1137, along central axis 1133, to throttle 1131. Also, gas dispersing channel 1128 contains an inner diameter which increases within diverging channel 1134b from throttle 1 131 , along central axis 1133, to lower portion 1135 adjacent lower surface 1 160 of chamber lid assembly 1132.
  • processing chamber 1100 adapted to process 100 mm diameter substrates may have the following diameters.
  • the diameter at upper portion 1137 of gas dispersing channel 1128 may be within a range from about 0.5 inches to about 2 inches, preferably, from about 0.75 inches to about 1.5 inches, and more preferably, from 0.8 inches to about 1.2 inches, for example, about 1 inch.
  • the diameter at throttle 1131 of gas dispersing channel 1128 may be within a range from about 0.1 inches to about 1.5 inches, preferably, from about 0.3 inches to about 0.9 inches, and more preferably, from 0.5 inches to about 0.8 inches, for example, about 0.66 inches.
  • the diameter at lower portion 1135 of gas dispersing channel 1128 may be within a range from about 0.5 inches to about 2 inches, preferably, from about 0.75 inches to about 1.5 inches, and more preferably, from 0.8 inches to about 1.2 inches, for example, about 1 inch.
  • the above dimension apply to gas dispersing channel 1128 adapted to provide a total gas flow rate within a range from about 500 seem to about 20 slm.
  • the dimension may be altered to accommodate a certain gas flow therethrough. In general, a larger gas flow will require a larger diameter of gas dispersing channel 1128.
  • gas dispersing channel 1128 which is gradually decreasing from upper portion 1137 of gas dispersing channel 1128 to throttle 1131 and increasing from throttle 1131 to lower portion 1135 of gas dispersing channel 1128, allows less of an adiabatic expansion of a gas through gas dispersing channel 1128 which helps to control the temperature of the process gas contained in circular gas flow 1174.
  • a sudden adiabatic expansion of a gas delivered through gas inlet 1136a, 1136b into gas dispersing channel 1128 may result in a drop in the temperature of the gas which may cause condensation of the gas and formation of droplets.
  • gas dispersing channel 1128 that gradually tapers is believed to provide less of an adiabatic expansion of a gas. Therefore, more heat may be transferred to or from the gas, and, thus, the temperature of the gas may be more easily controlled by controlling the surrounding temperature of the gas (e.g., controlling the temperature of chamber lid assembly 1132).
  • Gas dispersing channel 1128 may gradually taper and contain one or more tapered inner surfaces, such as a tapered straight surface, a concave surface, a convex surface, or combinations thereof or may contain sections of one or more tapered inner surfaces [e.g., a portion tapered and a portion non-tapered).
  • gas inlets 1136a, 1136b are located adjacent upper portion 1137 of gas dispersing channel 1128. In other embodiments, one or more gas inlets 1136a, 1136b may be located along the length of gas dispersing channel 1128 between upper portion 1137 and lower portion 1135.
  • Each gas conduit 1150a, 1150b may be positioned at an angle ⁇ from the centerline of the gas conduit 1150a, 1150b and from a radius line of gas dispersing channel 1128, similarly as depicted in Figure 3C of each gas conduits 1150a and 1150b that may be positioned at an angle ⁇ from center lines 1176a and 1176b of gas conduits 1150a and 1150b and from radius line from the center of gas dispersing channel 1128. Entry of a gas through gas conduit 1150a, 1150b preferably positioned at an angle ⁇ (e.g., when ⁇ > 0°) causes the gas to flow in a circular direction as shown by circular gas flow 1174 ( Figures 3B-3C).
  • gas conduits 1150a, 1150b and corresponding gas inlets 1136a, 1136b are spaced out from each other and direct a flow in the same circular direction ⁇ e.g., clockwise or counterclockwise).
  • Figure 3C is a cross-sectional view of gas dispersing channel 1128 of chamber lid assembly 1132 showing simplified representations of gas flows therethrough.
  • circular gas flow 1174 Figures 3B-3C
  • gas dispersing channel 1128 may travel through gas dispersing channel 1128 with a circular flow pattern, such as a vortex flow, a helix flow, a spiral flow, a swirl flow, a twirl flow, a twist flow, a coil flow, a corkscrew flow, a curl flow, a whirlpool flow, derivatives thereof, or combinations thereof.
  • the circular flow may be provided in a "processing region" as opposed to in a compartment separated from substrate 1110.
  • circular gas flow 1174 may help to establish a more efficient purge of gas dispersing channel 1128 due to the sweeping action of the vortex flow pattern across the inner surface of gas dispersing channel 1128.
  • Figure 3C depicts distance 1175 between gas inlets
  • the length of distance 1175 between upper portion 1137 of gas dispersing channel 1128 and substrate 1110 may be within a range from about 3 inches to about 8 inches, preferably, from about 3.5 inches to about 7 inches, and more preferably, from about 4 inches to about 6 inches, such as about 5 inches.
  • distance 1177a may have a length within a range from about 1 inch to about 4 inches, preferably, from about 1.25 inches to about 3 inches, and more preferably, from about 1.5 inches to about 2.5 inches, for example, about 2 inches and distance 1177b may have a length within a range from about 0.5 inches to about 4 inches, preferably, from about 1 inch to about 3 inches, and more preferably, from about 1.25 inches to about 1.75 inches, for example, about 1.5 inches.
  • FIG. 3A depicts that at least a portion of lower surface 1160 of chamber lid assembly 1132 may be tapered from gas dispersing channel 1128 to a peripheral portion of chamber lid assembly 1132 to help provide an improved velocity profile of a gas flow from gas dispersing channel 1128 across the surface of substrate 1110 (e.g., from the center of the substrate to the edge of the substrate).
  • Lower surface 1160 may contain one or more tapered surfaces, such as a straight surface, a concave surface, a convex surface, or combinations thereof. In one embodiment, lower surface 1160 is tapered in the shape of a funnel.
  • lower surface 1160 is downwardly sloping to help reduce the variation in the velocity of the process gases traveling between lower surface 1160 of chamber lid assembly 1132 and substrate 1110 while assisting to provide uniform exposure of the surface of substrate 1 110 to a reactant gas.
  • the ratio of the maximum area of the flow section over the minimum area of the flow section between a downwardly sloping lower surface 1160 of chamber lid assembly 1132 and the surface of substrate 1110 is less than about 2, preferably, less than about 1.5, more preferably, less than about 1.3, and more preferably, about 1.
  • a gas flow traveling at a more uniform velocity across the surface of substrate 1110 helps provide a more uniform deposition of the gas on substrate 1110. It is believed that the velocity of the gas is directly proportional to the concentration of the gas which is in turn directly proportional to the deposition rate of the gas on substrate 1110 surface. Thus, a higher velocity of a gas at a first area of the surface of substrate 1110 versus a second area of the surface of substrate 1110 is believed to provide a higher deposition of the gas on the first area.
  • chamber lid assembly 1132 having lower surface 1160, downwardly sloping, provides for more uniform deposition of the gas across the surface of substrate 11 10 because lower surface 1160 provides a more uniform velocity and, thus, a more uniform concentration of the gas across the surface of substrate 1110.
  • Figure 3A depicts choke 1 162 located at a peripheral portion of chamber lid assembly 1132 adjacent the periphery of substrate 1 110.
  • Choke 1162 when chamber lid assembly 1132 is assembled to form a processing zone around substrate 1110, contains any member restricting the flow of gas therethrough at an area adjacent the periphery of substrate 1110.
  • the spacing between choke 1162 and substrate support 11 12 may be within a range from about 0.04 inches to about 2.0 inches, and preferably, from about 0.04 inches to about 0.2 inches. The spacing may vary depending on the gases being delivered and the process conditions during deposition. Choke 1162 helps provide a more uniform pressure distribution within the volume or reaction zone 1164 defined between chamber lid assembly 1132 and substrate 1110 by isolating reaction zone 1164 from the non-uniform pressure distribution of pumping zone 1166 ( Figure 3A).
  • reaction zone 1164 is isolated from pumping zone 1166, a reactant gas or purge gas needs only adequately fill reaction zone 1164 to ensure sufficient exposure of substrate 1110 to the reactant gas or purge gas.
  • prior art chambers are required to provide a combined flow of reactants simultaneously and uniformly to the entire surface of the substrate in order to ensure that the co-reaction of the reactants occurs uniformly across the surface of substrate 1110.
  • processing chamber 1100 sequentially introduces reactants to the surface of substrate 1110 to provide absorption of alternating thin layers of the reactants onto the surface of substrate 1110.
  • ALD does not require a flow of a reactant which reaches the surface of substrate 1110 simultaneously. Instead, a flow of a reactant needs to be provided in an amount which is sufficient to adsorb a thin layer of the reactant on the surface of substrate 1110.
  • reaction zone 1164 may contain a smaller volume when compared to the inner volume of a conventional CVD chamber, a smaller amount of gas is required to fill reaction zone 1164 for a particular process in an ALD sequence, as described in one embodiment herein.
  • the volume of reaction zone 1164 may be about 1 ,000 cm 3 or less, preferably, about 500 cm 3 or less, and more preferably, about 200 cm 3 or less for a chamber adapted to process 200 mm diameter substrates.
  • the volume of reaction zone 1164 may be about 3,000 cm 3 or less, preferably, about 1 ,500 cm 3 or less, and more preferably, about 600 cm 3 or less for a chamber adapted to process 100 mm diameter substrates.
  • Chamber lid assembly 1132 contains lid cap 1172 and lid plate 1170 in which lid cap 1172 and lid plate 1170 form gas dispersing channel 1128, as depicted in Figures 3A-3C.
  • An additional plate may be optionally disposed between lid plate 1170 and lid cap 1172.
  • gas dispersing channel 1128 may be made integrally from a single piece of material.
  • Chamber lid assembly 1132 may include cooling elements and/or heating elements depending on the particular gas being delivered therethrough. Controlling the temperature of chamber lid assembly 1132 may be used to prevent gas decomposition, deposition, or condensation on chamber lid assembly 1132.
  • water channels such as coolant channel 1090 In Figure 10A
  • heating elements may be embedded or may surround components of chamber lid assembly 1 132 to heat chamber lid assembly 1132.
  • components of chamber lid assembly 1132 may be individually heated or cooled.
  • chamber lid assembly 1132 may contain lid plate 1170 and lid cap 1172 in which lid plate 1170 and lid cap 1172 form gas dispersing channel 1128.
  • Lid cap 1172 may be maintained at one temperature range and lid plate 1 170 may be maintained at another temperature range.
  • lid cap 1172 may be heated by being wrapped in heater tape or by using another heating device to prevent condensation of reactant gases and lid plate 1170 may be maintained at ambient temperature.
  • lid cap 1172 may be heated and lid plate 1170 may be cooled with water channels formed therethrough to prevent thermal decomposition of reactant gases on lid plate 1170.
  • the components and parts of chamber lid assembly 1132 may contain materials such as stainless steel, aluminum, nickel-plated aluminum, nickel, alloys thereof, or other suitable materials.
  • lid cap 1172 and lid plate 1170 may be independently fabricated, machined, forged, or otherwise made from a metal, such as aluminum, an aluminum alloy, steel, stainless steel, alloys thereof, or combinations thereof.
  • the inner surfaces of gas dispersing channel 1128 (including both inner surfaces of lid plate 1170 and lid cap 1172) and lower surface 1160 of chamber lid assembly 1132 may contain a mirror polished surface to help produce a laminar flow of a gas along gas dispersing channel 1128 and lower surface 1160 of chamber lid assembly 1132.
  • the inner surface of gas conduits 1150a, 1150b may be electropolished to help produce a laminar flow of a gas therethrough.
  • the inner surfaces of gas dispersing channel 1128 may contain a roughened surface or machined surfaces to produce more surface area across the surfaces. Roughened surfaces provide better adhesion of undesired accumulated materials on the inner surfaces of lid plate 1170 and lid cap 1172 and lower surface 1160.
  • the undesired films are usually formed as a consequence of conducting a vapor deposition process and may peel or flake from lower surface 1160 and the inner surfaces of gas dispersing channel 1128 to contaminate substrate 1110.
  • the mean roughness (R a ) of lower surface 1160 and/or the inner surfaces of gas dispersing channel 1128 may be at least about 10 ⁇ in, such as within a range from about 10 ⁇ in (about 0.254 ⁇ m) to about 200 ⁇ in (about 5.08 ⁇ m), preferably, from about 20 ⁇ in (about 0.508 ⁇ m) to about 100 ⁇ in (about 2.54 ⁇ m), and more preferably, from about 30 ⁇ in (about 0.762 ⁇ m) to about 80 ⁇ in (about 2.032 ⁇ m).
  • the mean roughness of lower surface 1160 and/or the inner surfaces of gas dispersing channel 1128 may be at least about 100 ⁇ in (about 2.54 ⁇ m), preferably, within a range from about 200 ⁇ in (about 5.08 ⁇ m) to about 500 ⁇ in (about 12.7 ⁇ m).
  • FIG. 3A depicts control unit 1180, such as a programmed personal computer, work station computer, or the like, coupled to processing chamber 1100 to control processing conditions.
  • control unit 1180 may be configured to control flow of various process gases and purge gases from gas sources 1 138, 1143, and 1 140 through valves 1142a and 1142b during different stages of a substrate process sequence.
  • control unit 1180 contains central processing unit (CPU) 1182, support circuitry 1184, and memory 1186 containing associated control software 1183.
  • CPU central processing unit
  • Control unit 1180 may be one of any form of general purpose computer processor that can be used in an industrial setting for controlling various chambers and sub-processors.
  • CPU 1182 may use any suitable memory 1186, such as random access memory, read only memory, floppy disk drive, hard disk, or any other form of digital storage, local or remote.
  • Various support circuits may be coupled to CPU 1182 for supporting processing chamber 1100.
  • Control unit 1180 may be coupled to another controller that is located adjacent individual chamber components, such as programmable logic controllers 1148a, 1148b of valves 1142a, 1142b. Bi-directional communications between the control unit 1180 and various other components of processing chamber 1100 are handled through numerous signal cables collectively referred to as signal buses 1188, some of which are illustrated in Figure 3A.
  • control unit 1180 may be configured to be responsible for automated control of other activities used in wafer processing-such as wafer transport, temperature control, chamber evacuation, among other activities, some of which are described elsewhere herein.
  • substrate 1110 is delivered to processing chamber 1100 through slit valve 1108 by a robot (not shown).
  • Substrate 1110 is positioned on substrate support 1112 through cooperation of lift pins 1120 and the robot.
  • Substrate support 1112 raises substrate 1110 into close opposition to lower surface 1160 of chamber lid assembly 1132.
  • a first gas flow may be injected into gas dispersing channel 1128 of processing chamber 1100 by valve 1142a together or separately with a second gas flow injected into processing chamber 1100 by valve 1142b.
  • the first gas flow may contain a continuous flow of a purge gas from purge gas source 1140 and pulses of a reactant gas from reactant gas source 1138 or may contain pulses of a reactant gas from reactant gas source 1138 and pulses of a purge gas from purge gas source 1140.
  • the second gas flow may contain a continuous flow of a purge gas from purge gas source 1140 and pulses of a reactant gas from reactant gas source 1139 or may contain pulses of a reactant gas from reactant gas source 1139 and pulses of a purge gas from purge gas source 1140.
  • Circular gas flow 1174 travels through gas dispersing channel 1128 as a vortex flow which provides a sweeping action across the inner surface of gas dispersing channel 1128.
  • Circular gas flow 1174 dissipates to a downwardly flow towards the surface of substrate 1110.
  • the velocity of the gas flow reduces as it travels through gas dispersing channel 1128.
  • the gas flow then travels across the surface of substrate 1110 and across lower surface 1160 of chamber lid assembly 1 132.
  • Lower surface 1160 of chamber lid assembly 1132 which is downwardly sloping, helps reduce the variation of the velocity of the gas flow across the surface of substrate 1110.
  • the gas flow then travels by choke 1162 and into pumping zone 1166 of processing chamber 1100. Excess gas, by-products, etc. flow into the pumping channel 1179 and are then exhausted from processing chamber 1100 by vacuum system 1178.
  • the gas flow proceeds through gas dispersing channel 1128 and between the surface of substrate 1110 and lower surface 1160 of chamber lid assembly 1 132 in a laminar manner which aids in uniform exposure of a reactant gas to the surface of substrate 1110 and efficient purging of inner surfaces of chamber lid assembly 1 132.
  • processing chamber 1100 as illustrated in Figures 3A-3C, has been described herein as having a combination of features.
  • processing chamber 1100 provides reaction zone 1 164 containing a small volume in compared to a conventional CVD chamber. Processing chamber 1100 requires a smaller amount of a gas, such as a reactant gas or a purge gas, to fill reaction zone 1164 for a particular process.
  • processing chamber 1100 provides chamber lid assembly 1132 having a downwardly sloping or funnel shaped lower surface 1160 to reduce the variation in the velocity profile of a gas flow traveling between the bottom surface of chamber lid assembly 1132 and substrate 1110.
  • processing chamber 1100 provides gas dispersing channel 1128 to reduce the velocity of a gas flow introduced therethrough.
  • processing chamber 1100 provides gas conduits at an angle ⁇ from the center of gas dispersing channel 1128. Processing chamber 1100 provides other features as described elsewhere herein. Other embodiments of a chamber adapted for ALD incorporate one or more of these features.
  • Embodiments of the invention provide chamber pretreatment and deposition processes that may be used to deposit materials during a vapor deposition process, such as an ALD process.
  • the processes may be used within a variety of vapor deposition processing chambers and gas delivery systems which contain an expanding channel lid assembly, a converge-diverge lid assembly, a multiple injection lid assembly, or an extended cap lid assembly.
  • Other embodiments provide methods for depositing materials using these gas delivery systems during ALD processes.
  • Processing chamber 1100 may be used advantageously to implement ALD or CVD processes as described by embodiments herein. For clarity reasons, deposition of a layer by ALD will be described in more detail in reference to the ALD of a tantalum nitride layer utilizing processing chamber 1100.
  • ALD of a tantalum nitride barrier layer includes sequentially providing pulses of a tantalum precursor and pulses of a nitrogen precursor to processing chamber 1100 in which each pulse is separated by a flow of a purge gas and/or chamber evacuation to remove any excess reactants to prevent gas phase reactions of the tantalum precursor with the nitrogen precursor and to remove any reaction by-products.
  • Sequentially providing a tantalum precursor and a nitrogen precursor may result in the alternating absorption of monolayers of a tantalum precursor and of monolayers of a nitrogen precursor to form a monolayer of tantalum nitride on a substrate structure for each cycle of pulses.
  • substrate structure is used to refer to the substrate as well as other material layers formed thereover, such as a dielectric layer.
  • the adsorption processes used to adsorb the monolayer of the reactants are self- limiting in that only one monolayer may be adsorbed onto the surface of the substrate structure during a given pulse because the surface of the substrate structure has a finite number of sites for adsorbing the reactants. Once the finite number of sites is occupied by the reactants, such as the tantalum precursor or the nitrogen precursor, further absorption of the reactants will be blocked. The cycle may be repeated to a desired thickness of the tantalum nitride layer.
  • Embodiments of the invention provide a pretreatment process for exposing the inner surfaces of processing chamber 1100 to a hydrogenated ligand compound within a treatment gas.
  • the treatment gas may be delivered into processing chamber 1100 from any one of gas sources 1138, 1139, and/or 140.
  • the treatment gas may be delivered into processing chamber 1100 from another gas source (not shown).
  • treatment gas containing the hydrogenated ligand compound may enter processing chamber 1100 through an inlet on lid cap 1172 (not shown).
  • the treatment gas may travel down gas dispersing channel 1128, from converging channel 1134a, through diverging channel 1134b, along lower surface 1160, and into reaction zone 1164.
  • the inner surfaces of processing chamber 1100 including the surfaces of converging channel 1134a, diverging channel 1134b, lower surface 1160, and reaction zone 1164, may be coated with the hydrogenated ligand compound or other reagent from the treatment gas.
  • the treatment gas containing the hydrogenated ligand compound or other reagent flows in revolutions around central axis 1133 of gas dispersing channel 1 128, as circular gas flow 1174, while coating the inner surfaces of processing chamber 1100, as depicted in Figure 3C.
  • Circular gas flow 1174 may contain a flow pattern, such as a vortex pattern, a helix pattern, a spiral pattern, a twirl pattern, a twist pattern, a coil pattern, a whirlpool pattern, or derivatives thereof.
  • Circular gas flow 1174 may extend at least about 1 revolution around central axis 1 133 of gas dispersing channel 1128, preferably, at least about 1.5 revolutions, more preferably, at least about 2 revolutions, more preferably, at least about 3 revolutions, and more preferably, about 4 revolutions or more.
  • Pulses of a tantalum precursor may be introduced by gas source 1 138 through valve 1 142a.
  • the tantalum precursor may be provided with the aid of a carrier gas, which includes, but is not limited to, helium, argon, nitrogen (N2), hydrogen (H2), or mixtures thereof.
  • Pulses of a nitrogen precursor such as ammonia, may be introduced by gas source 1139 through valve 1142a.
  • a carrier gas may also be used to help deliver the nitrogen precursor.
  • a purge gas, such as argon may be introduced by gas source 1140 through valve 1142a and/or through valve 1142b.
  • the flow of purge gas may be continuously provided by gas source 1 140 through valves 1142a, 1142b to act as a purge gas between the pulses of the tantalum precursor and of the nitrogen precursor and to act as a carrier gas during the pulses of the tantalum precursor and the nitrogen precursor.
  • delivering a purge gas through two gas conduits 1150a, 1150b provides a more complete purge of reaction zone 1164 rather than a purge gas provided through one of gas conduit 1150a or 1150b.
  • a reactant gas may be delivered through one of gas conduits 1150a or 1150b since uniformity of flow of a reactant gas, such as a tantalum precursor or a nitrogen precursor, is not as critical as uniformity of the purge gas due to the self-limiting absorption process of the reactants on the surface of substrate structures.
  • a purge gas may be provided in pulses.
  • a purge gas may be provided in more or less than two gas flows.
  • a tantalum precursor gas may be provided in more than a single gas flow (e.g., two or more gas flows).
  • a nitrogen precursor gas may be provided in more than a single gas flow (e.g., two or more gas flows).
  • the tantalum nitride layer formation is described as starting with the absorption of a monolayer of a tantalum precursor on the substrate followed by a monolayer of a nitrogen precursor.
  • the tantalum nitride layer formation may start with the absorption of a monolayer of a nitrogen precursor on the substrate followed by a monolayer of the tantalum precursor.
  • a pump evacuation alone between pulses of reactant gases may be used to prevent mixing of the reactant gases.
  • the time duration for each pulse of the tantalum precursor, the time duration for each pulse of the nitrogen precursor, and the duration of the purge gas flow between pulses of the reactants are variable and depend on the volume capacity of a deposition chamber employed as well as a vacuum system coupled thereto. For example, (1) a lower chamber pressure of a gas will require a longer pulse time; (2) a lower gas flow rate will require a longer time for chamber pressure to rise and stabilize requiring a longer pulse time; and (3) a large-volume chamber will take longer to fill, longer for chamber pressure to stabilize thus requiring a longer pulse time. Similarly, time between each pulse is also variable and depends on volume capacity of the processing chamber as well as the vacuum system coupled thereto.
  • the time duration of a pulse of the tantalum precursor or the nitrogen precursor should be long enough for absorption of a monolayer of the compound.
  • a pulse of a tantalum precursor may still be in the chamber when a pulse of a nitrogen precursor enters.
  • the duration of the purge gas and/or pump evacuation should be long enough to prevent the pulses of the tantalum precursor and the nitrogen precursor from mixing together in the reaction zone.
  • a pulse time of about 1.0 second or less for a tantalum precursor and a pulse time of about 1.0 second or less for a nitrogen precursor are typically sufficient to adsorb alternating monolayers on a substrate structure.
  • a time of about 1.0 second or less between pulses of the tantalum precursor and the nitrogen precursor is typically sufficient for the purge gas, whether a continuous purge gas or a pulse of a purge gas, to prevent the pulses of the tantalum precursor and the nitrogen precursor from mixing together in the reaction zone.
  • a longer pulse time of the reactants may be used to ensure absorption of the tantalum precursor and the nitrogen precursor and a longer time between pulses of the reactants may be used to ensure removal of the reaction by-products.
  • a processing chamber, a substrate, or a substrate support may be maintained approximately below a thermal decomposition temperature of a selected tantalum precursor during an ALD process.
  • An exemplary heater temperature range to be used with tantalum precursors identified herein is approximately between about 20 0 C and about 500 0 C at a chamber pressure less than about 100 Torr, preferably less than 50 Torr.
  • the heater temperature is preferably within a range from about 150 0 C to about 350 0 C, more preferably, from about 250 0 C and 300 0 C, and the internal pressure of the processing chamber may be within a range from about 5 Torr to about 20 Torr.
  • a temperature above a thermal decomposition temperature may be used.
  • the temperature should be selected so that more than 50 percent of the deposition activity is by absorption processes.
  • a temperature above a thermal decomposition temperature may be used in which the amount of decomposition during each precursor deposition is limited so that the growth mode will be similar to an ALD growth mode.
  • processing chamber 1100 may be exposed to a pretreatment process and subsequently, to an ALD process.
  • the process may provide pulses of the tantalum precursor gas (e.g., PDMAT in argon) from gas source 1138 at a flow rate within a range from about 100 seem to about 1 ,000 seem, preferably, from about 300 seem to about 700 seem, through valve 1142a having a pulse time of about 1 seconds or less.
  • the tantalum precursor gas e.g., PDMAT in argon
  • the process may further provide pulses of the nitrogen precursor gas (e.g., ammonia) may be provided from gas source 1139 at a flow rate within a range from about 20 seem and about 1 ,000 seem, preferably, from about 100 seem to about 300 seem, through valve 1 142b having a pulse time of about 1 second or less.
  • An argon purge gas may have a flow rate within a range from about 1 slm to about 12 slm seem, preferably, from about 2 slm to about 8 slm, and may be continuously provided from gas source 1140 through valves 1142a, 1142b, as well as through other inlets on processing chamber 1100.
  • the time between pulses of the tantalum precursor and the nitrogen precursor may be about 0.5 seconds or less.
  • a tantalum nitride layer may be deposited to a sidewall of a via or a similar aperture with a thickness of about 50 A or less, preferably, about 20 A or less, and more preferably, about 10 A or less.
  • a tantalum nitride layer with a thickness of about 10 A or less is believed to be a sufficient thickness in the application as a barrier layer to prevent copper diffusion.
  • the tantalum nitride layer may have a thickness greater than 50 A.
  • a thin barrier layer containing tantalum nitride deposited by the processes described herein may be used in filling submicron (e.g., less than 0.15 ⁇ m) and smaller features having high aspect ratios (e.g., greater than 5 to 1).
  • the treatment of the interior surfaces of the processing chamber during the pretreatment process helps to increase the uniformity (or decrease the non- uniformity) across the substrate surface of the tantalum nitride layer or other deposited material - as opposed to not conducting the pretreatment process.
  • the non-uniformity of the deposited material across the substrate surface may be about 12% or less, preferably, about 10% or less, and more preferably, about 8% or less, for example, about 6% or less.
  • Atomic layer deposition refers to the sequential introduction of two or more reactive compounds to deposit a layer of material on a substrate surface.
  • the two, three, or more reactive compounds may alternatively be introduced into a reaction zone or process region of a processing chamber.
  • the reactive compounds may be in a state of gas, plasma, vapor, fluid or other state of matter useful for a vapor deposition process.
  • each reactive compound is separated by a time delay to allow each compound to adhere and/or react on the substrate surface.
  • a first precursor or compound A is pulsed into the reaction zone followed by a first time delay.
  • a second precursor or compound B is pulsed into the reaction zone followed by a second delay.
  • Compound A and compound B react to form a deposited material.
  • a purge gas is introduced into the processing chamber to purge the reaction zone or otherwise remove any residual reactive compound or by-products from the reaction zone.
  • the purge gas may flow continuously throughout the deposition process so that only the purge gas flows during the time delay between pulses of reactive compounds.
  • the reactive compounds are alternatively pulsed until a desired film thickness of the deposited material is formed on the substrate surface.
  • the ALD process of pulsing compound A, purge gas, pulsing compound B and purge gas is a cycle.
  • a cycle can start with either compound A or compound B and continue the respective order of the cycle until achieving a film with the desired thickness.
  • a first precursor containing compound A, a second precursor containing compound B and a third precursor containing compound C are each separately pulsed into the processing chamber.
  • a pulse of a first precursor may overlap in time with a pulse of a second precursor while a pulse of a third precursor does not overlap in time with either pulse of the first and second precursors.
  • "Process gas" as used herein refers to a single gas, multiple gases, a gas containing a plasma, combinations of gas(es) and/or plasma(s).
  • a process gas may contain at least one reactive compound for a vapor deposition process. The reactive compounds may be in a state of gas, plasma, vapor, fluid, or other state of matter useful for a vapor deposition process.
  • a process gas may contain a purge gas or a carrier gas and not contain a reactive compound.
  • Substrate or “substrate surface,” as used herein, refers to any substrate or material surface formed on a substrate upon which film processing is performed.
  • a substrate surface on which processing can be performed include materials such as silicon, silicon oxide, strained silicon, silicon on insulator (SOI), carbon doped silicon oxides, silicon nitride, doped silicon, germanium, gallium arsenide, glass, sapphire, quartz, and any other materials such as metals, metal nitrides, metal alloys, and other conductive materials, depending on the application.
  • Barrier layers, metals or metal nitrides on a substrate surface may include titanium, titanium nitride, titanium suicide nitride, tungsten, tungsten nitride, tungsten suicide nitride, tantalum, tantalum nitride, or tantalum suicide nitride.
  • Substrates may have various dimensions, such as 200 mm or 300 mm diameter wafers, as well as, rectangular or square panes. Substrates include semiconductor substrates, display substrates ⁇ e.g., LCD), solar panel substrates, and other types of substrates.
  • substrates on which embodiments of the invention may be useful include, but are not limited to semiconductor wafers, such as crystalline silicon ⁇ e.g., Si ⁇ 100> or Si ⁇ 111 >), silicon oxide, glass, quartz, strained silicon, silicon germanium, doped or undoped polysilicon, doped or undoped silicon wafers and patterned or non-patterned wafers.
  • Substrates may be exposed to a pretreatment process to polish, etch, reduce, oxidize, hydroxylate, anneal, and/or heat the substrate surface.
  • reaction conditions e.g., temperature, pressure, film thickness and the like
  • sequential deposition process may have different initial sequence.
  • the initial sequence may include exposing the substrate to the nitrogen- containing gas before the tantalum-containing gas is introduced into the processing chamber.
  • the tantalum nitride layer may be employed for other features of circuits in addition to functioning as a diffusion barrier for contacts. Therefore, the scope of the invention should not be based upon the foregoing description. Rather, the scope of the invention should be determined based upon the claims recited herein, including the full scope of equivalents thereof.

Abstract

Embodiments of the invention provide a method for treating the inner surfaces of a processing chamber and depositing a material on a during a vapor deposition process, such as atomic layer deposition (ALD) or by chemical vapor deposition (CVD). In one embodiment, the inner surfaces of the processing chamber and the substrate may be exposed to a reagent, such as a hydrogenated ligand compound during a pretreatment process. The hydrogenated ligand compound may be the same ligand as a free ligand formed from the metal-organic precursor used during the subsequent deposition process. The free ligand is usually formed by hydrogenation or thermolysis during the deposition process. In one example, the processing chamber and substrate are exposed to an alkylamine compound (e.g., dimethylamine) during the pretreatment process prior to conducting the vapor deposition process which utilizes a metal-organic chemical precursor having alkylamino ligands, such as pentakis(dimethylamino) tantalum (PDMAT).

Description

IN-SITU CHAMBER TREATMENT AND DEPOSITION PROCESS
BACKGROUND OF THE INVENTION Field of the Invention
[0001] Embodiments of the invention generally relate to semiconductor and other electronic device processing, and more particularly, to an improved method for treating the surfaces of a processing chamber and a substrate therein prior to a vapor deposition process.
Description of the Related Art
[0002] The electronic device industry and the semiconductor industry continue to strive for larger production yields while increasing the uniformity of layers deposited on substrates having increasingly larger surface areas. These same factors in combination with new materials also provide higher integration of circuits per unit area on the substrate. The need for greater uniformity, deposition rate, and process control regarding layer characteristics rises as the desire for an increased circuit integration. Formation of tantalum-containing layers, such as tantalum, tantalum nitride, and tantalum silicon nitride, in multi-level integrated circuits poses many challenges to process control, particularly with respect to contact formation.
[0003] Barrier layers formed from sputtered tantalum and reactive sputtered tantalum nitride have demonstrated properties suitable for use to control copper diffusion. Exemplary properties include high conductivity, high thermal stability and resistance to diffusion of foreign atoms. Both physical vapor deposition (PVD) and atomic layer deposition (ALD) processes are used to deposit tantalum or tantalum nitride in features of small size (e.g., about 90 nm wide) and high aspect ratios of about 5:1. However, it is believed that PVD processes may have reached a limit at this size and aspect ratio, while ALD processes suffer other problems.
[0004] Common problems encountered during ALD processes include the lack of stability for the deposition rate and the non-uniformity performance after multiple runs are completed within the same ALD processing chamber. The aging of the the processing chamber, including the process kit within, is a function of the deposition frequencey and the type of deposited film on the inner surfaces of the processing chamber. The deposited film on the inner surfaces of the processing chamber significantly affects the deposition characteristics of ALD processes due to introduction of secondary reactions. The secondary reactions result in a drift of the deposition rate and the non-uniformity performance with increasing number of substrates processed within the ALD chamber. Also, deposited films on the inner surfaces of the processing chamber may peel to form particulates, which end up contaminating the substrate surface.
[0005] Therefore, there is a need for increasing the stability of the deposition rate and the uniformity of deposited materials on a substrate during a vapor deposition process, as well as a need to reduce or elimante contaminants within the processing chamber.
SUMMARY OF THE INVENTION
[0006] In one embodiment, a method for treating a chamber and depositing a material on a substrate surface is provided which includes exposing inner surfaces of a processing chamber and a substrate disposed within the processing chamber to a treatment gas containing a hydrogenated ligand compound during a pretreatment process, and subsequently, exposing the substrate to a first precursor gas to deposit a material on the substrate during a vapor deposition process. The substrate may be sequentially or simultaneously exposed to the first precursor and at least a second precursor gas during the vapor deposition process, such as an atomic layer deposition (ALD) process or a chemical vapor deposition (CVD) process.
[0007] In many examples, the hydrogenated ligand compound within the treatment gas has the chemical formula of HL, where L is a ligand such as alkylamino, alkylimino, alkoxy, alkyl, alkene, alkyne, cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, or derivatives thereof. The first precursor gas may contain a first precursor having the chemical formula of MUx, where x is 1 , 2, 3, 4, 5, 6, or greater, M is an element such as Ti, Zr, Hf, Nb, Ta, Mo, W, Ru, Co, Ni, Pd, Pt, Cu, Al, Ga, In, Si, Ge, Sn, P, As, or Sb, and each L1 is independently a ligand such as alkylamino, alkylimino, alkoxy, alkyl, alkene, alkyne, cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, hydrogen, halogen, derivatives thereof, or combinations thereof.
[0008] In some embodiments, the method provides that the vapor deposition process is an ALD process and the substrate is sequentially exposed to the alkylamino metal precursor gas and the second precursor gas during the ALD process. In other embodiments, the vapor deposition process is a CVD process and the substrate is simultaneously exposed to the alkylamino metal precursor gas and the second precursor gas during the CVD process. In one example, a method for treating a chamber and depositing a material on a substrate surface is provided which includes exposing inner surfaces of a processing chamber and a substrate within the processing chamber to a treatment gas containing an alkylamine compound during a pretreatment process, and exposing the substrate sequentially to an alkylamino metal precursor gas and at least a second precursor gas while depositing a material on the substrate during an ALD process. In one example, the second precursor gas contains a nitrogen precursor, such as ammonia, which is used to deposit a metal nitride material, such as tantalum nitride.
[0009] In some examples, the treatment gas contains a hydrogenated ligand compound, such as an alkylamine compound having the chemical formula of H2NR or HNR'R", where each R, R', and R" is independently methyl, ethyl, propyl, butyl, amyl, phenyl, aryl, isomers thereof, derivatives thereof, or combinations thereof. The alkylamine compound may be methylamine, dimethylamine, ethylamine, diethylamine, methylethylamine, propylamine, dipropylamine, butylamine, dibutylamine, isomers thereof, derivatives thereof, or combinations thereof. The treatment gas may further contain at least one carrier gas such as ammonia, hydrogen, nitrogen, argon, helium, or combinations thereof. In one example the treatment gas contains dimethylamine, ammonia, and another carrier gas, such as argon.
[0010] In some embodiments, the alkylamino metal precursor gas contains an alkylamino metal precursor having the chemical formula of ML'X, where x is 1 , 2, 3, 4, 5, 6, or greater, M may be a metal or other element such as Ti, Zr, Hf, Ta, Mo, W, or Si, and each ligand L' is independently a ligand, such as an alkylamino ligand, which include N(CHg)2, N(C2Hs)2, N(C3H7J2, N(C4Hg)2, N(CH3)(C2H5), isomers thereof, derivatives thereof, or combinations thereof. In some examples, the metal or element M may be Si, Ti, Zr, or Hf while x is usually 4. In other examples, the alkylamino metal precursor is a tantalum precursor with the metal M being Ta while x is usually 4 or 5. Examples of tantalum precursors include pentakis(dimethylamino) tantalum (PDMAT), pentakis(diethylamino) tantalum, pentakis(ethylmethylamino) tantalum, tert-butylimino tris(dimethylamino) tantalum, tert-butylimino tris(diethylamino) tantalum, tert-butylimino tris(ethylmethylamino) tantalum, tert-amylimino-tris(dimethylamino) tantalum, tert-amylimino- tris(diethylamino) tantalum, tert-amylimino-tris(ethylmethylamino) tantalum, or derivatives thereof. In one example, the tantalum precursor is PDMAT and the alkylamine compound gas contains methylamine or dimethylamine.
[0011] In other examples, the hydrogenated ligand compound within the treatment gas may be an alcohol compound having the chemical formula of ROH, where R is methyl, ethyl, propyl, butyl, amyl, isomers thereof, or derivatives thereof. The alcohol compound may be methanol, ethanol, propanol, butanol, pentanol, isomers thereof, derivatives thereof, or combinations thereof. In examples that the hydrogenated ligand compound is an alcohol, the first precursor may contain an alkoxy ligand such as OCH3, OC2H5, OC3H7, OC4H9, isomers thereof, or derivatives thereof. In other examples, the ligand L of the hydrogenated ligand compound may be cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, isomers thereof, or derivatives thereof and the ligand L' of the first precursor may be cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, isomers thereof, or derivatives thereof.
[0012] The processing chamber generally contains a lid assembly and a chamber body, which may be independently heated to a temperature within a range from about 300C to about 1000C, preferably, from about 400C to about 80°C, during the pretreatment process. The inner surfaces of the lid assembly and the chamber body may be exposed to the alkylamine compound gas during the pretreatment process. The pretreatment process may last for a time period within a range from about 5 seconds to about 60 seconds, preferably, from about 15 seconds to about 40 seconds, and more preferably, from about 10 seconds to about 30 seconds.
[0013] The treatment of the interior surfaces of the processing chamber during the pretreatment process helps to increase the uniformity (or decrease the non- uniformity) across the substrate surface of the deposited materials - as opposed to not conducting the pretreatment process. The non-uniformity of the deposited material across the substrate surface may be about 12% or less, preferably, about 10% or less, and more preferably, about 8% or less, for example, about 6% or less.
[0014] In one example, a method for treating a chamber and depositing a material on a substrate surface is provided which includes exposing the inner surfaces of a processing chamber and a substrate disposed within the processing chamber to a carrier gas having a continuous flow, introducing a treatment gas containing methylamine or dimethylamine to the continuously flowing carrier gas to expose the inner surfaces of the processing chamber and the substrate to the treatment gas during a pretreatment process. The method further provides alternately or sequentially pulsing a tantalum precursor gas and a nitrogen precursor gas into the continuously flowing carrier gas to sequentially expose the substrate to the tantalum and nitrogen precursor gases while depositing a tantalum nitride material on the substrate during an ALD process. In one example, the tantalum precursor gas contains PDMAT and the nitrogen precursor gas contains ammonia.
BRIEF DESCRIPTION OF THE DRAWINGS
[0015] So that the manner in which the above recited features of the invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of the invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
[0016] Figure 1 depicts a flow diagram showing a method for depositing a tantalum nitride material in accordance with one embodiment described herein; [0017] Figure 2 depicts a flow diagram showing a method for depositing a tantalum nitride material in accordance with another embodiment described herein; and
[0018] Figures 3A-3C depict a schematic cross-sectional view of a processing chamber including a lid assembly and a gas delivery apparatus adapted for ALD as described in another embodiment herein.
DETAILED DESCRIPTION
[0019] Embodiments of the invention provide a method for treating the inner surfaces of a processing chamber in situ and depositing a material on a substrate within the processing chamber during a vapor deposition process. The substrate may be sequentially or simultaneously exposed to a first precursor and a second precursor gas during the vapor deposition process, such as atomic layer deposition (ALD) or by chemical vapor deposition (CVD). In one embodiment, the inner surfaces of the processing chamber and the substrate may be exposed to a treatment gas containing a reagent, such as a hydrogenated ligand compound during a pretreatment process. The hydrogenated ligand compound may be the same ligand as a free ligand formed from the metal-organic precursor used during the subsequent vapor deposition process. The free ligand is usually formed by hydrogenation or thermolysis during the deposition process. In one example, the processing chamber and substrate are exposed to an alkylamine compound {e.g., dimethylamine) during a pretreatment process prior to conducting the vapor deposition process which utilizes a metal-organic chemical precursor which may have alkylamino ligands, such as pentakis(dimethylamino) tantalum (PDMAT, ((CHh)2N)5Ta).
[0020] In many examples, the treatment gas contains a hydrogenated ligand compound having the chemical formula of HL, where L is a ligand such as alkylamino, alkylimino, alkoxy, alkyl, alkene, alkyne, cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, or derivatives thereof. The chemical precursor gas contains a chemical precursor having the chemical formula of ML'*, where x is 1 , 2, 3, 4, 5, 6, or greater, M is an element such as Ti, Zr, Hf, Nb, Ta, Mo, W, Ru, Co, Ni, Pd, Pt, Cu, Al, Ga, In, Si, Ge, Sn, P, As, or Sb, and each L' is independently a ligand such as alkylamino, alkylimino, alkoxy, alkyl, alkene, alkyne, cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, hydrogen, halogen, derivatives thereof, or combinations thereof.
[0021] In some embodiments, the method provides that the vapor deposition process is an ALD process and the substrate is sequentially exposed to the alkylamino metal precursor gas and another chemical precursor gas during the ALD process. In other embodiments, the vapor deposition process is a CVD process and the substrate is simultaneously exposed to the alkylamino metal precursor gas and another chemical precursor gas during the CVD process. In one example, the method provides exposing inner surfaces of a processing chamber and a substrate within the processing chamber to a treatment gas containing an alkylamine compound during a pretreatment process, and exposing the substrate sequentially to an alkylamino metal precursor gas and at least one additional chemical precursor gas while depositing a material on the substrate during an ALD process. In one example, the additional chemical precursor gas contains a nitrogen precursor, such as ammonia, which is used to deposit a metal nitride material, such as tantalum nitride.
[0022] In some examples, the treatment gas contains an alkylamine compound having the chemical formula of H2NR or HNR'R", where each R, R', and R" is independently methyl, ethyl, propyl, butyl, amyl, phenyl, aryl, isomers thereof, derivatives thereof, or combinations thereof. The alkylamine compound may be methylamine, dimethylamine, ethylamine, diethylamine, methylethylamine, propylamine, dipropylamine, butylamine, dibutylamine, isomers thereof, derivatives thereof, or combinations thereof. The treatment gas may further contain at least one carrier gas such as ammonia, hydrogen, nitrogen, argon, helium, or combinations thereof. In one example the treatment gas contains dimethylamine, ammonia, and another carrier gas, such as argon.
[0023] In some embodiments, the alkylamino metal precursor gas contains an alkylamino metal precursor having the chemical formula of ML'X, where x is 1 , 2, 3, 4, 5, 6, or greater, M may be a metal or other element such as Ti, Zr, Hf, Ta, Mo, W, or Si, and each ligand L' is independently a ligand, such as an alkylamino ligand, which include N(CH3)2, N(C2H5)2, N(C3Hy)2, N(C4Hg)2, N(CH3)(C2H5), isomers thereof, derivatives thereof, or combinations thereof. In some examples, the metal or the element M may be Si, Ti, Zr, or Hf while x is usually 4. In other examples, the alkylamino metal precursor is a tantalum precursor with the metal M being Ta while x is usually 4 or 5. Examples of tantalum precursors include pentakis(dimethylamino) tantalum, pentakis(diethylamino) tantalum, pentakis(ethylmethylamino) tantalum, tert-butylimino tris(dimethylamino) tantalum, tert-butylimino tris(diethylamino) tantalum, tert-butylimino tris(ethylmethylamino) tantalum, tert-amylimino-tris(dimethylamino) tantalum, tert-amylimino- tris(diethylamino) tantalum, tert-amylimino-tris(ethylmethylamino) tantalum, or derivatives thereof. In one example, the tantalum precursor is PDMAT and the alkylamine compound gas contains methylamine or dimethylamine.
[0024] In other examples, the hydrogenated ligand compound within the treatment gas may be an alcohol compound having the chemical formula of ROH, where R is methyl, ethyl, propyl, butyl, amyl, isomers thereof, or derivatives thereof. The alcohol compound may be methanol, ethanol, propanol, butanol, pentanol, isomers thereof, derivatives thereof, or combinations thereof. In examples that the hydrogenated ligand compound is an alcohol, the chemical precursor may contain an alkoxy ligand such as OCH3, OC2H5, OC3H7, OC4H9, isomers thereof, or derivatives thereof. In other examples, the ligand L of the hydrogenated ligand compound may be cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, isomers thereof, or derivatives thereof and the ligand L' of the chemical precursor may be cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, isomers thereof, or derivatives thereof.
[0025] The processing chamber generally contains a lid assembly and a chamber body, which may be independently heated to a temperature within a range from about 300C to about 1000C, preferably, from about 400C to about 8O0C, during the pretreatment process. The inner surfaces of the lid assembly and the chamber body may be exposed to the alkylamine compound gas during the pretreatment process. The pretreatment process may last for a time period within a range from about 5 seconds to about 60 seconds, preferably, from about 15 seconds to about 40 seconds, and more preferably, from about 10 seconds to about 30 seconds.
[0026] In one example, a method for treating a chamber and depositing a material on a substrate surface is provided which includes exposing the inner surfaces of a processing chamber and a substrate disposed within the processing chamber to a carrier gas having a continuous flow, introducing a treatment gas containing methylamine or dimethylamine to the continuously flowing carrier gas to expose the inner surfaces of the processing chamber and the substrate to the treatment gas during a pretreatment process. The method further provides alternately or sequentially pulsing a tantalum precursor gas and a nitrogen precursor gas into the continuously flowing carrier gas to sequentially expose the substrate to the tantalum and nitrogen precursor gases while depositing a tantalum nitride material on the substrate during an ALD process. In one example, the tantalum precursor gas contains PDMAT and the nitrogen precursor gas contains ammonia.
[0027] Figure 1 depicts a flowchart of sequences for ALD process 100 for depositing a tantalum nitride material in accordance with one embodiment described herein. ALD process 100 provides a constant flow of a carrier gas administered into the processing chamber and exposed to a substrate therein. At step 102, the processing chamber may be heated and pressurized to a predetermined temperature and pressure. Also, the processing chamber and/or substrate may be exposed to a pretreatment process during step 102. The pretreatment process provides exposing the inner surfaces of the processing chamber and the substrate to a treatment gas containing a hydrogenated ligand compound, preferably, an alkylamine compound, such as methylamine, dimethylamine, or derivatives thereof.
[0028] The treatment gas containing the hydrogenated ligand compound may be exposed to the inner surfaces of the processing chamber or the substrate with or without a carrier gas. In many examples, the treatment gas contains at least one carrier gas as well as the hydrogenated ligand compound. The carrier gas of the treatment gas may be ammonia, argon, nitrogen, hydrogen, helium, or mixtures thereof. In an alternative embodiment, such as for forming oxides or other materials, the carrier gas of the treatment gas may include oxygen, nitrous oxide, or air.
[0029] The processing chamber and the substrate may be exposed to the treatment gas having a gas flow rate within a range from about 0.5 slm to about 20 slm, preferably, from about 1 slm to about 16 slm, and more preferably, from about 2 slm to about 8 slm, such as about 4 slm during step 102. The treatment gas may formed by flowing the carrier gas through an ampoule or a bubbler containing the hydrogenated ligand compound. Alternatively, the treatment gas may formed by co- flowing the hydrogenated ligand compound with the carrier gas. The hydrogenated ligand compound may have a gas flow rate within a range from about 5 seem to about 1 ,000 seem, preferably, from about 25 seem to about 500 seem, and more preferably, from about 50 seem to about 150 seem, such as about 100 seem. In one example, the treatment gas contains an alkylamine compound, such as methylamine, dimethylamine, or derivatives thereof, as well as at least one carrier gas. In one example, the treatment gas may contain dimethylamine with a flow rate of about 100 seem and argon with a flow rate of about 4 slm. In another example, the treatment gas may contain dimethylamine with a flow rate of about 20 seem, ammonia with a flow rate of about 1 slm, and argon with a flow rate of about 8 slm. The processing chamber and/or substrate may be exposed to the treatment gas containing the hydrogenated ligand or other reagent for a time period within a range from about 2 seconds to about 120 seconds, preferably, from about 5 seconds to about 60 seconds, for example, about 20 seconds or about 40 seconds.
[0030] During the pretreatment process and the deposition process, the processing chamber and the substrate may be maintained approximately below a thermal decomposition temperature of the selected chemical precursor, such as PDMAT. An exemplary temperature of the processing chamber, the substrate, and/or the substrate pedestal during the pretreatment process and the deposition process may be within a range from about 1000C to about 5000C, preferably, from about 2000C to about 4000C, and more preferably, from about 2500C to about 3000C. The processing chamber may contain a chamber body and a chamber lid, which each may independently be heated to a temperature within a range from about 25°C to about 3000C, preferably, from about 300C to about 1000C, and more preferably, from about 400C to about 800C. The processing chamber may have an internal pressure within a range from about 1 mTorr to about 100 Torr, preferably, from about 1 Torr to about 50 Torr, and more preferably, from about 5 Torr to about 20 Torr, such as about 10 Torr.
[0031] The tantalum nitride layer formation is described as starting a stream of carrier gas into the processing chamber and across the substrate in step 104. In step 106, a pulse of tantalum precursor is administered into the processing chamber. The tantalum precursor is pulsed into the stream of carrier gas. A monolayer of a tantalum precursor is adsorbed on the substrate. The remaining tantalum precursor may be removed by the flow of the purge gas and/or pull of a vacuum system. The carrier gas is continuously exposed to the substrate and a pulse of nitrogen precursor is added into the carrier gas during step 108. The nitrogen precursor, such as ammonia, reacts with the adsorbed tantalum precursor to form a tantalum nitride layer on the substrate. The remaining nitrogen precursor and any by-products (e.g., organic compounds) may be removed by the flow of the purge gas and/or pull of a vacuum system. At step 110, if the desired tantalum nitride layer thickness is achieved, then the deposition process is ended at step 112. However, multiple cycles of steps 104-110 are generally repeated before achieving the desired tantalum nitride layer thickness. In one example, PDMAT and ammonia are sequentially pulsed for 40 cycles to deposit a film with a thickness about 20 A.
[0032] Alternatively for process 100, the tantalum nitride layer formation may start with the adsorption of a monolayer of a nitrogen precursor on the substrate followed by a monolayer of the tantalum precursor. Furthermore, in other example, a pump evacuation alone between pulses of reactant gases and/or purge gases may be used to prevent mixing of the reactant gases.
[0033] The PDMAT precursor may be heated within an ampoule, a vaporizer, a bubbler, or a similar container prior to flowing into an ALD processing chamber. The PDMAT may be heated to a temperature at least 300C, preferably within a range from about 45°C to about 900C, more preferably from about 500C to about 800C, such as about 73°C. The preheated PDMAT precursor is retained in the carrier gas more thoroughly than if the PDMAT precursor was at room temperature (about 200C). In order to heat the PDMAT precursor to a desired temperature, the ampoule, delivery lines, and valves on the ampoule and/or delivery lines may each be independently heated to a temperature within a range from about 25°C to about 3000C, preferably, from about 500C to about 1500C, and more preferably, from about 700C to about 1200C. In one example, the sidewalls of the ampoule may be heated to about 85°C, the delivery lines may be heated to about 1000C, and the valves may be heated to about 95°C.
[0034] For clarity and ease of description, the method will be further described as it relates to the deposition of a tantalum nitride barrier layer using an ALD process. Pulses of a tantalum precursor or a tantalum-containing compound, such as PDMAT may be introduced into the processing chamber. The tantalum precursor may be provided with the aid of a carrier gas or purge gas, which includes, but is not limited to, helium, argon, nitrogen, hydrogen, forming gas, or mixtures thereof. Pulses of a nitrogen precursor or a nitrogen-containing compound, such as ammonia, are also introduced into the processing chamber. A carrier gas may be used to deliver the nitrogen precursor. In one aspect, the flow of purge gas may be continuously provided by a gas sources {e.g., tank or in-house) to act as a purge gas between the pulses of the tantalum precursor and of the nitrogen precursor and to act as a carrier gas during the pulses of the tantalum precursor and the nitrogen precursor. In other aspects, a pulse of purge gas may be provided after each pulse of the tantalum precursor and each pulse the nitrogen precursor. Also, a constant purge or carrier gas may be flowing through the processing chamber during each of the deposition steps or half reactions.
[0035] In one example, the substrate may be heated to a temperature within a range from about 2500C to about 3000C and the internal pressure of the chamber may be within a range from about 5 Torr to about 15 Torr. The substrate may be exposed to an argon carrier gas having a flow rate within a range from about 1 ,000 seem to about 3,000 seem, preferably about 1 ,500 seem. A tantalum precursor gas is formed by flowing the argon carrier gas through the ampoule of preheated PDMAT a rate from about 200 seem to about 2,000 seem, preferably about 500 seem. The PDMAT is maintained at about 73°C. A process gas containing PDMAT is administered to the substrate surface for a period of time within a range from about 0.1 seconds to about 3.0 seconds, preferably, from about 0.5 seconds to about 1.5 seconds, for example, about 1 second.
[0036] After the substrate is exposed to a pulse of PDMAT, the flow of argon carrier gas may continue to purge for a period of time within a range from about 0.2 seconds to about 5.0 seconds, preferably, from about 0.25 seconds to about 1.5 seconds, for example, about 0.5 seconds. A vacuum system removes any remaining PDMAT during this purge step. Subsequently, a pulse of a nitrogen- containing process gas containing ammonia is administered to the substrate surface. The process gas may include the nitrogen precursor in a carrier gas or may be solely the nitrogen precursor. In one example, the process gas contains ammonia and nitrogen. The process gas containing ammonia is delivered a rate from about 1 ,000 seem to about 3,000 seem, preferably about 1 ,500 seem and is administered to the substrate surface for a period of time within a range from about 0.1 seconds to about 3.0 seconds, preferably, from about 0.5 seconds to about 1.5 seconds, fro example about 1 second. After the pulse of the process gas containing ammonia, the flow of the argon carrier gas may continue for a period of time within a range from about 0.2 seconds to about 5.0 seconds, preferably, from about 0.25 seconds to about 1.5 seconds, for example, about 0.5 seconds. The vacuum system removes any remaining nitrogen precursor and/or any by-products formed during the reaction.
[0037] The ALD cycle is repeated until a predetermined thickness of the deposited material, such as tantalum nitride, is achieved, such as within a range from about 5 A to about 200 A, preferably, from about 10 A to about 30 A, such as about 20 A for a barrier layer. The treatment of the interior surfaces of the processing chamber during the pretreatment process helps to increase the uniformity (or decrease the non-uniformity) across the substrate surface of the deposited materials - as opposed to not conducting the pretreatment process. The non-uniformity of the deposited material across the substrate surface may be about 12% or less, preferably, about 10% or less, and more preferably, about 8% or less, for example, about 6% or less.
[0038] Figure 2 depicts a flowchart of sequences for ALD process 200 for depositing a tantalum nitride material in accordance with one embodiment described herein. ALD process 200 provides a constant flow of a carrier gas administered into the processing chamber and exposed to a substrate therein. At step 202, the processing chamber may be heated and pressurized to a predetermined temperature and pressure. The processing chamber and/or substrate may be exposed to a pretreatment process during step 202. The pretreatment process provides exposing the inner surfaces of the processing chamber and the substrate to a treatment gas containing a hydrogenated ligand compound, preferably, an alkylamine compound, such as methylamine, dimethylamine, or derivatives thereof.
[0039] The treatment gas containing the hydrogenated ligand compound may be exposed to the inner surfaces of the processing chamber or the substrate with or without a carrier gas. In many examples, the treatment gas contains at least one carrier gas as well as the hydrogenated ligand compound. The carrier gas of the treatment gas may be ammonia, argon, nitrogen, hydrogen, helium, or mixtures thereof. In an alternative embodiment, such as for forming oxides or other materials, the carrier gas of the treatment gas may include oxygen, nitrous oxide, or air.
[0040] The processing chamber and the substrate may be exposed to the treatment gas having a gas flow rate within a range from about 0.5 slm to about 20 slm, preferably, from about 1 slm to about 16 slm, and more preferably, from about 2 slm to about 8 slm, such as about 4 slm during step 202. The treatment gas may formed by flowing the carrier gas through an ampoule or a bubbler containing the hydrogenated ligand compound. Alternatively, the treatment gas may formed by co- flowing the hydrogenated ligand compound with the carrier gas. The hydrogenated ligand compound may have a gas flow rate within a range from about 5 seem to about 1 ,000 seem, preferably, from about 25 seem to about 500 seem, and more preferably, from about 50 seem to about 150 seem, such as about 100 seem. In one example, the treatment gas contains an alkylamine compound, such as methylamine, dimethylamine, or derivatives thereof, as well as at least one carrier gas. In one example, the treatment gas may contain dimethylamine with a flow rate of about 100 seem and argon with a flow rate of about 4 slm. In another example, the treatment gas may contain dimethylamine with a flow rate of about 20 seem, ammonia with a flow rate of about 1 slm, and argon with a flow rate of about 8 slm. The processing chamber and/or substrate may be exposed to the treatment gas containing the hydrogenated ligand or other reagent for a time period within a range from about 2 seconds to about 120 seconds, preferably, from about 5 seconds to about 60 seconds, for example, about 20 seconds or about 40 seconds.
[0041] In one embodiment, a first pulse of purge gas is administered into the processing chamber and across the substrate during step 204. A vacuum system removes gases from the processing chamber during steps 204 and 208. During step 206, the substrate is exposed to a pulse of the tantalum precursor. The PDMAT adsorbs to the substrate forming a monolayer. A second pulse of purge gas removes excess PDMAT and any gaseous contaminants during step 208. During step 210, a nitrogen precursor is pulsed into the chamber and across the substrate. The nitrogen precursor reacts with the adsorbed PDMAT to form a tantalum- containing material, such as tantalum nitride. At step 212, if the desired tantalum nitride layer thickness is achieved, then the deposition process is ended at step 214. However, multiple cycles of steps 204-212 are generally repeated before achieving the desired tantalum nitride layer thickness. In one example, PDMAT and ammonia are sequentially pulsed for 20 cycles to deposit a film with a thickness about 10 A.
[0042] During the pretreatment process and the deposition process, the processing chamber and the substrate may be maintained approximately below a thermal decomposition temperature of the selected chemical precursor, such as PDMAT. An exemplary temperature of the processing chamber, the substrate, and/or the substrate pedestal during the pretreatment process and the deposition process may be within a range from about 1000C to about 5000C, preferably, from about 2000C to about 4000C, and more preferably, from about 2500C to about 3000C. The processing chamber may contain a chamber body and a chamber lid, which each may independently be heated to a temperature within a range from about 25°C to about 3000C, preferably, from about 300C to about 1000C, and more preferably, from about 400C to about 800C. The processing chamber may have an internal pressure within a range from about 1 mTorr to about 100 Torr, preferably, from about 1 Torr to about 50 Torr, and more preferably, from about 5 Torr to about 20 Torr, such as about 10 Torr.
[0043] The tantalum precursor gas may be formed by flowing the argon carrier gas through the ampoule of preheated PDMAT as described above. The substrate may be exposed to the tantalum precursor gas containing PDMAT for a period of time within a range from about 0.1 seconds to about 3.0 seconds, preferably, from about 0.5 seconds to about 1.5 seconds, and more preferably about 1 second. After the pulse of the tantalum precursor gas, a pulse of purge gas may be injected into the processing chamber and the vacuum system removes the various gases from the interior of the processing chamber for a time period within a range from about 0.2 seconds to about 5.0 seconds, preferably, from about 0.25 seconds to about 1.5 seconds, and more preferably, about 0.5 seconds. Subsequently, the substrate is exposed to a pulse of a nitrogen precursor gas or a nitrogen-containing process gas containing ammonia. The nitrogen precursor gas may include the nitrogen precursor in a carrier gas or may be solely the nitrogen precursor. The nitrogen precursor gas containing ammonia may be delivered at a rate from about 1 ,000 seem to about 3,000 seem, preferably about 1 ,500 seem and exposed to the substrate for a period of time within a range from about 0.1 seconds to about 3.0 seconds, preferably, from about 0.5 seconds to about 1.5 seconds, and more preferably about 1 second. After the pulse of the nitrogen precursor gas, another pulse of purge gas may be injected into the processing chamber and the vacuum system removes the various gases from the interior of the processing chamber for a time period within a range from about 0.2 seconds to about 5.0 seconds, preferably, from about 0.25 seconds to about 1.5 seconds, and more preferably, about 0.5 seconds. The ALD cycle is repeated until a predetermined thickness of the tantalum-containing layer, such as tantalum nitride, is achieved, such as within a range from about 5 A to about 200 A, preferably, from about 10 A to about 30 A, such as about 20 Λ. [0044] The time duration for each pulse of tantalum-containing gas, pulse of the nitrogen-containing gas, and pulse of purge gas between pulses of the reactants are variable and depend on the volume capacity of a deposition chamber employed as well as a vacuum system coupled thereto. For example, (1) a lower chamber pressure of a gas will require a longer pulse time; (2) a lower gas flow rate will require a longer time for chamber pressure to rise and stabilize requiring a longer pulse time; and (3) a large-volume chamber will take longer to fill, longer for chamber pressure to stabilize thus requiring a longer pulse time. Similarly, time between each pulse is also variable and depends on volume capacity of the processing chamber as well as the vacuum system coupled thereto. In general, the time duration of a pulse of the tantalum-containing gas or the nitrogen-containing gas should be long enough for adsorption or reaction of a monolayer of the compound. In one aspect, a pulse of a tantalum-containing gas may still be in the chamber when a pulse of a nitrogen-containing gas enters. In general, the duration of the purge gas and/or pump evacuation should be long enough to prevent the pulses of the tantalum-containing gas and the nitrogen-containing gas from mixing together in the reaction zone.
[0045] In another embodiment, the processing chamber may be exposed to a treatment gas during a pretreatment process prior to forming other materials on the substrate thereon. In one example, the hydrogenated ligand compound may be an alkylamine compound, such as methylamine or dimethylamine, while PDMAT may be used as a tantalum precursor to form other tantalum-containing material, such as tantalum oxide, tantalum silicon nitride, tantalum boron nitride, tantalum phosphorous nitride, tantalum oxynitride, or tantalum silicate. A more detailed description of a process to form ternary or quaternary elemental tantalum-containing materials is described in commonly assigned U.S. Pat. No. 7,081 ,271 , which is herein incorporated by reference in its entirety.
[0046] Processes 100 and 200 may be modified in order to obtain ternary tantalum-containing materials. For example, a tantalum silicon nitride material may be formed if the substrate is exposed to a pulse of a silicon precursor as an additional step of the ALD cycle containing the pulses of the tantalum precursor gas and a nitrogen precursor. Similar, a tantalum oxynitride material may be formed if the substrate is exposed to a pulse of an oxygen precursor as an additional step of the ALD cycle containing the pulses of the tantalum precursor gas and a nitrogen precursor. In another example, a tantalum silicate material may be formed if the substrate is exposed to a pulse of the tantalum precursor gas, a pulse of a silicon precursor, and a pulse of an oxygen precursor during the ALD cycle. In another example, a tantalum oxide material may be formed if the substrate is exposed to a pulse of the tantalum precursor gas and a pulse of an oxygen precursor during the ALD cycle. In another example, a tantalum phosphorous nitride material may be formed if the substrate is exposed to a pulse of the tantalum precursor gas, a pulse of a nitrogen precursor and a pulse of a phosphorous precursor (e.g., phosphine) during the ALD cycle. In another example, a tantalum boron nitride material may be formed if the substrate is exposed to a pulse of the tantalum precursor gas, a pulse of a nitrogen precursor and a pulse of a boron precursor {e.g., diborane) during the ALD cycle.
[0047] In one embodiment, tantalum nitride material may be formed or deposited with the chemical formula TaNx, where x is within a range from about 0.4 to about 2.0. In some examples, the tantalum nitride materials may be formed with empirical formulas of TaN, Ta3N5, Ta2N, or Ta6N2 57. The tantalum nitride materials may be deposited as amorphous or crystalline materials. The ALD process provides stoichiometric control during the deposition of the tantalum nitride materials. The stoichiometry may be altered by various procedures following the deposition process, such as when Ta3N5 is thermally annealed to form TaN. The ratio of the precursors may be altered during deposition to control the stoichiometry of the tantalum nitride materials.
[0048] In the examples above, the various tantalum materials, such as tantalum nitride, may be formed by ALD processes which utilize the tantalum precursor PDMAT and the hydrogenated ligand compound dimethylamine. However, other chemical precursors and hydrogenated ligand compounds are within the scope of embodiments of the invention. [0049] An important characteristic for a chemical precursor used in a vapor deposition process is to have a favorable vapor pressure. The chemical precursor may have a gaseous state, a liquid state, or a solid state at ambient temperature and/or pressure. However, within the vapor deposition system, precursors are volatilized to a gas and delivered to the ALD or CVD processing chamber. The chemical precursors are usually heated prior to being delivered into the processing chamber.
[0050] Tantalum precursors may contain ligands such as alkylamino, alkylimino, cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, alkyl, alkene, alkyne, alkoxyl, isomers thereof, derivatives thereof, or combinations thereof. Alkylamino tantalum compounds used as tantalum precursors include (RR1N)5Ta, where each of R or R' is independently hydrogen, methyl, ethyl, propyl, or butyl. Alkylimino tantalum compounds used as tantalum precursors include (RN)(R'R"N)3Ta, where each of R, R', or R" is independently hydrogen, methyl, ethyl, propyl, butyl, or pentyl (amyl).
[0051] Exemplary tantalum precursors include pentakis(dimethylamino) tantalum (PDMAT, (Me2N)5Ta), pentakis(diethylamino) tantalum (PDEAT, (Et2N)5Ta), pentakis(ethylmethylamino) tantalum (PEMAT, (EtMeN)5Ta), tert-butylimino tris(dimethylamino) tantalum (TBTDMT, ((BuN)Ta(NMe2)3), tert-butylimino tris(diethylamino) tantalum (TBTDET, (1BuN)Ta(NEy3), tert-butylimino tris(ethylmethylamino) tantalum (TBTEMT, (1BuN)Ta(NMeEt)3), tert-amylimino- tris(dimethylamino) tantalum (TAIMATA, (ΑmylN)Ta(NMe2)3), tert-amylimino- tris(diethylamino) tantalum ((fAmylN)Ta(NEt2)3), tert-amylimino- tris(ethylmethylamino) tantalum ((tAmylN)Ta(NEtMe)3), bis(cyclopentadienyl) tantalum trihydride (Cp2TaH3), bis(methylcyclopentadienyl) tantalum trihydride ((MeCp)2TaH3), bis(pentamethylcyclopentadienyl) tantalum trihydride
((Me5Cp)2TaH3), tantalum methoxide ((MeO)5Ta), tantalum ethoxide ((EtO)5Ta), tantalum propoxide ((PrO)5Ta), tantalum butoxide ((BuO)5Ta), isomers thereof, or derivatives thereof.
[0052] "TAIMATA" is used herein to describe tertiaryamylimino- tris(dimethylamino) tantalum with the chemical formula (lAmylN)Ta(NMe2)3, wherein Αmyl is the tertiaryamyl (tert-amyl) group (C5H11- or CH3CH2C(CHa)2-). In one embodiment, a tantalum-containing gas may be formed by heating a liquid TAIMATA precursor in a vaporizer, a bubbler or an ampoule to a temperature of at least 300C, preferably to a temperature within a range from about 500C to about 800C. A carrier gas may be flown across or bubbled through the heated TAIMATA to form a tantalum-containing gas.
[0053] Besides tantalum precursors, other chemical precursors may also be used in vapor deposition processes, as described by embodiments herein. Exemplary chemical precursors that may also be used in vapor deposition (e.g., ALD or CVD) processes include titanium precursors, tungsten precursors, hafnium precursors, zirconium precursors, aluminum precursors, cobalt precursors, ruthenium precursors, copper precursors, silicon precursors, nitrogen precursors, oxygen precursors, as well as other chemical precursors. Materials that may be formed or deposited include a variety of metals, nitrides, oxides, suicides, including metallic tantalum, tantalum nitride, tantalum oxide, tantalum oxynitride, tantalum suicide, tantalum suicide nitride, metallic titanium, titanium nitride, titanium oxide, titanium oxynitride, titanium suicide, titanium suicide nitride, metallic tungsten, tungsten nitride, tungsten oxide, tungsten boronitride, tungsten suicide, tungsten suicide nitride, tungsten boride, metallic hafnium, hafnium nitride, hafnium oxide, hafnium oxynitride, hafnium suicide, hafnium silicon nitride, hafnium silicate, hafnium silicon oxynitride, metallic zirconium, zirconium nitride, zirconium oxide, zirconium oxynitride, zirconium suicide, zirconium silicon nitride, zirconium silicate, zirconium silicon oxynitride, metallic aluminum, aluminum nitride, aluminum oxide, aluminum oxynitride, aluminum suicide, aluminum silicon nitride, aluminum silicate, aluminum silicon oxynitride, metallic cobalt, cobalt suicide, metallic ruthenium, metallic copper, copper alloys, derivatives thereof, alloys thereof, or combinations thereof.
[0054] In another embodiment, the treatment gas contains a hydrogenated ligand compound having the chemical formula of HL, where L is a ligand such as alkylamino, alkylimino, alkoxy, alkyl, alkene, alkyne, cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, or derivatives thereof. In some examples, such as when the metal precursor is an alkylamino metal precursor, the treatment gas contains a hydrogenated ligand compound which may be an alkylamine compound having the chemical formula of H2NR or HNR'R", where each R, R', and R" is independently methyl, ethyl, propyl, butyl, amyl, phenyl, aryl, isomers thereof, derivatives thereof, or combinations thereof. The alkylamine compound may be methylamine, dimethylamine, ethylamine, diethylamine, methylethylamine, propylamine, dipropylamine, butylamine, dibutylamine, isomers thereof, derivatives thereof, or combinations thereof. In some examples, the treatment gas further contains at least one carrier gas such as ammonia, hydrogen, nitrogen, argon, helium, or combinations thereof. In one example, the treatment gas contains dimethylamine, ammonia, and another carrier gas, such as argon.
[0055] In other examples, the treatment gas contains a hydrogenated ligand compound which may be an alcohol compound having the chemical formula of ROH, where R is methyl, ethyl, propyl, butyl, amyl, isomers thereof, or derivatives thereof. The alcohol compound may be methanol, ethanol, propanol, butanol, pentanol, isomers thereof, derivatives thereof, or combinations thereof. In other examples, the chemical precursor contains an alkoxy ligand such as OCH3, OC2H5, OC3H7, OC4Hg, isomers thereof, or derivatives thereof.
[0056] In other embodiments, the precursor gas contains a chemical precursor having the chemical formula of ML'X, where x is 1 , 2, 3, 4, 5, 6, or greater, M is an element such as Ti, Zr, Hf, Nb, Ta, Mo, W, Ru, Co, Ni, Pd, Pt, Cu, Al, Ga, In, Si, Ge, Sn, P, As, or Sb, and each L' is independently a ligand such as alkylamino, alkylimino, alkoxy, alkyl, alkene, alkyne, cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, hydrogen, halogen, derivatives thereof, or combinations thereof.
[0057] In one embodiment, the ligand L of the hydrogenated ligand compound may be cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, isomers thereof, or derivatives thereof and the ligand L' of the chemical precursor may be cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, isomers thereof, or derivatives thereof. [0058] In some examples, the precursor gas contains the alkylamino metal precursor gas contains an alkylamino metal precursor having the chemical formula of MUx, where x is 1 , 2, 3, 4, 5, 6, or greater, M may be a metal or other element such as Ti, Zr, Hf, Ta, Mo, W, or Si, and each ligand L' is independently a ligand, such as an alkylamino ligand, which include N(CH3)2, N(C2Hs)2, N(C3Hy)2, N(C4Hg)2, N(CH3)(C2H5), isomers thereof, derivatives thereof, or combinations thereof. In some examples, metal/element M may be Si, Ti, Zr, or Hf while x is usually 4. In other examples, the alkylamino metal precursor is a tantalum precursor with metal M being Ta while x is usually 4 or 5.
[0059] In other examples, the hydrogenated ligand compound may be an alcohol compound having the chemical formula of ROH, where R is methyl, ethyl, propyl, butyl, amyl, isomers thereof, or derivatives thereof. The alcohol compound may be methanol, ethanol, propanol, butanol, pentanol, isomers thereof, derivatives thereof, or combinations thereof. In other examples, the first precursor contains an alkoxy ligand such as OCH3, OC2H5, OC3H7, OC4H9, isomers thereof, or derivatives thereof. In other examples, the ligand L of the hydrogenated ligand compound may be cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, isomers thereof, or derivatives thereof and the ligand L' of the first precursor may be cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, isomers thereof, or derivatives thereof.
[0060] Titanium precursors useful for depositing materials as described herein include tetrakis(dimethylamino) titanium (TDMAT), tetrakis(ethylmethylamino) titanium (TEMAT), tetrakis(diethylamino) titanium (TDEAT), or derivatives thereof.
[0061] Tungsten precursors useful for depositing materials as described herein include bis(tert-butylimino)-bis(dimethylamino) tungsten ((*BuN)2W(NMe2)2), bis(tert- butylimino)-bis(diethylamino) tungsten ((tBuN)2W(NEt2)2), bis(tert-butylimino)- bis(ethylmethylamino) tungsten (('BuN)2W(NEtMe)2), or derivatives thereof.
[0062] Hafnium alkylamino compounds useful as hafnium precursors include (RR1N)4Hf, where each R and R' is independently hydrogen, methyl, ethyl, propyl, butyl, amyl, or isomers thereof. Hafnium precursors useful for depositing materials as described herein include tetrakis(diethylamino) hafnium ((Et2N)4Hf, TDEAH), tetrakis(dimethylamino) hafnium ((Me2N)4Hf, TDMAH), tetrakis(ethylmethylamino) hafnium ((EtMeN)4Hf, TEMAH), hafnium tetramethoxide ((MeO)4Hf), hafnium tetraethoxide ((EtO)4Hf), hafnium tetrapropoxide ((PrO)4Hf), hafnium tetrabutoxide ((BuO)4Hf), isomers thereof, or derivatives thereof. Other hafnium precursors may include hafnium chloride (HfCI4), hafnium iodide (HfI4), (tBuC5H4)2HfCI2, (C5Hs)2HfCI2, (EtC5H4)2HfCI2) (Me5Cs)2HfCI2, (Me5C5)HfCI3, ('PrCsH4)2HfCI2, (1PrC5H4)HfCI3, (tBuC5H4)2HfMe2, (acac)4Hf, (hfac)4Hf, (tfac)4Hf, (thd)4Hf, (NOa)4Hf, or derivatives thereof.
[0063] Zirconium alkylamino compounds useful as zirconium precursors include (RR1N)4Zr, where each R and R' is independently hydrogen, methyl, ethyl, propyl, butyl, amyl, or isomers thereof. Zirconium precursors useful for depositing materials as described herein include tetrakis(diethylamino) zirconium ((Et2N)4Zr), tetrakis(dimethylamino) zirconium ((Me2N)4Zr), tetrakis(ethylmethylamino) zirconium ((EtMeN)4Zr), zirconium tetramethoxide ((MeO)4Zr), zirconium tetraethoxide ((EtO)4Zr), zirconium tetrapropoxide ((PrO)4Zr), zirconium tetrabutoxide ((BuO)4Zr), isomers thereof, or derivatives thereof. Other zirconium precursors may include zirconium chloride (ZrCI4), zirconium iodide (ZrI4), (tBuC5H4)2ZrCI2, (C5Hs)2ZrCI2, (EtC5H4J2ZrCI2, (Me5Cs)2ZrCI2, (Me5C5)ZrCI3, ('PrC5H4)2ZrCI2, (1PrC5H4)ZrCI3, ('BuC5H4)2ZrMe2, (acac)4Zr, (Zrac)4Zr, (tfac)4Zr, (thd)4Zr, (NO3)4Zr, or derivatives thereof.
[0064] Aluminum precursors useful for depositing materials as described herein include aluminum methoxide ((MeO)3AI), aluminum ethoxide ((EtO)3AI), aluminum propoxide ((PrO)3AI), aluminum butoxide ((BuO)3AI), or derivatives thereof.
[0065] Silicon precursors useful for depositing materials as described herein include silane compounds, alkylamino silane compounds, silanol, or alkoxysilane compounds, as well as other silicon containing compounds. Alkylamino silane compounds useful as silicon precursors include (RR'N)4-nSiHn, where R or R' are independently hydrogen, methyl, ethyl, propyl, butyl, amyl, isomers thereof, or derivatives thereof and n is 0, 1 , 2, or 3. Alkoxy silane compounds may be described by the generic chemical formula (RO)4-nSil_n, where R is methyl, ethyl, propyl, butyl, amyl, isomers thereof, or derivatives thereof and L is H, OH, F, Cl, Br, I, methyl, ethyl, propyl, butyl, or mixtures thereof, and n is 0, 1 , 2, or 3. Silicon precursors may include tetrakis(dimethylamino) silane ((Me2N)4Si, DMAS), tris(dimethylamino) silane ((Me2N)3SiH, Tris-DMAS), bis(dimethylamino) silane ((Me2N)2SiH2), dimethylamino silane ((Me2N)SiH3), tetrakis(diethylamino) silane ((Et2N)4Si)), tris(diethylamino) silane ((Et2N)3SiH), tetrakis(methylethylamino) silane ((MeEtN)4Si), tris(methylethylamino) silane ((MeEtN)3SiH), tetramethoxysilane ((MeO)4Si), tetraethoxysilane ((EtO)4Si), isomers thereof, derivatives thereof, or combinations thereof. Other silicon precursors that may be used in vapor deposition processes described herein include silane (SiH4), disilane (Si2H6), tetrachlorosilane (SiCI4), hexachlorodisilane (Si2CI6), tetraisocyanate silane (Si(NCO)4), trisocyanate methylsilane (MeSi(NCO)3), or derivatives thereof.
[0066] In another embodiment, a family of ruthenium precursors useful to form a ruthenium material during the deposition process described herein includes pyrrolyl ruthenium precursors. During a pretreatment process of the processing chamber and/or the substrate, the hydrogenated ligand compound within the treatment gas may be a hydrogenated pyrrolyl ligand, pyridine, or derivatives thereof. In one example, a pyrrolyl ruthenium precursor contains ruthenium and at least one pyrrolyl ligand or at least one pyrrolyl derivative ligand. A pyrrolyl ruthenium precursor may have a pyrrolyl ligand, such as, for example:
Figure imgf000026_0001
where R1, R2, R3, R4, and R5 is each independently absent, hydrogen, an alkyl group (e.g., methyl, ethyl, propyl, butyl, amyl, or higher), an amine group, an alkoxy group, an alcohol group, an aryl group, another pyrrolyl group (e.g., 2,2'-bipyrrolyl), a pyrazole group, derivatives thereof, or combinations thereof. The pyrrolyl ligand may have any two or more of R1, R2, R3, R4, and R5 connected together by a chemical group. For example, R2 and R3 may be a portion of a ring structure such as an indolyl group or derivative thereof. A pyrrolyl ruthenium precursor as used herein refers to any chemical compound containing ruthenium and at least one pyrrolyl ligand or at least one derivative of a pyrrolyl ligand. In some examples, a pyrrolyl ruthenium precursor may include bis(tetramethylpyrrolyl) ruthenium, bis(2,5- dimethylpyrrolyl) ruthenium, bis(2,5-diethylpyrrolyl) ruthenium, bis(tetraethylpyrrolyl) ruthenium, pentadienyl tetramethylpyrrolyl ruthenium, pentadienyl 2,5- dimethylpyrrolyl ruthenium, pentadienyl tetraethylpyrrolyl ruthenium, pentadienyl 2,5- diethylpyrrolyl ruthenium, 1 ,3-dimethylpentadienyl pyrrolyl ruthenium, 1 ,3- diethylpentadienyl pyrrolyl ruthenium, methylcyclopentadienyl pyrrolyl ruthenium, ethylcyclopentadienyl pyrrolyl ruthenium, 2-methylpyrrolyl pyrrolyl ruthenium, 2- ethylpyrrolyl pyrrolyl ruthenium, or derivatives thereof.
[0067] A pyrrolyl ligand, as used herein, may be abbreviated by "py" and a pyrrolyl derivative ligand may be abbreviated by "R-py." Exemplary pyrrolyl ruthenium precursors useful to form a ruthenium material during the deposition process described herein include alkyl pyrrolyl ruthenium precursors (e.g., (Rx- py)Ru), bis(pyrrolyl) ruthenium precursors (e.g., (py)2Ru) and dienyl pyrrolyl ruthenium precursors (e.g., (Cp)(py)Ru). Examples of alkyl pyrrolyl ruthenium precursors include methylpyrrolyl ruthenium, ethylpyrrolyl ruthenium, propylpyrrolyl ruthenium, dimethylpyrrolyl ruthenium, diethylpyrrolyl ruthenium, dipropylpyrrolyl ruthenium, trimethylpyrrolyl ruthenium, triethylpyrrolyl ruthenium, tetramethylpyrrolyl ruthenium, tetraethylpyrrolyl ruthenium, or derivatives thereof. Examples of bis(pyrrolyl) ruthenium precursors include bis(pyrrolyl) ruthenium, bis(methylpyrrolyl) ruthenium, bis(ethylpyrrolyl) ruthenium, bis(propylpyrrolyl) ruthenium, bis(dimethylpyrrolyl) ruthenium, bis(diethylpyrrolyl) ruthenium, bis(dipropylpyrrolyl) ruthenium, bis(trimethylpyrrolyl) ruthenium, bis(triethylpyrrolyl) ruthenium, bis(tetramethylpyrrolyl) ruthenium, bis(tetraethylpyrrolyl) ruthenium, methylpyrrolyl pyrrolyl ruthenium, ethylpyrrolyl pyrrolyl ruthenium, propylpyrrolyl pyrrolyl ruthenium, dimethylpyrrolyl pyrrolyl ruthenium, diethylpyrrolyl pyrrolyl ruthenium, dipropylpyrrolyl pyrrolyl ruthenium, trimethylpyrrolyl pyrrolyl ruthenium, triethylpyrrolyl pyrrolyl ruthenium, tetramethylpyrrolyl pyrrolyl ruthenium, tetraethylpyrrolyl pyrrolyl ruthenium, or derivatives thereof.
[0068] A dienyl pyrrolyl ruthenium precursor contains at least one dienyl ligand and at least one pyrrolyl ligand. The dienyl ligand may contain a carbon backbone with as little as four carbon atoms or as many as about ten carbon atoms, preferably, about five or six. The dienyl ligand may have a ring structure (e.g., cyclopentadienyl) or may be an open alkyl chain (e.g., pentadienyl). Also, dienyl ligand may contain no alkyl groups, one alkyl group, or many alkyl groups.
[0069] In one embodiment, the dienyl pyrrolyl ruthenium precursor contains a pentadienyl ligand or an alkylpentadienyl ligand. Examples of pentadienyl pyrrolyl ruthenium precursors include pentadienyl pyrrolyl ruthenium, pentadienyl methylpyrrolyl ruthenium, pentadienyl ethylpyrrolyl ruthenium, pentadienyl propylpyrrolyl ruthenium, pentadienyl dimethylpyrrolyl ruthenium, pentadienyl diethylpyrrolyl ruthenium, pentadienyl dipropylpyrrolyl ruthenium, pentadienyl trimethylpyrrolyl ruthenium, pentadienyl triethylpyrrolyl ruthenium, pentadienyl tetramethylpyrrolyl ruthenium, pentadienyl tetraethylpyrrolyl ruthenium, or derivatives thereof. Examples of alkylpentadienyl pyrrolyl ruthenium precursors include alkylpentadienyl pyrrolyl ruthenium, alkylpentadienyl methylpyrrolyl ruthenium, alkylpentadienyl ethylpyrrolyl ruthenium, alkylpentadienyl propylpyrrolyl ruthenium, alkylpentadienyl dimethylpyrrolyl ruthenium, alkylpentadienyl diethylpyrrolyl ruthenium, alkylpentadienyl dipropylpyrrolyl ruthenium, alkylpentadienyl trimethylpyrrolyl ruthenium, alkylpentadienyl triethylpyrrolyl ruthenium, alkylpentadienyl tetramethylpyrrolyl ruthenium, alkylpentadienyl tetraethylpyrrolyl ruthenium, or derivatives thereof.
[0070] In another embodiment, the dienyl pyrrolyl ruthenium precursor contains a cyclopentadienyl ligand or an alkylcyclopentadienyl ligand. Examples of cyclopentadienyl pyrrolyl ruthenium precursors include cyclopentadienyl pyrrolyl ruthenium, cyclopentadienyl methylpyrrolyl ruthenium, cyclopentadienyl ethylpyrrolyl ruthenium, cyclopentadienyl propylpyrrolyl ruthenium, cyclopentadienyl dimethylpyrrolyl ruthenium, cyclopentadienyl diethylpyrrolyl ruthenium, cyclopentadienyl dipropylpyrrolyl ruthenium, cyclopentadienyl trimethylpyrrolyl ruthenium, cyclopentadienyl triethylpyrrolyl ruthenium, cyclopentadienyl tetramethylpyrrolyl ruthenium, cyclopentadienyl tetraethylpyrrolyl ruthenium, or derivatives thereof. Examples of alkylcyclopentadienyl pyrrolyl ruthenium precursors include alkylcyclopentadienyl pyrrolyl ruthenium, alkylcyclopentadienyl methylpyrrolyl ruthenium, alkylcyclopentadienyl ethylpyrrolyl ruthenium, alkylcyclopentadienyl propylpyrrolyl ruthenium, alkylcyclopentadienyl dimethylpyrrolyl ruthenium, alkylcyclopentadienyl diethylpyrrolyl ruthenium, alkylcyclopentadienyl dipropylpyrrolyl ruthenium, alkylcyclopentadienyl trimethylpyrrolyl ruthenium, alkylcyclopentadienyl triethylpyrrolyl ruthenium, alkylcyclopentadienyl tetramethylpyrrolyl ruthenium, alkylcyclopentadienyl tetraethylpyrrolyl ruthenium, or derivatives thereof.
[0071] In another embodiment, a ruthenium precursor may contain no pyrrolyl ligand or pyrrolyl derivative ligand, but instead, contains at least one open chain dienyl ligand, such as CH2CRCHCRCHk, where R is independently an alkyl group or hydrogen. A ruthenium precursor may have two open-chain dienyl ligands, such as pentadienyl or heptadienyl. A bis(pentadienyl) ruthenium compound has a generic chemical formula (CH2CRCHCRCH2^RU, where R is independently an alkyl group or hydrogen. Usually, R is independently hydrogen, methyl, ethyl, propyl or butyl. Therefore, ruthenium precursors may include bis(dialkylpentadienyl) ruthenium compounds, bis(alkylpentadienyl) ruthenium compounds, bis(pentadienyl) ruthenium compounds, or combinations thereof. Examples of ruthenium precursors include bis(2,4-dimethylpentadienyl) ruthenium, bis(2,4-diethylpentadienyl) ruthenium, bis(2,4-diisopropylpentadienyl) ruthenium, bis(2,4-ditertbutylpentadienyl) ruthenium, bis(methylpentadienyl)ruthenium, bis(ethylpentadienyl) ruthenium, bis(isopropylpentadienyl) ruthenium, bis(tertbutylpentadienyl) ruthenium, derivatives thereof, or combinations thereof. In some embodiments, other ruthenium precursors include tris(2,2,6,6-tetramethyl-3,5-heptanedionato) ruthenium, dicarbonyl pentadienyl ruthenium, ruthenium acetyl acetonate, 2,4-dimethylpentadienyl cyclopentadienyl ruthenium, bis(2,2,6,6-tetramethyl-3,5-heptanedionato) (1 ,5- cyclooctadiene) ruthenium, 2,4-dimethylpentadienyl methylcyclopentadienyl ruthenium, 1 ,5-cyclooctadiene cyclopentadienyl ruthenium, 1 ,5-cyclooctadiene methylcyclopentadienyl ruthenium, 1 ,5-cyclooctadiene ethylcyclopentadienyl ruthenium, 2,4-dimethylpentadienyl ethylcyclopentadienyl ruthenium, 2,4- dimethylpentadienyl isopropylcyclopentadienyl ruthenium, bis(N,N-dimethyl 1 ,3- tetramethyl diiminato) 1 ,5-cyclooctadiene ruthenium, bis(N,N-dimethyl 1 ,3-dimethyl diiminato) 1 ,5-cyclooctadiene ruthenium, bis(allyl) 1 ,5-cyclooctadiene ruthenium, η6- C6H6 1 ,3-cyclohexadiene ruthenium, bis(1 ,1 -dimethyl-2-aminoethoxylato) 1 ,5- cyclooctadiene ruthenium, bis(1 ,1-dimethyl-2-aminoethylaminato) 1 ,5- cyclooctadiene ruthenium, bis(cyclopentadienyl) ruthenium, bis(methylcyclopentadienyl) ruthenium, bis(ethylcyclopentadienyl) ruthenium, and bis(pentamethylcyclopentadienyl) ruthenium, or derivatives thereof.
[0072] Cobalt precursors useful for depositing materials as described herein include cobalt carbonyl complexes, cobalt amidinates compounds, cobaltocene compounds, cobalt dienyl complexes, cobalt nitrosyl complexes, derivatives thereof, complexes thereof, plasma thereof, or combinations thereof. In some embodiments, cobalt materials may be deposited by CVD and ALD processes further described in commonly assigned U.S. Pat. Nos. 7,1164,846 and 7,404,985, which are herein incorporated by reference.
[0073] In some embodiments, cobalt carbonyl compounds or complexes may be utilized as cobalt precursors. Cobalt carbonyl compounds or complexes have the general chemical formula (CO)xCoyLz, where X may be 1 , 2, 3, 4, 5, 6, 7, 8, 9, 10, 11 , or 12, Y may be 1 , 2, 3, 4, or 5, and Z may be 1 , 2, 3, 4, 5, 6, 7, or 8. The group L is absent, one ligand or multiple ligands, that may be the same ligand or different ligands, and include cyclopentadienyl, alkylcyclopentadienyl (e.g., methylcyclopentadienyl or pentamethylcyclopentadienyl), pentadienyl, alkylpentadienyl, cyclobutadienyl, butadienyl, ethylene, allyl (or propylene), alkenes, dialkenes, alkynes, acetylene, butylacetylene, nitrosyl, ammonia, or derivatives thereof.
[0074] In one embodiment, dicobalt hexacarbonyl acetyl compounds may be used to form cobalt materials {e.g., cobalt layer 220) during a deposition process. Dicobalt hexacarbonyl acetyl compounds may have the chemical formula of (CO)6Co2(RC≡CR'), wherein R and R' are independently hydrogen, methyl, ethyl, propyl, isopropyl, butyl, tertbutyl, penta, benzyl, aryl, isomers thereof, derivatives thereof, or combinations thereof. In one example, dicobalt hexacarbonyl butylacetylene (CCTBA, (CO)6CO2(HCSC1BU)) is the cobalt precursor. Other examples of dicobalt hexacarbonyl acetyl compounds include dicobalt hexacarbonyl methylbutylacetylene ((CO)6Co2(MeCsC1Bu)), dicobalt hexacarbonyl phenylacetylene ((CO)6Co2(HC≡CPh)), hexacarbonyl methylphenylacetylene ((CO)6Co2(MeC≡CPh)), dicobalt hexacarbonyl methylacetylene
((CO)6Co2(HCsCMe)), dicobalt hexacarbonyl dimethylacetylene
((CO)6Co2(MeCsCMe)), derivatives thereof, complexes thereof, plasmas thereof, or combinations thereof. Other exemplary cobalt carbonyl complexes include cyclopentadienyl cobalt bis(carbonyl) (CpCo(CO)2), tricarbonyl allyl cobalt ((CO)3Co(CH2CH=CH2)), or derivatives thereof.
[0075] In another embodiment, cobalt amidinates or cobalt amino complexes may be utilized as cobalt precursors. Cobalt amino complexes have the general chemical formula (RR1N)xCo, where X may be 1 , 2, or 3, and R and R' are independently hydrogen, methyl, ethyl, propyl, butyl, alkyl, silyl, alkylsilyl, derivatives thereof, or combinations thereof. Some exemplary cobalt amino complexes include bis(di(butyldimethylsilyl)amino) cobalt (((BuMe2Si)2N)2Co), bis(di(ethyldimethylsilyl)amino) cobalt (((EtMe2Si)2N)2Co), bis(di(propyldimethylsilyl)amino) cobalt (((PrMe2Si)2N)2Co), bis(di(trimethylsilyl)amino) cobalt (((Me3Si)2N)2Co), tris(di(trimethylsilyl)amino) cobalt (((Me3Si)2N)3Co), or derivatives thereof.
[0076] Some exemplary cobalt precursors include methylcyclopentadienyl cobalt bis(carbonyl) (MeCpCo(CO)2), ethylcyclopentadienyl cobalt bis(carbonyl) (EtCpCo(CO)2), pentamethylcyclopentadienyl cobalt bis(carbonyl) (Me5CpCo(CO)2), dicobalt octa(carbonyl) (Co2(CO)8), nitrosyl cobalt tris(carbonyl) ((ON)Co(CO)3), bis(cyclopentadienyl) cobalt, (cyclopentadienyl) cobalt (cyclohexadienyl), cyclopentadienyl cobalt (1 ,3-hexadienyl), (cyclobutadienyl) cobalt (cyclopentadienyl), bis(methylcyclopentadienyl) cobalt, (cyclopentadienyl) cobalt (5- methylcyclopentadienyl), bis(ethylene) cobalt (pentamethylcyclopentadienyl), cobalt tetracarbonyl iodide, cobalt tetracarbonyl trichlorosilane, carbonyl chloride tris(trimethylphosphine) cobalt, cobalt tricarbonyl-hydrotributylphosphine, acetylene dicobalt hexacarbonyl, acetylene dicobalt pentacarbonyl triethylphosphine, derivatives thereof, complexes thereof, plasma thereof, or combinations thereof.
[0077] Nitrogen precursors may be used to deposit nitride or nitrogen-containing materials. Nitrogen precursors useful for depositing materials as described herein include ammonia (NH3), hydrazine (N2H4), methyl hydrazine ((CH3)HN2H2), dimethyl hydrazine ((CH3)2N2H2), t-butylhydrazine (C4H9N2H3), phenylhydrazine (C6H5N2H3), other hydrazine derivatives, amines, a nitrogen plasma source {e.g., N2, atomic-N, N2/H2, NH3, or a N2H4 plasma), 2,2'-azotertbutane ((CH3)6C2N2), organic or alkyl azides, such as methylazide (CH3N3), ethylazide (C2H5N3), trimethylsilylazide (Me3SiN3), inorganic azides {e.g., NaN3 or Cp2CoN3) and other suitable nitrogen sources. Radical nitrogen compounds, such as N3, N2, N, NH, or NH2, may be produced by heat, hot-wires, in situ plasma, or remote plasma. In one example, the nitrogen precursor is ammonia. In another example, the nitrogen precursor contains a nitrogen plasma formed in situ or by a remote plasma system.
[0078] Other reactive gases that may be used to deposit various materials, include tantalum nitride, tantalum-containing materials include oxygen sources and reductants. A tantalum-containing material, such as tantalum silicate, tantalum oxide, or tantalum oxynitride may be formed with the addition of an oxygen source to the vapor deposition {e.g., ALD or CVD) process. Oxygen sources or oxygen precursors include atomic-O, O2, O3, H2O, H2O2, organic peroxides, derivatives thereof, or combinations thereof. Reducing compounds may be included in the vapor deposition process to form a tantalum precursor, such as metallic tantalum, tantalum boron nitride or tantalum phosphorous nitride. Reducing compounds include borane (BH3), diborane (B2He), alkylboranes {e.g., Et3B), phosphine (PH3), hydrogen (H2), derivatives thereof, or combinations thereof.
[0079] A detailed description for a processing chamber, such as an ALD chamber, is described in commonly assigned U.S. Pat. No. 6,916,398, and U.S. Ser. No. 10/281 ,079, filed October 25, 2002, and published as U.S. Pub. No. 2003- 0121608, which are herein incorporated by reference in their entirety. In one embodiment, a plasma-enhanced ALD (PE-ALD) process is used to deposit tantalum materials. A chamber and process to perform PE-ALD is further described in commonly assigned U.S. Pat. No. 6,998,014, which is herein incorporated by reference in its entirety. A detailed description for a vaporizer or an ampoule to preheat precursors, such as PDMAT or TAIMATA, is described in commonly assigned U.S. Pat. Nos. 6,915,592 and 7,186,385, which are herein incorporated by reference in their entirety. A detailed description for a system to deliver the precursors, such as PDMAT or TAIMATA, to processing chamber is described in commonly assigned U.S. Pat. No. 6,955,211 , and U.S. Ser. No. 10/700,328, filed November 3, 2003, and published as U.S. Pub. No. 2005-0095859, which are herein incorporated by reference in their entirety.
Coverage-Diverge Lid Assembly
[0080] Figures 3A-3C are schematic views of processing chamber 1100 including gas delivery system 1130 adapted for ALD processes, as described in embodiments herein. Processing chamber 1100 contains a chamber body 1102 having sidewalls 1 104 and bottom 1106. Slit valve 1108 in processing chamber 1100 provides access for a robot (not shown) to deliver and retrieve substrate 1110, such as a 200 mm or 300 mm semiconductor wafer or a glass substrate, to and from processing chamber 1100. A detailed disclosure of processing chambers that may be used with the pretreatment processes and the deposition processes described herein may be found in commonly assigned U.S. Pat. Nos. 6,916,398 and 7,204,886, and U.S. Ser. No. 11/923,583, filed October 24, 2007, and published as U.S. Pub. No. 2008- 0102208, which are herein incorporated by reference in their entirety.
[0081] Substrate support 1112 supports substrate 1110 on substrate receiving surface 1111 in processing chamber 1100. Substrate support 1112 is mounted to lift motor 1 114 for raising and lowering substrate support 1112 and substrate 1110 disposed thereon. Lift plate 1116 connected to lift motor 1118 is mounted in processing chamber 1100 and raises and lowers lift pins 1120 movably disposed through substrate support 1112. Lift pins 1120 raise and lower substrate 1110 over the surface of substrate support 1112. Substrate support 1112 may include a vacuum chuck (not shown), an electrostatic chuck (not shown), or a clamp ring (not shown) for securing substrate 1110 to substrate support 1112 during a deposition process.
[0082] The temperature of substrate support 1112 may be adjusted to control the temperature of substrate 1110 disposed thereon. For example, substrate support 1112 may be heated using an embedded heating element, such as a resistive heater (not shown), or may be heated using radiant heat, such as heating lamps (not shown) disposed above substrate support 1112. Purge ring 1122 may be disposed on substrate support 1112 to define purge channel 1124 which provides a purge gas to a peripheral portion of substrate 1110 to prevent deposition thereon.
[0083] Gas delivery system 1130 is disposed at an upper portion of chamber body 1102 to provide a gas, such as a process gas and/or a purge gas, to processing chamber 1100. Figures 3A-3C depict gas delivery system 1130 configured to expose substrate 1110 to at least two gas sources or chemical precursors. In other examples, gas delivery system 1130 may be reconfigured to expose substrate 1110 to a single gas source or to three or more gas sources or chemical precursors. Vacuum system 1178 is in communication with pumping channel 1179 to evacuate any desired gases from processing chamber 1100 and to help maintain a desired pressure or a desired pressure range inside pumping zone 1166 of processing chamber 1100.
[0084] In one embodiment, gas delivery system 1130 contains chamber lid assembly 1132 having gas dispersing channel 1128 extending through a central portion of chamber lid assembly 1132. Gas dispersing channel 1128 extends perpendicular to substrate receiving surface 1 111 and also extends along central axis 1133 of gas dispersing channel 1128, through lid plate 1 170, and to lower surface 1160. Converging channel 1134a is a portion of gas dispersing channel 1128 that tapers towards central axis 1133 within upper portion 1137 of gas dispersing channel 1128. Diverging channel 1134b is a portion of gas dispersing channel 1128 that tapers away from central axis 1133 within lower portion 1135 of gas dispersing channel 1128. Throttle 1131 is a narrow passage separating converging channel 1134a and diverging channel 1134b. Gas dispersing channel 1128 further extends pass lower surface 1160 and into reaction zone 1164. Lower surface 1160 extends from diverging channel 1134 to choke 1162. Lower surface 1160 is sized and shaped to substantially cover substrate 1110 disposed on substrate receiving surface 1111 of substrate support 1112.
[0085] Processes gases, as circular gas flow 1174, are forced to make more revolutions around central axis 1133 of gas dispersing channel 1128 while passing through throttle 1131 , than in similarly configured processing chamber in the absence of throttle 1131. Circular gas flow 1174 may contain a flow pattern, such as a vortex pattern, a helix pattern, a spiral pattern, a twirl pattern, a twist pattern, a coil pattern, a whirlpool pattern, or derivatives thereof. Circular gas flow 1174 may extend at least about 1 revolution around central axis 1133 of gas dispersing channel 1128, preferably, at least about 1.5 revolutions, more preferably, at least about 2 revolutions, more preferably, at least about 3 revolutions, and more preferably, about 4 revolutions or more.
[0086] Gas dispersing channel 1128 has gas inlets 1136a, 1136b to provide gas flows from two similar pairs of valves 1142a/1152a, 1142b/1152b, which may be provided together and/or separately. In one configuration, valve 1 142a and valve 1142b are coupled to separate reactant gas sources but are preferably coupled to the same purge gas source. For example, valve 1142a is coupled to reactant gas source 1138 and valve 1 142b is coupled to reactant gas source 1139, and both valves 1 142a, 1142b are coupled to purge gas source 1140. Each valve 1142a, 1142b includes delivery line 1143a, 1 143b having valve seat assembly 1144a, 1144b and each valve 1 152a, 1152b includes purge line 1145a, 1145b having valve seat assembly 1146a, 1 146b. Delivery line 1143a, 1143b is in fluid communication with reactant gas source 1138, 1143 and is in fluid communication with gas inlet 1136a, 1136b of gas dispersing channel 1 128. Valve seat assembly 1144a, 1144b of the delivery line 1143a, 1143b controls the flow of the reactant gas from reactant gas source 1138, 1143 to gas dispersing channel 1128. Purge line 1 145a, 1145b is in fluid communication with purge gas source 1140 and intersects delivery line 1143a, 1143b downstream of valve seat assembly 1144a, 1144b of delivery line 1143a, 1143b. Valve seat assembly 1146a, 1146b of purge line 1145a, 1145b controls the flow of the purge gas from purge gas source 1140 to gas dispersing channel 1128. If a carrier gas is used to deliver reactant gases from reactant gas source 1138, 1143, the same gas may be used as the carrier gas and the purge gas (e.g., argon used as a carrier gas and a purge gas).
[0087] Each valve seat assembly 1144a, 1144b, 1146a, 1146b may contain a diaphragm (not shown) and a valve seat (not shown). The diaphragm may be biased open or closed and may be actuated closed or open respectively. The diaphragms may be pneumatically actuated or may be electrically actuated. Pneumatically actuated valves include pneumatically actuated valves available from Fujikin, Inc. and Veriflo Division, Parker Hannifin, Corp. Electrically actuated valves include electrically actuated valves available from Fujikin, Inc. For example, an ALD valve that may be used is the Fujikin Model No. FPR-UDDFAT-21 -6.35-PI-ASN or the Fujikin Model No. FPR-NHDT-21 -6.35-PA-AYT. Programmable logic controllers 1148a, 1148b may be coupled to valves 1 142a, 1142b to control actuation of the diaphragms of valve seat assemblies 1144a, 1144b, 1146a, 1146b of valves 1142a, 1142b. Pneumatically actuated valves may provide pulses of gases in time periods as low as about 0.020 seconds. Electrically actuated valves may provide pulses of gases in time periods as low as about 0.005 seconds. An electrically actuated valve typically requires the use of a driver coupled between the valve and the programmable logic controller.
[0088] Each valve 1142a, 1142b may be a zero dead volume valve to enable flushing of a reactant gas from delivery line 1143a, 1143b when valve seat assembly 1144a, 1144b is closed. For example, purge line 1145a, 1145b may be positioned adjacent valve seat assembly 1144a, 1144b of delivery line 1143a, 1143b. When valve seat assembly 1144a, 1144b is closed, purge line 1145a, 1145b may provide a purge gas to flush delivery line 1143a, 1143b. In one embodiment, purge line 1145a, 1145b is positioned slightly spaced from valve seat assembly 1144a, 1144b of delivery line 1 143a, 1143b so that a purge gas is not directly delivered into valve seat assembly 1144a, 1144b when open. A zero dead volume valve as used herein is defined as a valve which has negligible dead volume {e.g., not necessary zero dead volume).
[0089] Each valve pair 1142a/1152a, 1142b/1152b may be adapted to provide a combined gas flow and/or separate gas flows of the reactant gas and the purge gas. In reference to valve pair 1142a/1152a, one example of a combined gas flow of the reactant gas and the purge gas includes a continuous flow of a purge gas from purge gas source 1140 through purge line 1145a and pulses of a reactant gas from reactant gas source 1138 through delivery line 1143a. The continuous flow of the purge gas may be provided by leaving the diaphragm of valve seat assembly 1146a of purge line 1145a open. The pulses of the reactant gas from reactant gas source 1138 may be provided by opening and closing the diaphragm of valve seat assembly 1144a of delivery line 1143a. In reference to valve pair 1142a/1152a, one example of separate gas flows of the reactant gas and the purge gas includes pulses of a purge gas from purge gas source 1140 through purge line 1145a and pulses of a reactant gas from reactant gas source 1138 through delivery line 1143a. The pulses of the purge gas may be provided by opening and closing the diaphragm of valve seat assembly 1146a of purge line 1145a. The pulses of the reactant gas from reactant gas source 1138 may be provided by opening and closing the diaphragm of valve seat assembly 1144a of delivery line 1143a.
[0090] Delivery lines 1143a, 1143b of valves 1142a, 1142b may be coupled to gas inlets 1136a, 1136b through gas conduits 1150a, 1150b. Gas conduits 1150a, 1150b may be integrated or may be separate from valves 1 142a, 1142b. In one aspect, valves 1 142a, 1142b are coupled in close proximity to gas dispersing channel 1128 to reduce any unnecessary volume of delivery line 1143a, 1143b and gas conduits 1150a, 1150b between valves 1142a, 1142b and gas inlets 1136a, 1136b.
[0091] Figure 3C depicts each gas conduit 1150a and 1150b and gas inlet 1136a and 1136b positioned in a variety of angles in relationship to central axis 1133 of gas dispersing channel 1128. Each gas conduit 1150a, 1150b and gas inlet 1136a, 1136b are preferably positioned normal (in which +β, -β = 90°) to central axis 1133 or positioned at an angle +β or an angle -β (in which 0° < +β < 90° or 0° < -β < 90°) from center lines 1176a and 1176b of gas conduit 1150a, 1150b to central axis 1133. Therefore, gas conduit 1150a, 1150b may be positioned horizontally normal to central axis 1133 and, may be angled downwardly at an angle +β, or may be angled upwardly at an angle -β to provide a gas flow towards the walls of gas dispersing channel 1128 rather than directly downward towards substrate 1110 which helps reduce the likelihood of blowing off reactants adsorbed on the surface of substrate 1110. In addition, the diameter of gas conduits 1150a, 1150b may be increasing from delivery lines 1143a, 1143b of valves 1142a, 1142b to gas inlet 1136a, 1136b to help reduce the velocity of the gas flow prior to its entry into gas dispersing channel 1128. For example, gas conduits 1150a, 1150b may contain an inner diameter which is gradually increasing or may contain a plurality of connected conduits having increasing inner diameters.
[0092] Figure 3C depicts gas dispersing channel 1128 containing an inner diameter which decreases within converging channel 1134a from upper portion 1137, along central axis 1133, to throttle 1131. Also, gas dispersing channel 1128 contains an inner diameter which increases within diverging channel 1134b from throttle 1 131 , along central axis 1133, to lower portion 1135 adjacent lower surface 1 160 of chamber lid assembly 1132. In one example, processing chamber 1100 adapted to process 100 mm diameter substrates may have the following diameters. The diameter at upper portion 1137 of gas dispersing channel 1128 may be within a range from about 0.5 inches to about 2 inches, preferably, from about 0.75 inches to about 1.5 inches, and more preferably, from 0.8 inches to about 1.2 inches, for example, about 1 inch. The diameter at throttle 1131 of gas dispersing channel 1128 may be within a range from about 0.1 inches to about 1.5 inches, preferably, from about 0.3 inches to about 0.9 inches, and more preferably, from 0.5 inches to about 0.8 inches, for example, about 0.66 inches. The diameter at lower portion 1135 of gas dispersing channel 1128 may be within a range from about 0.5 inches to about 2 inches, preferably, from about 0.75 inches to about 1.5 inches, and more preferably, from 0.8 inches to about 1.2 inches, for example, about 1 inch. [0093] In general, the above dimension apply to gas dispersing channel 1128 adapted to provide a total gas flow rate within a range from about 500 seem to about 20 slm. In other specific embodiments, the dimension may be altered to accommodate a certain gas flow therethrough. In general, a larger gas flow will require a larger diameter of gas dispersing channel 1128.
[0094] Not wishing to be bound by theory, it is believed that the diameter of gas dispersing channel 1128, which is gradually decreasing from upper portion 1137 of gas dispersing channel 1128 to throttle 1131 and increasing from throttle 1131 to lower portion 1135 of gas dispersing channel 1128, allows less of an adiabatic expansion of a gas through gas dispersing channel 1128 which helps to control the temperature of the process gas contained in circular gas flow 1174. For instance, a sudden adiabatic expansion of a gas delivered through gas inlet 1136a, 1136b into gas dispersing channel 1128 may result in a drop in the temperature of the gas which may cause condensation of the gas and formation of droplets. On the other hand, gas dispersing channel 1128 that gradually tapers is believed to provide less of an adiabatic expansion of a gas. Therefore, more heat may be transferred to or from the gas, and, thus, the temperature of the gas may be more easily controlled by controlling the surrounding temperature of the gas (e.g., controlling the temperature of chamber lid assembly 1132). Gas dispersing channel 1128 may gradually taper and contain one or more tapered inner surfaces, such as a tapered straight surface, a concave surface, a convex surface, or combinations thereof or may contain sections of one or more tapered inner surfaces [e.g., a portion tapered and a portion non-tapered).
[0095] In one embodiment, gas inlets 1136a, 1136b are located adjacent upper portion 1137 of gas dispersing channel 1128. In other embodiments, one or more gas inlets 1136a, 1136b may be located along the length of gas dispersing channel 1128 between upper portion 1137 and lower portion 1135.
[0096] Each gas conduit 1150a, 1150b may be positioned at an angle α from the centerline of the gas conduit 1150a, 1150b and from a radius line of gas dispersing channel 1128, similarly as depicted in Figure 3C of each gas conduits 1150a and 1150b that may be positioned at an angle α from center lines 1176a and 1176b of gas conduits 1150a and 1150b and from radius line from the center of gas dispersing channel 1128. Entry of a gas through gas conduit 1150a, 1150b preferably positioned at an angle α (e.g., when α > 0°) causes the gas to flow in a circular direction as shown by circular gas flow 1174 (Figures 3B-3C). Providing gas at an angle α as opposed to directly straight-on to the walls of the expanding channel {e.g., when α = 0°) helps to provide a more laminar flow through gas dispersing channel 1128 rather than a turbulent flow. It is believed that a laminar flow through gas dispersing channel 1128 results in an improved purging of the inner surface of gas dispersing channel 1128 and other surfaces of chamber lid assembly 1132. In comparison, a turbulent flow may not uniformly flow across the inner surface of gas dispersing channel 1128 and other surfaces and may contain dead spots or stagnant spots in which there is no gas flow. In one aspect, gas conduits 1150a, 1150b and corresponding gas inlets 1136a, 1136b are spaced out from each other and direct a flow in the same circular direction {e.g., clockwise or counterclockwise).
[0097] Not wishing to be bound by theory, Figure 3C is a cross-sectional view of gas dispersing channel 1128 of chamber lid assembly 1132 showing simplified representations of gas flows therethrough. Although the exact flow pattern through the gas dispersing channel 1128 is not known, it is believed that circular gas flow 1174 (Figures 3B-3C) may travel through gas dispersing channel 1128 with a circular flow pattern, such as a vortex flow, a helix flow, a spiral flow, a swirl flow, a twirl flow, a twist flow, a coil flow, a corkscrew flow, a curl flow, a whirlpool flow, derivatives thereof, or combinations thereof. As shown in Figure 3C, the circular flow may be provided in a "processing region" as opposed to in a compartment separated from substrate 1110. In one aspect, circular gas flow 1174 may help to establish a more efficient purge of gas dispersing channel 1128 due to the sweeping action of the vortex flow pattern across the inner surface of gas dispersing channel 1128.
[0098] In one embodiment, Figure 3C depicts distance 1175 between gas inlets
1136a, 1136b and substrate 1110 long enough that circular gas flow 1174 dissipates to a downwardly flow as a spiral flow across the surface of substrate 1110 may not be desirable. It is believed that circular gas flow 1174 proceeds in a laminar manner efficiently purging the surface of chamber lid assembly 1132 and substrate 1110. In one specific embodiment, the length of distance 1175 between upper portion 1137 of gas dispersing channel 1128 and substrate 1110 may be within a range from about 3 inches to about 8 inches, preferably, from about 3.5 inches to about 7 inches, and more preferably, from about 4 inches to about 6 inches, such as about 5 inches.
[0099] Distance 1177a as the length of converging channel 1134a along central axis 1133 within lid cap 1172 between upper portion 1137 of gas dispersing channel 1128 and throttle 1131 and distance 1177b as the length of diverging channel 1134b along central axis 1133 within lid cap 1172 between throttle 1131 and lower surface 1173 of lid cap 1172. In one example, distance 1177a may have a length within a range from about 1 inch to about 4 inches, preferably, from about 1.25 inches to about 3 inches, and more preferably, from about 1.5 inches to about 2.5 inches, for example, about 2 inches and distance 1177b may have a length within a range from about 0.5 inches to about 4 inches, preferably, from about 1 inch to about 3 inches, and more preferably, from about 1.25 inches to about 1.75 inches, for example, about 1.5 inches.
[0100] Figure 3A depicts that at least a portion of lower surface 1160 of chamber lid assembly 1132 may be tapered from gas dispersing channel 1128 to a peripheral portion of chamber lid assembly 1132 to help provide an improved velocity profile of a gas flow from gas dispersing channel 1128 across the surface of substrate 1110 (e.g., from the center of the substrate to the edge of the substrate). Lower surface 1160 may contain one or more tapered surfaces, such as a straight surface, a concave surface, a convex surface, or combinations thereof. In one embodiment, lower surface 1160 is tapered in the shape of a funnel.
[0101] In one example, lower surface 1160 is downwardly sloping to help reduce the variation in the velocity of the process gases traveling between lower surface 1160 of chamber lid assembly 1132 and substrate 1110 while assisting to provide uniform exposure of the surface of substrate 1 110 to a reactant gas. In one embodiment, the ratio of the maximum area of the flow section over the minimum area of the flow section between a downwardly sloping lower surface 1160 of chamber lid assembly 1132 and the surface of substrate 1110 is less than about 2, preferably, less than about 1.5, more preferably, less than about 1.3, and more preferably, about 1.
[0102] Not wishing to be bound by theory, it is believed that a gas flow traveling at a more uniform velocity across the surface of substrate 1110 helps provide a more uniform deposition of the gas on substrate 1110. It is believed that the velocity of the gas is directly proportional to the concentration of the gas which is in turn directly proportional to the deposition rate of the gas on substrate 1110 surface. Thus, a higher velocity of a gas at a first area of the surface of substrate 1110 versus a second area of the surface of substrate 1110 is believed to provide a higher deposition of the gas on the first area. It is believed that chamber lid assembly 1132 having lower surface 1160, downwardly sloping, provides for more uniform deposition of the gas across the surface of substrate 11 10 because lower surface 1160 provides a more uniform velocity and, thus, a more uniform concentration of the gas across the surface of substrate 1110.
[0103] Figure 3A depicts choke 1 162 located at a peripheral portion of chamber lid assembly 1132 adjacent the periphery of substrate 1 110. Choke 1162, when chamber lid assembly 1132 is assembled to form a processing zone around substrate 1110, contains any member restricting the flow of gas therethrough at an area adjacent the periphery of substrate 1110.
[0104] In one specific embodiment, the spacing between choke 1162 and substrate support 11 12 may be within a range from about 0.04 inches to about 2.0 inches, and preferably, from about 0.04 inches to about 0.2 inches. The spacing may vary depending on the gases being delivered and the process conditions during deposition. Choke 1162 helps provide a more uniform pressure distribution within the volume or reaction zone 1164 defined between chamber lid assembly 1132 and substrate 1110 by isolating reaction zone 1164 from the non-uniform pressure distribution of pumping zone 1166 (Figure 3A).
[0105] Referring to Figure 3A, in one aspect, since reaction zone 1164 is isolated from pumping zone 1166, a reactant gas or purge gas needs only adequately fill reaction zone 1164 to ensure sufficient exposure of substrate 1110 to the reactant gas or purge gas. In conventional CVD, prior art chambers are required to provide a combined flow of reactants simultaneously and uniformly to the entire surface of the substrate in order to ensure that the co-reaction of the reactants occurs uniformly across the surface of substrate 1110. In ALD, processing chamber 1100 sequentially introduces reactants to the surface of substrate 1110 to provide absorption of alternating thin layers of the reactants onto the surface of substrate 1110. As a consequence, ALD does not require a flow of a reactant which reaches the surface of substrate 1110 simultaneously. Instead, a flow of a reactant needs to be provided in an amount which is sufficient to adsorb a thin layer of the reactant on the surface of substrate 1110.
[0106] Since reaction zone 1164 may contain a smaller volume when compared to the inner volume of a conventional CVD chamber, a smaller amount of gas is required to fill reaction zone 1164 for a particular process in an ALD sequence, as described in one embodiment herein. For example, the volume of reaction zone 1164 may be about 1 ,000 cm3 or less, preferably, about 500 cm3 or less, and more preferably, about 200 cm3 or less for a chamber adapted to process 200 mm diameter substrates. In another example, the volume of reaction zone 1164 may be about 3,000 cm3 or less, preferably, about 1 ,500 cm3 or less, and more preferably, about 600 cm3 or less for a chamber adapted to process 100 mm diameter substrates. In another embodiment, substrate support 1112 may be raised or lowered to adjust the volume of reaction zone 1164 for deposition. Because of the smaller volume of reaction zone 1164, less gas, whether a deposition gas or a purge gas, is necessary to be flowed into processing chamber 1100. Therefore, the throughput of processing chamber 1100 is greater and the waste may be minimized due to the smaller amount of gas used reducing the cost of operation. [0107] Chamber lid assembly 1132 contains lid cap 1172 and lid plate 1170 in which lid cap 1172 and lid plate 1170 form gas dispersing channel 1128, as depicted in Figures 3A-3C. An additional plate may be optionally disposed between lid plate 1170 and lid cap 1172. In other embodiments, gas dispersing channel 1128 may be made integrally from a single piece of material.
[0108] Chamber lid assembly 1132 may include cooling elements and/or heating elements depending on the particular gas being delivered therethrough. Controlling the temperature of chamber lid assembly 1132 may be used to prevent gas decomposition, deposition, or condensation on chamber lid assembly 1132. For example, water channels (such as coolant channel 1090 In Figure 10A) may be formed in chamber lid assembly 1132 to cool chamber Hd assembly 1132. In another example, heating elements (not shown) may be embedded or may surround components of chamber lid assembly 1 132 to heat chamber lid assembly 1132. In one embodiment, components of chamber lid assembly 1132 may be individually heated or cooled. For example, referring to Figure 3A, chamber lid assembly 1132 may contain lid plate 1170 and lid cap 1172 in which lid plate 1170 and lid cap 1172 form gas dispersing channel 1128. Lid cap 1172 may be maintained at one temperature range and lid plate 1 170 may be maintained at another temperature range. For example, lid cap 1172 may be heated by being wrapped in heater tape or by using another heating device to prevent condensation of reactant gases and lid plate 1170 may be maintained at ambient temperature. In another example, lid cap 1172 may be heated and lid plate 1170 may be cooled with water channels formed therethrough to prevent thermal decomposition of reactant gases on lid plate 1170.
[0109] The components and parts of chamber lid assembly 1132 may contain materials such as stainless steel, aluminum, nickel-plated aluminum, nickel, alloys thereof, or other suitable materials. In one embodiment, lid cap 1172 and lid plate 1170 may be independently fabricated, machined, forged, or otherwise made from a metal, such as aluminum, an aluminum alloy, steel, stainless steel, alloys thereof, or combinations thereof. [0110] In one embodiment, the inner surfaces of gas dispersing channel 1128 (including both inner surfaces of lid plate 1170 and lid cap 1172) and lower surface 1160 of chamber lid assembly 1132 may contain a mirror polished surface to help produce a laminar flow of a gas along gas dispersing channel 1128 and lower surface 1160 of chamber lid assembly 1132. In another embodiment, the inner surface of gas conduits 1150a, 1150b may be electropolished to help produce a laminar flow of a gas therethrough.
[0111] In an alternative embodiment, the inner surfaces of gas dispersing channel 1128 (including both inner surfaces of lid plate 1170 and lid cap 1172) and lower surface 1160 of chamber lid assembly 1132 may contain a roughened surface or machined surfaces to produce more surface area across the surfaces. Roughened surfaces provide better adhesion of undesired accumulated materials on the inner surfaces of lid plate 1170 and lid cap 1172 and lower surface 1160. The undesired films are usually formed as a consequence of conducting a vapor deposition process and may peel or flake from lower surface 1160 and the inner surfaces of gas dispersing channel 1128 to contaminate substrate 1110. In one example, the mean roughness (Ra) of lower surface 1160 and/or the inner surfaces of gas dispersing channel 1128 may be at least about 10 μin, such as within a range from about 10 μin (about 0.254 μm) to about 200 μin (about 5.08 μm), preferably, from about 20 μin (about 0.508 μm) to about 100 μin (about 2.54 μm), and more preferably, from about 30 μin (about 0.762 μm) to about 80 μin (about 2.032 μm). In another example, the mean roughness of lower surface 1160 and/or the inner surfaces of gas dispersing channel 1128 may be at least about 100 μin (about 2.54 μm), preferably, within a range from about 200 μin (about 5.08 μm) to about 500 μin (about 12.7 μm).
[0112] Figure 3A depicts control unit 1180, such as a programmed personal computer, work station computer, or the like, coupled to processing chamber 1100 to control processing conditions. For example, control unit 1180 may be configured to control flow of various process gases and purge gases from gas sources 1 138, 1143, and 1 140 through valves 1142a and 1142b during different stages of a substrate process sequence. Illustratively, control unit 1180 contains central processing unit (CPU) 1182, support circuitry 1184, and memory 1186 containing associated control software 1183.
[0113] Control unit 1180 may be one of any form of general purpose computer processor that can be used in an industrial setting for controlling various chambers and sub-processors. CPU 1182 may use any suitable memory 1186, such as random access memory, read only memory, floppy disk drive, hard disk, or any other form of digital storage, local or remote. Various support circuits may be coupled to CPU 1182 for supporting processing chamber 1100. Control unit 1180 may be coupled to another controller that is located adjacent individual chamber components, such as programmable logic controllers 1148a, 1148b of valves 1142a, 1142b. Bi-directional communications between the control unit 1180 and various other components of processing chamber 1100 are handled through numerous signal cables collectively referred to as signal buses 1188, some of which are illustrated in Figure 3A. In addition to control of process gases and purge gases from gas sources 1138, 1143, 1140 and from programmable logic controllers 1148a, 1148b of valves 1 142a, 1142b, control unit 1180 may be configured to be responsible for automated control of other activities used in wafer processing-such as wafer transport, temperature control, chamber evacuation, among other activities, some of which are described elsewhere herein.
[0114] Referring to Figures 3A-3C, in operation, substrate 1110 is delivered to processing chamber 1100 through slit valve 1108 by a robot (not shown). Substrate 1110 is positioned on substrate support 1112 through cooperation of lift pins 1120 and the robot. Substrate support 1112 raises substrate 1110 into close opposition to lower surface 1160 of chamber lid assembly 1132. A first gas flow may be injected into gas dispersing channel 1128 of processing chamber 1100 by valve 1142a together or separately with a second gas flow injected into processing chamber 1100 by valve 1142b. The first gas flow may contain a continuous flow of a purge gas from purge gas source 1140 and pulses of a reactant gas from reactant gas source 1138 or may contain pulses of a reactant gas from reactant gas source 1138 and pulses of a purge gas from purge gas source 1140. The second gas flow may contain a continuous flow of a purge gas from purge gas source 1140 and pulses of a reactant gas from reactant gas source 1139 or may contain pulses of a reactant gas from reactant gas source 1139 and pulses of a purge gas from purge gas source 1140. Circular gas flow 1174 travels through gas dispersing channel 1128 as a vortex flow which provides a sweeping action across the inner surface of gas dispersing channel 1128. Circular gas flow 1174 dissipates to a downwardly flow towards the surface of substrate 1110. The velocity of the gas flow reduces as it travels through gas dispersing channel 1128. The gas flow then travels across the surface of substrate 1110 and across lower surface 1160 of chamber lid assembly 1 132. Lower surface 1160 of chamber lid assembly 1132, which is downwardly sloping, helps reduce the variation of the velocity of the gas flow across the surface of substrate 1110. The gas flow then travels by choke 1162 and into pumping zone 1166 of processing chamber 1100. Excess gas, by-products, etc. flow into the pumping channel 1179 and are then exhausted from processing chamber 1100 by vacuum system 1178. In one aspect, the gas flow proceeds through gas dispersing channel 1128 and between the surface of substrate 1110 and lower surface 1160 of chamber lid assembly 1 132 in a laminar manner which aids in uniform exposure of a reactant gas to the surface of substrate 1110 and efficient purging of inner surfaces of chamber lid assembly 1 132.
[0115] Processing chamber 1100, as illustrated in Figures 3A-3C, has been described herein as having a combination of features. In one aspect, processing chamber 1100 provides reaction zone 1 164 containing a small volume in compared to a conventional CVD chamber. Processing chamber 1100 requires a smaller amount of a gas, such as a reactant gas or a purge gas, to fill reaction zone 1164 for a particular process. In another aspect, processing chamber 1100 provides chamber lid assembly 1132 having a downwardly sloping or funnel shaped lower surface 1160 to reduce the variation in the velocity profile of a gas flow traveling between the bottom surface of chamber lid assembly 1132 and substrate 1110. In still another aspect, processing chamber 1100 provides gas dispersing channel 1128 to reduce the velocity of a gas flow introduced therethrough. In still another aspect, processing chamber 1100 provides gas conduits at an angle α from the center of gas dispersing channel 1128. Processing chamber 1100 provides other features as described elsewhere herein. Other embodiments of a chamber adapted for ALD incorporate one or more of these features.
[0116] Embodiments of the invention provide chamber pretreatment and deposition processes that may be used to deposit materials during a vapor deposition process, such as an ALD process. The processes may be used within a variety of vapor deposition processing chambers and gas delivery systems which contain an expanding channel lid assembly, a converge-diverge lid assembly, a multiple injection lid assembly, or an extended cap lid assembly. Other embodiments provide methods for depositing materials using these gas delivery systems during ALD processes.
[0117] Processing chamber 1100, as depicted in Figures 3A-3C, may be used advantageously to implement ALD or CVD processes as described by embodiments herein. For clarity reasons, deposition of a layer by ALD will be described in more detail in reference to the ALD of a tantalum nitride layer utilizing processing chamber 1100. In one aspect, ALD of a tantalum nitride barrier layer includes sequentially providing pulses of a tantalum precursor and pulses of a nitrogen precursor to processing chamber 1100 in which each pulse is separated by a flow of a purge gas and/or chamber evacuation to remove any excess reactants to prevent gas phase reactions of the tantalum precursor with the nitrogen precursor and to remove any reaction by-products. Sequentially providing a tantalum precursor and a nitrogen precursor may result in the alternating absorption of monolayers of a tantalum precursor and of monolayers of a nitrogen precursor to form a monolayer of tantalum nitride on a substrate structure for each cycle of pulses. The term substrate structure is used to refer to the substrate as well as other material layers formed thereover, such as a dielectric layer.
[0118] It is believed that the adsorption processes used to adsorb the monolayer of the reactants, such as the tantalum precursor and the nitrogen precursor, are self- limiting in that only one monolayer may be adsorbed onto the surface of the substrate structure during a given pulse because the surface of the substrate structure has a finite number of sites for adsorbing the reactants. Once the finite number of sites is occupied by the reactants, such as the tantalum precursor or the nitrogen precursor, further absorption of the reactants will be blocked. The cycle may be repeated to a desired thickness of the tantalum nitride layer.
[0119] Embodiments of the invention provide a pretreatment process for exposing the inner surfaces of processing chamber 1100 to a hydrogenated ligand compound within a treatment gas. In one embodiment, the treatment gas may be delivered into processing chamber 1100 from any one of gas sources 1138, 1139, and/or 140. Alternatively, in another embodiment, the treatment gas may be delivered into processing chamber 1100 from another gas source (not shown). In another embodiment, treatment gas containing the hydrogenated ligand compound may enter processing chamber 1100 through an inlet on lid cap 1172 (not shown). Upon entering the processing chamber 1100, the treatment gas may travel down gas dispersing channel 1128, from converging channel 1134a, through diverging channel 1134b, along lower surface 1160, and into reaction zone 1164. The inner surfaces of processing chamber 1100, including the surfaces of converging channel 1134a, diverging channel 1134b, lower surface 1160, and reaction zone 1164, may be coated with the hydrogenated ligand compound or other reagent from the treatment gas.
[0120] In another embodiment, the treatment gas containing the hydrogenated ligand compound or other reagent, flows in revolutions around central axis 1133 of gas dispersing channel 1 128, as circular gas flow 1174, while coating the inner surfaces of processing chamber 1100, as depicted in Figure 3C. Circular gas flow 1174 may contain a flow pattern, such as a vortex pattern, a helix pattern, a spiral pattern, a twirl pattern, a twist pattern, a coil pattern, a whirlpool pattern, or derivatives thereof. Circular gas flow 1174 may extend at least about 1 revolution around central axis 1 133 of gas dispersing channel 1128, preferably, at least about 1.5 revolutions, more preferably, at least about 2 revolutions, more preferably, at least about 3 revolutions, and more preferably, about 4 revolutions or more.
[0121] Pulses of a tantalum precursor, such as PDMAT, may be introduced by gas source 1 138 through valve 1 142a. The tantalum precursor may be provided with the aid of a carrier gas, which includes, but is not limited to, helium, argon, nitrogen (N2), hydrogen (H2), or mixtures thereof. Pulses of a nitrogen precursor, such as ammonia, may be introduced by gas source 1139 through valve 1142a. A carrier gas may also be used to help deliver the nitrogen precursor. A purge gas, such as argon, may be introduced by gas source 1140 through valve 1142a and/or through valve 1142b. In one aspect, the flow of purge gas may be continuously provided by gas source 1 140 through valves 1142a, 1142b to act as a purge gas between the pulses of the tantalum precursor and of the nitrogen precursor and to act as a carrier gas during the pulses of the tantalum precursor and the nitrogen precursor. In one aspect, delivering a purge gas through two gas conduits 1150a, 1150b provides a more complete purge of reaction zone 1164 rather than a purge gas provided through one of gas conduit 1150a or 1150b. In one aspect, a reactant gas may be delivered through one of gas conduits 1150a or 1150b since uniformity of flow of a reactant gas, such as a tantalum precursor or a nitrogen precursor, is not as critical as uniformity of the purge gas due to the self-limiting absorption process of the reactants on the surface of substrate structures. In other embodiments, a purge gas may be provided in pulses. In other embodiments, a purge gas may be provided in more or less than two gas flows. In other embodiments, a tantalum precursor gas may be provided in more than a single gas flow (e.g., two or more gas flows). In other embodiments, a nitrogen precursor gas may be provided in more than a single gas flow (e.g., two or more gas flows).
[0122] The tantalum nitride layer formation is described as starting with the absorption of a monolayer of a tantalum precursor on the substrate followed by a monolayer of a nitrogen precursor. Alternatively, the tantalum nitride layer formation may start with the absorption of a monolayer of a nitrogen precursor on the substrate followed by a monolayer of the tantalum precursor. Furthermore, in other embodiments, a pump evacuation alone between pulses of reactant gases may be used to prevent mixing of the reactant gases.
[0123] The time duration for each pulse of the tantalum precursor, the time duration for each pulse of the nitrogen precursor, and the duration of the purge gas flow between pulses of the reactants are variable and depend on the volume capacity of a deposition chamber employed as well as a vacuum system coupled thereto. For example, (1) a lower chamber pressure of a gas will require a longer pulse time; (2) a lower gas flow rate will require a longer time for chamber pressure to rise and stabilize requiring a longer pulse time; and (3) a large-volume chamber will take longer to fill, longer for chamber pressure to stabilize thus requiring a longer pulse time. Similarly, time between each pulse is also variable and depends on volume capacity of the processing chamber as well as the vacuum system coupled thereto. In general, the time duration of a pulse of the tantalum precursor or the nitrogen precursor should be long enough for absorption of a monolayer of the compound. In one aspect, a pulse of a tantalum precursor may still be in the chamber when a pulse of a nitrogen precursor enters. In general, the duration of the purge gas and/or pump evacuation should be long enough to prevent the pulses of the tantalum precursor and the nitrogen precursor from mixing together in the reaction zone.
[0124] Generally, a pulse time of about 1.0 second or less for a tantalum precursor and a pulse time of about 1.0 second or less for a nitrogen precursor are typically sufficient to adsorb alternating monolayers on a substrate structure. A time of about 1.0 second or less between pulses of the tantalum precursor and the nitrogen precursor is typically sufficient for the purge gas, whether a continuous purge gas or a pulse of a purge gas, to prevent the pulses of the tantalum precursor and the nitrogen precursor from mixing together in the reaction zone. Of course, a longer pulse time of the reactants may be used to ensure absorption of the tantalum precursor and the nitrogen precursor and a longer time between pulses of the reactants may be used to ensure removal of the reaction by-products.
[0125] In one example, a processing chamber, a substrate, or a substrate support may be maintained approximately below a thermal decomposition temperature of a selected tantalum precursor during an ALD process. An exemplary heater temperature range to be used with tantalum precursors identified herein is approximately between about 200C and about 5000C at a chamber pressure less than about 100 Torr, preferably less than 50 Torr. When the tantalum precursor is
PDMAT1 the heater temperature is preferably within a range from about 1500C to about 3500C, more preferably, from about 2500C and 3000C, and the internal pressure of the processing chamber may be within a range from about 5 Torr to about 20 Torr. In other embodiments, it should be understood that other temperatures and pressures may be used. For example, a temperature above a thermal decomposition temperature may be used. However, the temperature should be selected so that more than 50 percent of the deposition activity is by absorption processes. In another example, a temperature above a thermal decomposition temperature may be used in which the amount of decomposition during each precursor deposition is limited so that the growth mode will be similar to an ALD growth mode.
[0126] In one example, processing chamber 1100 may be exposed to a pretreatment process and subsequently, to an ALD process. The process may provide pulses of the tantalum precursor gas (e.g., PDMAT in argon) from gas source 1138 at a flow rate within a range from about 100 seem to about 1 ,000 seem, preferably, from about 300 seem to about 700 seem, through valve 1142a having a pulse time of about 1 seconds or less. The process may further provide pulses of the nitrogen precursor gas (e.g., ammonia) may be provided from gas source 1139 at a flow rate within a range from about 20 seem and about 1 ,000 seem, preferably, from about 100 seem to about 300 seem, through valve 1 142b having a pulse time of about 1 second or less. An argon purge gas may have a flow rate within a range from about 1 slm to about 12 slm seem, preferably, from about 2 slm to about 8 slm, and may be continuously provided from gas source 1140 through valves 1142a, 1142b, as well as through other inlets on processing chamber 1100. The time between pulses of the tantalum precursor and the nitrogen precursor may be about 0.5 seconds or less.
[0127] In one embodiment, a tantalum nitride layer may be deposited to a sidewall of a via or a similar aperture with a thickness of about 50 A or less, preferably, about 20 A or less, and more preferably, about 10 A or less. A tantalum nitride layer with a thickness of about 10 A or less is believed to be a sufficient thickness in the application as a barrier layer to prevent copper diffusion. In other embodiments, the tantalum nitride layer may have a thickness greater than 50 A. In one aspect, a thin barrier layer containing tantalum nitride deposited by the processes described herein may be used in filling submicron (e.g., less than 0.15 μm) and smaller features having high aspect ratios (e.g., greater than 5 to 1).
[0128] The treatment of the interior surfaces of the processing chamber during the pretreatment process helps to increase the uniformity (or decrease the non- uniformity) across the substrate surface of the tantalum nitride layer or other deposited material - as opposed to not conducting the pretreatment process. The non-uniformity of the deposited material across the substrate surface may be about 12% or less, preferably, about 10% or less, and more preferably, about 8% or less, for example, about 6% or less.
[0129] "Atomic layer deposition" (ALD), as used herein, refers to the sequential introduction of two or more reactive compounds to deposit a layer of material on a substrate surface. The two, three, or more reactive compounds may alternatively be introduced into a reaction zone or process region of a processing chamber. The reactive compounds may be in a state of gas, plasma, vapor, fluid or other state of matter useful for a vapor deposition process. Usually, each reactive compound is separated by a time delay to allow each compound to adhere and/or react on the substrate surface. In one aspect, a first precursor or compound A is pulsed into the reaction zone followed by a first time delay. Next, a second precursor or compound B is pulsed into the reaction zone followed by a second delay. Compound A and compound B react to form a deposited material. During each time delay a purge gas is introduced into the processing chamber to purge the reaction zone or otherwise remove any residual reactive compound or by-products from the reaction zone. Alternatively, the purge gas may flow continuously throughout the deposition process so that only the purge gas flows during the time delay between pulses of reactive compounds. The reactive compounds are alternatively pulsed until a desired film thickness of the deposited material is formed on the substrate surface. In either scenario, the ALD process of pulsing compound A, purge gas, pulsing compound B and purge gas is a cycle. A cycle can start with either compound A or compound B and continue the respective order of the cycle until achieving a film with the desired thickness. In an alternative embodiment, a first precursor containing compound A, a second precursor containing compound B and a third precursor containing compound C are each separately pulsed into the processing chamber. Alternatively, a pulse of a first precursor may overlap in time with a pulse of a second precursor while a pulse of a third precursor does not overlap in time with either pulse of the first and second precursors. "Process gas" as used herein refers to a single gas, multiple gases, a gas containing a plasma, combinations of gas(es) and/or plasma(s). A process gas may contain at least one reactive compound for a vapor deposition process. The reactive compounds may be in a state of gas, plasma, vapor, fluid, or other state of matter useful for a vapor deposition process. Also, a process gas may contain a purge gas or a carrier gas and not contain a reactive compound.
[0130] "Substrate" or "substrate surface," as used herein, refers to any substrate or material surface formed on a substrate upon which film processing is performed. For example, a substrate surface on which processing can be performed include materials such as silicon, silicon oxide, strained silicon, silicon on insulator (SOI), carbon doped silicon oxides, silicon nitride, doped silicon, germanium, gallium arsenide, glass, sapphire, quartz, and any other materials such as metals, metal nitrides, metal alloys, and other conductive materials, depending on the application. Barrier layers, metals or metal nitrides on a substrate surface may include titanium, titanium nitride, titanium suicide nitride, tungsten, tungsten nitride, tungsten suicide nitride, tantalum, tantalum nitride, or tantalum suicide nitride. Substrates may have various dimensions, such as 200 mm or 300 mm diameter wafers, as well as, rectangular or square panes. Substrates include semiconductor substrates, display substrates {e.g., LCD), solar panel substrates, and other types of substrates. Unless otherwise noted, embodiments and examples described herein are preferably conducted on substrates with a 200 mm diameter or a 300 mm diameter, more preferably, a 300 mm diameter. Substrates on which embodiments of the invention may be useful include, but are not limited to semiconductor wafers, such as crystalline silicon {e.g., Si<100> or Si<111 >), silicon oxide, glass, quartz, strained silicon, silicon germanium, doped or undoped polysilicon, doped or undoped silicon wafers and patterned or non-patterned wafers. Substrates may be exposed to a pretreatment process to polish, etch, reduce, oxidize, hydroxylate, anneal, and/or heat the substrate surface.
[0131] Although the invention has been described in terms of specific embodiments, one skilled in the art will recognize that various changes to the reaction conditions, e.g., temperature, pressure, film thickness and the like can be substituted and are meant to be included herein and sequence of gases being deposited. For example, sequential deposition process may have different initial sequence. The initial sequence may include exposing the substrate to the nitrogen- containing gas before the tantalum-containing gas is introduced into the processing chamber. In addition, the tantalum nitride layer may be employed for other features of circuits in addition to functioning as a diffusion barrier for contacts. Therefore, the scope of the invention should not be based upon the foregoing description. Rather, the scope of the invention should be determined based upon the claims recited herein, including the full scope of equivalents thereof.
[0132] While the foregoing is directed to embodiments of the invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims

Claims:
1. A method for treating a chamber and depositing a material on a substrate surface, comprising: exposing inner surfaces of a processing chamber and a substrate within the processing chamber to a treatment gas comprising an alkylamine compound during a pretreatment process; and exposing the substrate sequentially to an alkylamino metal precursor gas and at least a second precursor gas while depositing a material on the substrate during an atomic layer deposition process.
2. The method of claim 1 , wherein the alkylamine compound has the chemical formula of H2NR or HNR'R", where each R, R', and R" is independently selected from the group consisting of methyl, ethyl, propyl, butyl, amyl, phenyl, aryl, isomers thereof, derivatives thereof, and combinations thereof.
3. The method of claim 1 , wherein the inner surfaces of the processing chamber include lid assembly inner surfaces and chamber body inner surfaces which are heated to a temperature within a range from about 300C to about 1000C and exposed to the treatment gas for a time period within a range from about 5 seconds to about 60 seconds during the pretreatment process.
4. A method for treating a chamber and depositing a material on a substrate surface, comprising: exposing inner surfaces of a processing chamber and a substrate disposed within the processing chamber to a treatment gas comprising a hydrogenated ligand compound during a pretreatment process, wherein the hydrogenated ligand compound has the chemical formula of HL, where L is a ligand selected from the group consisting of alkylamino, alkylimino, alkoxy, alkyl, alkene, alkyne, cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, and derivatives thereof; and exposing the substrate to a first precursor gas to deposit a material on the substrate during a vapor deposition process, wherein the first precursor gas comprises a first precursor having the chemical formula of MLJx, where x is 1 , 2, 3, 4, 5, 6, or greater, M is an element selected from the group consisting of Ti, Zr, Hf, Nb, Ta, Mo, W, Ru, Co, Ni, Pd, Pt, Cu, Al, Ga, In, Si, Ge, Sn, P, As, and Sb, and each L' is independently a ligand selected from the group consisting of alkylamino, alkylimino, alkoxy, alkyl, alkene, alkyne, cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, hydrogen, halogen, derivatives thereof, and combinations thereof.
5. The method of claim 4, wherein the hydrogenated ligand compound is an alkylamine compound having the chemical formula of H2NR or HNR1R", where each R, R', and R" is independently selected from the group consisting of methyl, ethyl, propyl, butyl, amyl, phenyl, aryl, isomers thereof, derivatives thereof, and combinations thereof.
6. The method of claim 5, wherein the alkylamine compound is selected from the group consisting of methylamine, dimethylamine, ethylamine, diethylamine, methylethylamine, propylamine, dipropylamine, butylamine, dibutylamine, isomers thereof, derivatives thereof, and combinations thereof.
7. The method of claim 4, wherein the first precursor comprises an alkylamino ligand selected from the group consisting of N(CH3)2, N(C2Hs)2, N(C3Hy)2, N(C4Hg)2, N(CH3)(C2H5), isomers thereof, derivatives thereof, and combinations thereof.
8. The method of claim 7, wherein the element M is Ta and x is 4 or 5.
9. The method of claim 8, wherein the first precursor is pentakis(dimethylamino) tantalum and the alkylamine compound gas comprises methylamine or dimethylamine.
10. The method of claim 7, wherein the element M is Si, Ti, Zr, or Hf and x is 4.
11. The method of claim 4, wherein the hydrogenated ligand compound is an alcohol compound having the chemical formula of ROH, where R is selected from the group consisting of methyl, ethyl, propyl, butyl, amyl, isomers thereof, derivatives thereof, and combinations thereof.
12. The method of claim 11 , wherein the alcohol compound is selected from the group consisting of methanol, ethanol, propanol, butanol, pentanol, isomers thereof, derivatives thereof, and combinations thereof.
13. The method of claim 11 , wherein the first precursor comprises an alkoxy ligand selected from the group consisting of OCH3, OC2H5, OC3H7, OC4H9, isomers thereof, derivatives thereof, and combinations thereof.
14. The method of claim 4, wherein the ligand L of the hydrogenated ligand compound is selected from the group consisting of cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, isomers thereof, derivatives thereof, and combinations thereof, and the ligand L' of the first precursor is selected from the group consisting of cyclopentadienyl, alkylcyclopentadienyl, pentadienyl, pyrrolyl, isomers thereof, derivatives thereof, and combinations thereof.
15. A method for treating a chamber and depositing a material on a substrate surface, comprising: exposing inner surfaces of a processing chamber and a substrate disposed within the processing chamber to a carrier gas having a continuous flow; introducing a treatment gas comprising dimethylamine to the carrier gas to expose the inner surfaces of the processing chamber and the substrate to the treatment gas during a pretreatment process; and exposing the substrate sequentially to a tantalum precursor gas and a nitrogen precursor gas while depositing a tantalum nitride material on the substrate during an atomic layer deposition process, wherein the tantalum precursor gas comprises pentakis(dimethylamino) tantalum, and the atomic layer deposition process comprises sequentially pulsing the tantalum precursor gas and the nitrogen precursor gas into the carrier gas with the continuous flow to deposit the tantalum nitride material.
PCT/US2009/054321 2008-09-08 2009-08-19 In-situ chamber treatment and deposition process WO2010027669A2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CN200980134897.4A CN102144281B (en) 2008-09-08 2009-08-19 In-situ chamber treatment and deposition process
KR1020167000331A KR101772635B1 (en) 2008-09-08 2009-08-19 In-situ chamber treatment and deposition process
JP2011526095A JP2012502179A (en) 2008-09-08 2009-08-19 In situ chamber processing and deposition processes

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/206,705 2008-09-08
US12/206,705 US8491967B2 (en) 2008-09-08 2008-09-08 In-situ chamber treatment and deposition process

Publications (2)

Publication Number Publication Date
WO2010027669A2 true WO2010027669A2 (en) 2010-03-11
WO2010027669A3 WO2010027669A3 (en) 2010-05-14

Family

ID=41797756

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2009/054321 WO2010027669A2 (en) 2008-09-08 2009-08-19 In-situ chamber treatment and deposition process

Country Status (6)

Country Link
US (1) US8491967B2 (en)
JP (3) JP2012502179A (en)
KR (2) KR101585166B1 (en)
CN (1) CN102144281B (en)
TW (1) TWI449803B (en)
WO (1) WO2010027669A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI724974B (en) * 2019-09-24 2021-04-11 芬蘭商皮考遜公司 Fluid distributing device for a thin-film deposition apparatus, related apparatus and methods

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US20110052797A1 (en) * 2009-08-26 2011-03-03 International Business Machines Corporation Low Temperature Plasma-Free Method for the Nitridation of Copper
TWI536451B (en) 2010-04-26 2016-06-01 應用材料股份有限公司 Nmos metal gate materials, manufacturing methods, and equipment using cvd and ald processes with metal based precursors
US10707082B2 (en) * 2011-07-06 2020-07-07 Asm International N.V. Methods for depositing thin films comprising indium nitride by atomic layer deposition
CN103843144B (en) 2011-09-29 2018-06-19 英特尔公司 For the layer containing electropositive metal of semiconductor application
US8841703B2 (en) * 2011-10-31 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. High electron mobility transistor and method of forming the same
CN103377874A (en) * 2012-04-23 2013-10-30 南亚科技股份有限公司 Method for manufacturing hafnium silicate nitrogen oxide
US11043386B2 (en) 2012-10-26 2021-06-22 Applied Materials, Inc. Enhanced spatial ALD of metals through controlled precursor mixing
US9230815B2 (en) 2012-10-26 2016-01-05 Appled Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
US20140273526A1 (en) * 2013-03-12 2014-09-18 David Thompson Atomic Layer Deposition Of Films Comprising Si(C)N Using Hydrazine, Azide And/Or Silyl Amine Derivatives
TWI504774B (en) * 2013-03-14 2015-10-21 Nanmat Technology Co Ltd Preparing method of high purity pdmat precursor vapor
US9432809B2 (en) 2013-07-12 2016-08-30 Qualcomm Incorporated Providing OTDOA PRS assistance data
JP6116425B2 (en) * 2013-07-19 2017-04-19 大陽日酸株式会社 Method for forming metal thin film
KR20210020175A (en) * 2013-11-13 2021-02-23 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Group 5 transition metal-containing compounds for vapor deposition of group 5 transition metal-containing films
US9090964B2 (en) * 2013-12-19 2015-07-28 Intel Corporation Additives to improve the performance of a precursor source for cobalt deposition
US9873940B2 (en) 2013-12-31 2018-01-23 Lam Research Corporation Coating system and method for coating interior fluid wetted surfaces of a component of a semiconductor substrate processing apparatus
SG11201605837TA (en) * 2014-03-03 2016-08-30 Picosun Oy Protecting an interior of a gas container with an ald coating
JP2015224227A (en) * 2014-05-28 2015-12-14 宇部興産株式会社 Method of producing (acetylene)dicobalt hexacarbonyl compound
US9548188B2 (en) 2014-07-30 2017-01-17 Lam Research Corporation Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
US10431451B2 (en) * 2014-08-22 2019-10-01 Lam Research Corporation Methods and apparatuses for increasing reactor processing batch size
JP6487747B2 (en) * 2015-03-26 2019-03-20 株式会社Screenホールディングス Substrate processing apparatus and processing gas supply nozzle
KR20160147482A (en) * 2015-06-15 2016-12-23 삼성전자주식회사 Apparatus for manufacturing Semiconductor Devices Having a Gas Mixing Part
US11017984B2 (en) * 2016-04-28 2021-05-25 Applied Materials, Inc. Ceramic coated quartz lid for processing chamber
KR101840293B1 (en) * 2016-07-29 2018-03-20 주식회사 유진테크 머티리얼즈 Method for dipositing a thin film
US11735413B2 (en) * 2016-11-01 2023-08-22 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-k films to fill surface features
TWI627773B (en) * 2017-05-04 2018-06-21 旺宏電子股份有限公司 Semiconductor structure and method for forming the same
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
SG11202001472QA (en) 2017-09-26 2020-04-29 Applied Materials Inc Method, materials and process for native oxide removal and regrowth of dielectric oxides for better biosensor performance
US10748760B2 (en) * 2017-11-30 2020-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Varying temperature anneal for film and structures formed thereby
DE102018124675A1 (en) 2017-11-30 2019-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Annealing of film at different temperatures and structures formed thereby
SG11202008268RA (en) 2018-03-19 2020-10-29 Applied Materials Inc Methods for depositing coatings on aerospace components
EP3784815A4 (en) 2018-04-27 2021-11-03 Applied Materials, Inc. Protection of components from corrosion
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
CN109594059B (en) * 2018-10-31 2020-12-08 青岛大学 Atomic layer deposition preparation method of heterogeneous sensitive film for triethylamine detection
US10636705B1 (en) 2018-11-29 2020-04-28 Applied Materials, Inc. High pressure annealing of metal gate structures
US20200203157A1 (en) * 2018-12-20 2020-06-25 Nanya Technology Corporation Method for preparing multiplayer structure
WO2020175152A1 (en) * 2019-02-25 2020-09-03 株式会社アルバック Plasma cvd apparatus and plasma cvd method
US10961624B2 (en) * 2019-04-02 2021-03-30 Gelest Technologies, Inc. Process for pulsed thin film deposition
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11282745B2 (en) * 2019-04-28 2022-03-22 Applied Materials, Inc. Methods for filling features with ruthenium
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11289312B2 (en) 2019-06-12 2022-03-29 Applied Materials, Inc. Physical vapor deposition (PVD) chamber with in situ chamber cleaning capability
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
JP7296806B2 (en) * 2019-07-16 2023-06-23 東京エレクトロン株式会社 RuSi film forming method and substrate processing system
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11643751B2 (en) 2020-03-10 2023-05-09 Matrix Sensors, Inc. Apparatus and method for producing a crystalline film on a substrate surface
CN111501016A (en) * 2020-04-09 2020-08-07 中国科学院微电子研究所 High-uniformity atomic layer deposition method and application thereof
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
WO2022005696A1 (en) 2020-07-03 2022-01-06 Applied Materials, Inc. Methods for refurbishing aerospace components
KR20220043028A (en) * 2020-09-28 2022-04-05 가부시키가이샤 코쿠사이 엘렉트릭 Vaporizing system, substrate processing apparatus and method of manufacturing semiconductor device
JP7258970B2 (en) * 2020-09-28 2023-04-17 株式会社Kokusai Electric Vaporization system, substrate processing apparatus, and semiconductor device manufacturing method
KR20230019325A (en) 2021-07-30 2023-02-08 (주)원익머트리얼즈 Thin film deposition method using organometallic compound precursor
WO2023141550A1 (en) * 2022-01-21 2023-07-27 X-Energy, Llc Deposition of ceramic layers using liquid organometallic precursors

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6905541B2 (en) * 2002-07-17 2005-06-14 Applied Materials, Inc. Method and apparatus of generating PDMAT precursor
US20060040054A1 (en) * 2004-08-18 2006-02-23 Pearlstein Ronald M Passivating ALD reactor chamber internal surfaces to prevent residue buildup
US7118779B2 (en) * 2003-05-09 2006-10-10 Asm America, Inc. Reactor surface passivation through chemical deactivation

Family Cites Families (306)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI57975C (en) 1979-02-28 1980-11-10 Lohja Ab Oy OVER ANCHORING VIDEO UPDATE FOR AVAILABILITY
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
FI64878C (en) 1982-05-10 1984-01-10 Lohja Ab Oy KOMBINATIONSFILM FOER ISYNNERHET TUNNFILMELEKTROLUMINENSSTRUKTURER
JPS62104038A (en) 1985-07-15 1987-05-14 Dainippon Screen Mfg Co Ltd Steam-containing oxygen gas supplying device
JPH0824191B2 (en) 1989-03-17 1996-03-06 富士通株式会社 Thin film transistor
JP2637265B2 (en) 1990-06-28 1997-08-06 株式会社東芝 Method of forming silicon nitride film
JP2764472B2 (en) 1991-03-25 1998-06-11 東京エレクトロン株式会社 Semiconductor film formation method
JP4308867B2 (en) * 1992-06-08 2009-08-05 東京エレクトロン株式会社 Method of forming refractory metal nitride film
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5526244A (en) 1993-05-24 1996-06-11 Bishop; Vernon R. Overhead luminaire
KR100336916B1 (en) * 1994-02-03 2002-12-02 어플라이드 머티어리얼스, 인코포레이티드 Stripping, passivation and corrosion inhibition methods for semiconductor substrates
FI97731C (en) 1994-11-28 1997-02-10 Mikrokemia Oy Method and apparatus for making thin films
FI100409B (en) 1994-11-28 1997-11-28 Asm Int Method and apparatus for making thin films
KR0167248B1 (en) 1995-07-24 1999-02-01 문정환 Heat treatment of substrate
US6084302A (en) 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6001420A (en) 1996-09-23 1999-12-14 Applied Materials, Inc. Semi-selective chemical vapor deposition
US5923056A (en) 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
JPH10308283A (en) 1997-03-04 1998-11-17 Denso Corp El element and its manufacture
TW471068B (en) 1997-03-05 2002-01-01 Hitachi Ltd Method for fabricating semiconductor integrated circuit device with insulation film
JPH10306377A (en) 1997-05-02 1998-11-17 Tokyo Electron Ltd Method for supplying minute amount of gas and device therefor
TW417249B (en) 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6013553A (en) 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100385946B1 (en) 1999-12-08 2003-06-02 삼성전자주식회사 Method for forming a metal layer by an atomic layer deposition and a semiconductor device with the metal layer as a barrier metal layer, an upper electrode, or a lower electrode of capacitor
KR100269306B1 (en) 1997-07-31 2000-10-16 윤종용 Integrate circuit device having buffer layer containing metal oxide stabilized by low temperature treatment and fabricating method thereof
KR100261017B1 (en) 1997-08-19 2000-08-01 윤종용 Method for forming metal wiring of semiconductor device
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US6197683B1 (en) 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
KR100274603B1 (en) 1997-10-01 2001-01-15 윤종용 Method and apparatus for fabricating semiconductor device
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
FI104383B (en) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Procedure for coating the inside of a plant
KR100269328B1 (en) 1997-12-31 2000-10-16 윤종용 Method for forming conductive layer using atomic layer deposition process
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
KR100319888B1 (en) 1998-06-16 2002-01-10 윤종용 Method of forming selective metal layer and method of forming capacitor and filling contact hole using the same
JP2000031387A (en) 1998-07-14 2000-01-28 Fuji Electric Co Ltd Manufacture of dielectric thin film capacitor
TW419732B (en) 1998-07-15 2001-01-21 Texas Instruments Inc A method for gate-stack formation including a high-k dielectric
KR100275738B1 (en) 1998-08-07 2000-12-15 윤종용 Method for producing thin film using atomatic layer deposition
KR20000013654A (en) 1998-08-12 2000-03-06 윤종용 Capacitor having an al2o3/aln mixed dielectric layer by using an atomic layer deposition and a manufacturing method thereof
KR100287180B1 (en) 1998-09-17 2001-04-16 윤종용 Method for manufacturing semiconductor device including metal interconnection formed using interface control layer
DE19843151C2 (en) 1998-09-21 2001-03-08 Alfing Montagetechnik Gmbh Processing device with at least one processing tool
KR100327328B1 (en) 1998-10-13 2002-05-09 윤종용 Method for forming dielectric layer of capacitor having partially different thickness in the layer
KR100297719B1 (en) 1998-10-16 2001-08-07 윤종용 Method for manufacturing thin film
US6291283B1 (en) 1998-11-09 2001-09-18 Texas Instruments Incorporated Method to form silicates as high dielectric constant materials
US6294836B1 (en) 1998-12-22 2001-09-25 Cvc Products Inc. Semiconductor chip interconnect barrier material and fabrication method
KR100331544B1 (en) 1999-01-18 2002-04-06 윤종용 Method for introducing gases into a reactor chamber and a shower head used therein
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
FI118342B (en) 1999-05-10 2007-10-15 Asm Int Apparatus for making thin films
EP1186030B1 (en) 1999-05-12 2011-05-04 Qimonda AG Capacitor for a semiconductor arrangement and method for producing a dielectric layer for the capacitor
JP2000349081A (en) 1999-06-07 2000-12-15 Sony Corp Method for formation of oxide film
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6524952B1 (en) 1999-06-25 2003-02-25 Applied Materials, Inc. Method of forming a titanium silicide layer on a substrate
US6238734B1 (en) 1999-07-08 2001-05-29 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
US6503561B1 (en) 1999-07-08 2003-01-07 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
US6297539B1 (en) 1999-07-19 2001-10-02 Sharp Laboratories Of America, Inc. Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same
US6060755A (en) 1999-07-19 2000-05-09 Sharp Laboratories Of America, Inc. Aluminum-doped zirconium dielectric film transistor structure and deposition method for same
US6299294B1 (en) 1999-07-29 2001-10-09 Hewlett-Packard Company High efficiency printhead containing a novel oxynitride-based resistor system
KR20010017820A (en) 1999-08-14 2001-03-05 윤종용 Semiconductor device and manufacturing method thereof
US6984415B2 (en) 1999-08-20 2006-01-10 International Business Machines Corporation Delivery systems for gases for gases via the sublimation of solid precursors
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
KR100682190B1 (en) 1999-09-07 2007-02-12 동경 엘렉트론 주식회사 Method and apparatus for forming insulating film containing silicon oxy-nitride
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
DE10049257B4 (en) 1999-10-06 2015-05-13 Samsung Electronics Co., Ltd. Process for thin film production by means of atomic layer deposition
US6753556B2 (en) 1999-10-06 2004-06-22 International Business Machines Corporation Silicate gate dielectric
US7094284B2 (en) 1999-10-07 2006-08-22 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of high dielectric constant and ferroelectric metal oxide thin films and method of using same
US6399208B1 (en) 1999-10-07 2002-06-04 Advanced Technology Materials Inc. Source reagent composition and method for chemical vapor deposition formation or ZR/HF silicate gate dielectric thin films
JP2001108199A (en) * 1999-10-12 2001-04-20 Tori Chemical Kenkyusho:Kk Fluid transferring piping device and fluid supply device which facilitate purging of residual material, purging method for residual material in piping device, and fluid supply method
FI117942B (en) 1999-10-14 2007-04-30 Asm Int Process for making oxide thin films
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR100304714B1 (en) 1999-10-20 2001-11-02 윤종용 Method for fabricating metal layer of semiconductor device using metal-halide gas
TW468212B (en) 1999-10-25 2001-12-11 Motorola Inc Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI118804B (en) 1999-12-03 2008-03-31 Asm Int Process for making oxide films
KR100624903B1 (en) 1999-12-22 2006-09-19 주식회사 하이닉스반도체 Method of manufacturing a capacitor in a semiconductor device
KR100705926B1 (en) 1999-12-22 2007-04-11 주식회사 하이닉스반도체 Method of manufacturing a capacitor in a semiconductor device
KR100358056B1 (en) 1999-12-27 2002-10-25 주식회사 하이닉스반도체 Method of forming a gate dielectric film in a semiconductor device
JP4817210B2 (en) 2000-01-06 2011-11-16 東京エレクトロン株式会社 Film forming apparatus and film forming method
FI20000099A0 (en) 2000-01-18 2000-01-18 Asm Microchemistry Ltd A method for growing thin metal films
JP4362919B2 (en) 2000-02-04 2009-11-11 株式会社デンソー Deposition method by atomic layer epitaxial growth method
US6627995B2 (en) 2000-03-03 2003-09-30 Cvc Products, Inc. Microelectronic interconnect material with adhesion promotion layer and fabrication method
DE60125338T2 (en) 2000-03-07 2007-07-05 Asm International N.V. GRADED THIN LAYERS
FI117979B (en) 2000-04-14 2007-05-15 Asm Int Process for making oxide thin films
KR20010096229A (en) 2000-04-18 2001-11-07 황 철 주 Apparatus and method for forming ultra-thin film of semiconductor device
KR100363088B1 (en) 2000-04-20 2002-12-02 삼성전자 주식회사 Method of manufacturing barrier metal layer using atomic layer deposition method
US6984591B1 (en) 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
US20020192396A1 (en) 2000-05-11 2002-12-19 Shulin Wang Method of titanium/titanium nitride integration
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
KR100403611B1 (en) 2000-06-07 2003-11-01 삼성전자주식회사 Metal-insulator-metal capacitor and manufacturing method thereof
KR100647442B1 (en) 2000-06-07 2006-11-17 주성엔지니어링(주) Method of forming a thin film using atomic layer deposition
JP3687651B2 (en) 2000-06-08 2005-08-24 ジニテック インク. Thin film formation method
JP4868639B2 (en) 2000-06-12 2012-02-01 株式会社Adeka Raw material for chemical vapor deposition and method for producing thin film using the same
KR100332313B1 (en) 2000-06-24 2002-04-12 서성기 Apparatus and method for depositing thin film on wafer
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
KR100545706B1 (en) 2000-06-28 2006-01-24 주식회사 하이닉스반도체 Semiconductor device manufacturing method
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
DE10034003A1 (en) 2000-07-07 2002-01-24 Infineon Technologies Ag Trench capacitor with insulation collar and corresponding manufacturing process
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
AU2001280609A1 (en) 2000-07-20 2002-02-05 North Carolina State University High dielectric constant metal silicates formed by controlled metal-surface reactions
KR100444149B1 (en) 2000-07-22 2004-08-09 주식회사 아이피에스 ALD thin film depositin equipment cleaning method
KR100396879B1 (en) 2000-08-11 2003-09-02 삼성전자주식회사 Semiconductor memory device having capacitor encapsulated by multi-layer which includes double layeres being made of same material and method of manufacturing thereof
US7094690B1 (en) * 2000-08-31 2006-08-22 Micron Technology, Inc. Deposition methods and apparatuses providing surface activation
US6444263B1 (en) 2000-09-15 2002-09-03 Cvc Products, Inc. Method of chemical-vapor deposition of a material
JP3409290B2 (en) 2000-09-18 2003-05-26 株式会社トリケミカル研究所 Gate oxide film forming material
JP2002172767A (en) 2000-09-26 2002-06-18 Canon Inc Ink jet recorder, its controlling method, and information processor and processing method
JP5290488B2 (en) 2000-09-28 2013-09-18 プレジデント アンド フェロウズ オブ ハーバード カレッジ Vapor growth of oxides, silicates and phosphates
KR100375102B1 (en) * 2000-10-18 2003-03-08 삼성전자주식회사 Method for CVD and apparatus for performing the same in semiconductor device processing
US6395650B1 (en) 2000-10-23 2002-05-28 International Business Machines Corporation Methods for forming metal oxide layers with enhanced purity
US6498091B1 (en) 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
JP4644359B2 (en) 2000-11-30 2011-03-02 ルネサスエレクトロニクス株式会社 Deposition method
KR100869326B1 (en) 2000-11-30 2008-11-18 에이에스엠 인터내셔널 엔.브이. thin films for magnetic devices
US6486080B2 (en) 2000-11-30 2002-11-26 Chartered Semiconductor Manufacturing Ltd. Method to form zirconium oxide and hafnium oxide for high dielectric constant materials
KR100386034B1 (en) 2000-12-06 2003-06-02 에이에스엠 마이크로케미스트리 리미티드 Method of Fabricating Semiconductor Device Employing Copper Interconnect Structure Having Diffusion Barrier Stuffed with Metal Oxide
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (en) 2000-12-06 2003-06-02 삼성전자주식회사 Method of forming thin film by atomic layer deposition
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US20020197402A1 (en) 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US20020076481A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US20020073924A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
KR20020049875A (en) 2000-12-20 2002-06-26 윤종용 Ferroelectric capacitor in semiconductor memory device and method for manufacturing the same
US6544906B2 (en) 2000-12-21 2003-04-08 Texas Instruments Incorporated Annealing of high-k dielectric materials
JP3963078B2 (en) 2000-12-25 2007-08-22 株式会社高純度化学研究所 Tertiary amylimidotris (dimethylamido) tantalum, method for producing the same, raw material solution for MOCVD using the same, and method for forming a tantalum nitride film using the same
KR20020056260A (en) 2000-12-29 2002-07-10 박종섭 Method for forming metal gate of semiconductor devoie
US20020086111A1 (en) 2001-01-03 2002-07-04 Byun Jeong Soo Method of forming refractory metal nitride layers using chemisorption techniques
US6927435B2 (en) 2001-01-16 2005-08-09 Renesas Technology Corp. Semiconductor device and its production process
KR100400031B1 (en) 2001-01-17 2003-09-29 삼성전자주식회사 Contact plug of semiconductor device and method of forming the same
US6713846B1 (en) 2001-01-26 2004-03-30 Aviza Technology, Inc. Multilayer high κ dielectric films
JP2002222934A (en) 2001-01-29 2002-08-09 Nec Corp Semiconductor device and manufacturing method thereof
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6844604B2 (en) 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
KR101050377B1 (en) 2001-02-12 2011-07-20 에이에스엠 아메리카, 인코포레이티드 Improved process for deposition of semiconductor films
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US6939579B2 (en) * 2001-03-07 2005-09-06 Asm International N.V. ALD reactor and method with controlled wall temperature
FI109770B (en) 2001-03-16 2002-10-15 Asm Microchemistry Oy Growing transition metal nitride thin films by using compound having hydrocarbon, amino or silyl group bound to nitrogen as nitrogen source material
US7348042B2 (en) 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US7084080B2 (en) 2001-03-30 2006-08-01 Advanced Technology Materials, Inc. Silicon source reagent compositions, and method of making and using same for microelectronic device structure
US7005392B2 (en) 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
JP3730962B2 (en) 2001-04-02 2006-01-05 松下電器産業株式会社 Manufacturing method of semiconductor device
US20020144657A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
US20020144655A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. Gas valve system for a reactor
JP2002313951A (en) 2001-04-11 2002-10-25 Hitachi Ltd Semiconductor integrated circuit device and its manufacturing method
US6348386B1 (en) 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
JP2002314072A (en) 2001-04-19 2002-10-25 Nec Corp Semiconductor device with high dielectric thin film and manufacturing method therefor, and film-forming method for dielectric film
US6596643B2 (en) 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
JP2002343790A (en) 2001-05-21 2002-11-29 Nec Corp Vapor-phase deposition method of metallic compound thin film and method for manufacturing semiconductor device
KR100363332B1 (en) 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6849545B2 (en) 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6709989B2 (en) 2001-06-21 2004-03-23 Motorola, Inc. Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6642131B2 (en) 2001-06-21 2003-11-04 Matsushita Electric Industrial Co., Ltd. Method of forming a silicon-containing metal-oxide gate dielectric by depositing a high dielectric constant film on a silicon substrate and diffusing silicon from the substrate into the high dielectric constant film
US6420279B1 (en) 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
US7105444B2 (en) 2001-07-19 2006-09-12 Samsung Electronics Co., Ltd. Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same
US7098131B2 (en) 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
US20030017697A1 (en) 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
WO2003030224A2 (en) 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6676760B2 (en) * 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
US6548906B2 (en) 2001-08-22 2003-04-15 Agere Systems Inc. Method for reducing a metal seam in an interconnect structure and a device manufactured thereby
US6806145B2 (en) 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US20030042630A1 (en) 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
EP1425435A2 (en) 2001-09-14 2004-06-09 Asm International N.V. Metal nitride deposition by ald using gettering reactant
US20030049931A1 (en) 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
KR20030025494A (en) 2001-09-21 2003-03-29 삼성전자주식회사 Semiconductor device having contact between ruthenium layer and metal layer and method for manufacturing the same
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US20030059538A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US20030057526A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6936906B2 (en) 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US20030072884A1 (en) 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
EP1444380B1 (en) * 2001-10-26 2005-03-23 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6743681B2 (en) 2001-11-09 2004-06-01 Micron Technology, Inc. Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride
US20030096473A1 (en) 2001-11-16 2003-05-22 Taiwan Semiconductor Manufacturing Company Method for making metal capacitors with low leakage currents for mixed-signal devices
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
JP2003179049A (en) 2001-12-11 2003-06-27 Matsushita Electric Ind Co Ltd Insulating film-forming method, and semiconductor device and manufacturing method thereof
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US20030111678A1 (en) 2001-12-14 2003-06-19 Luigi Colombo CVD deposition of M-SION gate dielectrics
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6696332B2 (en) 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6790755B2 (en) 2001-12-27 2004-09-14 Advanced Micro Devices, Inc. Preparation of stack high-K gate dielectrics with nitrided layer
US6674138B1 (en) 2001-12-31 2004-01-06 Advanced Micro Devices, Inc. Use of high-k dielectric materials in modified ONO structure for semiconductor devices
US6770254B2 (en) 2002-01-17 2004-08-03 Air Products And Chemicals, Inc. Purification of group IVb metal halides
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6824816B2 (en) 2002-01-29 2004-11-30 Asm International N.V. Process for producing metal thin films by ALD
US6777352B2 (en) 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US6452229B1 (en) 2002-02-21 2002-09-17 Advanced Micro Devices, Inc. Ultra-thin fully depleted SOI device with T-shaped gate and method of fabrication
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7323422B2 (en) 2002-03-05 2008-01-29 Asm International N.V. Dielectric layers and methods of forming the same
US6753618B2 (en) 2002-03-11 2004-06-22 Micron Technology, Inc. MIM capacitor with metal nitride electrode materials and method of formation
WO2003081667A1 (en) 2002-03-26 2003-10-02 Matsushita Electric Industrial Co., Ltd. Semiconductor device and production method therefor
JP3937892B2 (en) 2002-04-01 2007-06-27 日本電気株式会社 Thin film forming method and semiconductor device manufacturing method
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6875271B2 (en) 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030235961A1 (en) 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
DE10392519T5 (en) * 2002-04-19 2005-08-04 Mattson Technology Inc., Fremont A system for depositing a film on a substrate using a low vapor pressure gas precursor
US7164165B2 (en) 2002-05-16 2007-01-16 Micron Technology, Inc. MIS capacitor
KR100505043B1 (en) 2002-05-25 2005-07-29 삼성전자주식회사 Method for forming a capacitor
US7910165B2 (en) 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7264846B2 (en) 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7404985B2 (en) 2002-06-04 2008-07-29 Applied Materials, Inc. Noble metal layer formation for copper film deposition
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7135421B2 (en) 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
US7183604B2 (en) 2002-06-10 2007-02-27 Interuniversitair Microelektronica Centrum (Imec Vzw) High dielectric constant device
EP1512165A2 (en) 2002-06-12 2005-03-09 Applied Materials, Inc. Plasma apparatus and method for processing a substrate
US6660659B1 (en) 2002-06-12 2003-12-09 Applied Materials, Inc. Plasma method and apparatus for processing a substrate
US7067439B2 (en) 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US6858547B2 (en) 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US20030232501A1 (en) 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
US7005697B2 (en) 2002-06-21 2006-02-28 Micron Technology, Inc. Method of forming a non-volatile electron storage memory and the resulting device
KR100476926B1 (en) 2002-07-02 2005-03-17 삼성전자주식회사 Method for forming dual gate of semiconductor device
US6838125B2 (en) 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040009336A1 (en) 2002-07-11 2004-01-15 Applied Materials, Inc. Titanium silicon nitride (TISIN) barrier layer for copper diffusion
US6723658B2 (en) 2002-07-15 2004-04-20 Texas Instruments Incorporated Gate structure and method
US7105891B2 (en) 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US20040013803A1 (en) 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7081409B2 (en) 2002-07-17 2006-07-25 Samsung Electronics Co., Ltd. Methods of producing integrated circuit devices utilizing tantalum amine derivatives
US7524374B2 (en) 2002-07-17 2009-04-28 Applied Materials, Inc. Method and apparatus for generating a precursor for a semiconductor processing system
KR100468852B1 (en) 2002-07-20 2005-01-29 삼성전자주식회사 Manufacturing method of Capacitor Structure
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US7300038B2 (en) 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US7449385B2 (en) 2002-07-26 2008-11-11 Texas Instruments Incorporated Gate dielectric and method
US6915592B2 (en) 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US6919251B2 (en) 2002-07-31 2005-07-19 Texas Instruments Incorporated Gate dielectric and method
US20040029321A1 (en) 2002-08-07 2004-02-12 Chartered Semiconductor Manufacturing Ltd. Method for forming gate insulating layer having multiple dielectric constants and multiple equivalent oxide thicknesses
US6897106B2 (en) 2002-08-16 2005-05-24 Samsung Electronics Co., Ltd. Capacitor of semiconductor memory device that has composite Al2O3/HfO2 dielectric layer and method of manufacturing the same
KR100542736B1 (en) 2002-08-17 2006-01-11 삼성전자주식회사 Method of forming oxide layer using atomic layer deposition method and method of forming capacitor of semiconductor device using the same
US6960538B2 (en) 2002-08-21 2005-11-01 Micron Technology, Inc. Composite dielectric forming methods and composite dielectrics
US6958300B2 (en) 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6790773B1 (en) 2002-08-28 2004-09-14 Novellus Systems, Inc. Process for forming barrier/seed structures for integrated circuits
US7112485B2 (en) 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US7199023B2 (en) 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US6875678B2 (en) 2002-09-10 2005-04-05 Samsung Electronics Co., Ltd. Post thermal treatment methods of forming high dielectric layers in integrated circuit devices
US6784096B2 (en) 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
JP2004111447A (en) 2002-09-13 2004-04-08 Handotai Rikougaku Kenkyu Center:Kk Semiconductor device and method for manufacturing the same
US6607973B1 (en) 2002-09-16 2003-08-19 Advanced Micro Devices, Inc. Preparation of high-k nitride silicate layers by cyclic molecular layer deposition
US6759286B2 (en) 2002-09-16 2004-07-06 Ajay Kumar Method of fabricating a gate structure of a field effect transistor using a hard mask
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040065255A1 (en) 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6905737B2 (en) 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
KR100460841B1 (en) 2002-10-22 2004-12-09 한국전자통신연구원 Method for forming nitrogen containing oxide thin film by plasma enhanced atomic layer deposition
EP1420080A3 (en) 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US7262133B2 (en) 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
WO2004064147A2 (en) 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7442415B2 (en) 2003-04-11 2008-10-28 Sharp Laboratories Of America, Inc. Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
US6737313B1 (en) 2003-04-16 2004-05-18 Micron Technology, Inc. Surface treatment of an oxide layer to enhance adhesion of a ruthenium metal layer
TW200506093A (en) 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
US20050070126A1 (en) 2003-04-21 2005-03-31 Yoshihide Senzaki System and method for forming multi-component dielectric films
DE10319540A1 (en) 2003-04-30 2004-11-25 Infineon Technologies Ag Process for ALD coating of substrates and a device suitable for carrying out the process
JP5342110B2 (en) * 2003-05-27 2013-11-13 アプライド マテリアルズ インコーポレイテッド Source canister containing precursor and method for filling features using the same
US6930060B2 (en) 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
US7211508B2 (en) 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US7408225B2 (en) 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
US20050104142A1 (en) 2003-11-13 2005-05-19 Vijav Narayanan CVD tantalum compounds for FET get electrodes
US20050153571A1 (en) 2003-11-17 2005-07-14 Yoshihide Senzaki Nitridation of high-k dielectric films
US20050130438A1 (en) 2003-12-15 2005-06-16 Texas Instruments Incorporated Method of fabricating a dielectric layer for a semiconductor structure
US7067422B2 (en) 2004-03-31 2006-06-27 Tokyo Electron Limited Method of forming a tantalum-containing gate electrode structure
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060019033A1 (en) 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US20060153995A1 (en) 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US20060062917A1 (en) 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
KR20070089197A (en) 2004-11-22 2007-08-30 어플라이드 머티어리얼스, 인코포레이티드 Substrate processing apparatus using a batch processing chamber
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7265048B2 (en) 2005-03-01 2007-09-04 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
JP2006257551A (en) * 2005-03-15 2006-09-28 Asm Internatl Nv Enhanced deposition of noble metal by ald
US8025922B2 (en) * 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US20070020890A1 (en) 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7317229B2 (en) 2005-07-20 2008-01-08 Applied Materials, Inc. Gate electrode structures and methods of manufacture
US7736697B2 (en) * 2005-08-08 2010-06-15 E. I. Du Pont De Nemours And Company Atomic layer deposition of tantalum-containing films using surface-activating agents and novel tantalum complexes
US20070049043A1 (en) 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070065578A1 (en) 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US20090130463A1 (en) 2005-10-05 2009-05-21 John Dean Albaugh Coated Substrates and Methods for their Preparation
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
JP4975414B2 (en) * 2005-11-16 2012-07-11 エーエスエム インターナショナル エヌ.ヴェー. Method for film deposition by CVD or ALD
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
US7750173B2 (en) 2007-01-18 2010-07-06 Advanced Technology Materials, Inc. Tantalum amido-complexes with chelate ligands useful for CVD and ALD of TaN and Ta205 thin films
US8221852B2 (en) * 2007-09-14 2012-07-17 Sigma-Aldrich Co. Llc Methods of atomic layer deposition using titanium-based precursors

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6905541B2 (en) * 2002-07-17 2005-06-14 Applied Materials, Inc. Method and apparatus of generating PDMAT precursor
US7118779B2 (en) * 2003-05-09 2006-10-10 Asm America, Inc. Reactor surface passivation through chemical deactivation
US20060040054A1 (en) * 2004-08-18 2006-02-23 Pearlstein Ronald M Passivating ALD reactor chamber internal surfaces to prevent residue buildup

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI724974B (en) * 2019-09-24 2021-04-11 芬蘭商皮考遜公司 Fluid distributing device for a thin-film deposition apparatus, related apparatus and methods
US10982325B2 (en) 2019-09-24 2021-04-20 Picosun Oy Fluid distributing device for a thin-film deposition apparatus, related apparatus and methods

Also Published As

Publication number Publication date
JP2015134966A (en) 2015-07-27
CN102144281A (en) 2011-08-03
JP2018048394A (en) 2018-03-29
US20100062614A1 (en) 2010-03-11
US8491967B2 (en) 2013-07-23
KR20160009706A (en) 2016-01-26
KR101772635B1 (en) 2017-08-29
JP6189350B2 (en) 2017-08-30
JP2012502179A (en) 2012-01-26
CN102144281B (en) 2014-06-25
JP6412987B2 (en) 2018-10-24
WO2010027669A3 (en) 2010-05-14
KR101585166B1 (en) 2016-01-13
KR20110056540A (en) 2011-05-30
TWI449803B (en) 2014-08-21
TW201016880A (en) 2010-05-01

Similar Documents

Publication Publication Date Title
JP6412987B2 (en) In situ chamber processing and deposition processes
US9418890B2 (en) Method for tuning a deposition rate during an atomic layer deposition process
US6924223B2 (en) Method of forming a metal layer using an intermittent precursor gas flow process
US7682946B2 (en) Apparatus and process for plasma-enhanced atomic layer deposition
US9017776B2 (en) Apparatuses and methods for atomic layer deposition
US7429402B2 (en) Ruthenium as an underlayer for tungsten film deposition
US20120003833A1 (en) Methods for forming tungsten-containing layers
US20050069641A1 (en) Method for depositing metal layers using sequential flow deposition

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200980134897.4

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 09811952

Country of ref document: EP

Kind code of ref document: A2

ENP Entry into the national phase

Ref document number: 2011526095

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20117008212

Country of ref document: KR

Kind code of ref document: A

122 Ep: pct application non-entry in european phase

Ref document number: 09811952

Country of ref document: EP

Kind code of ref document: A2

32PN Ep: public notification in the ep bulletin as address of the adressee cannot be established

Free format text: NOTING OF LOSS OF RIGHTS PURSUANT TO RULE 112(1) EPC (EPO FORM 1205 DATED 25.05.2011)