WO2007005697A2 - Block contact architectures for nanoscale channel transistors - Google Patents

Block contact architectures for nanoscale channel transistors Download PDF

Info

Publication number
WO2007005697A2
WO2007005697A2 PCT/US2006/025751 US2006025751W WO2007005697A2 WO 2007005697 A2 WO2007005697 A2 WO 2007005697A2 US 2006025751 W US2006025751 W US 2006025751W WO 2007005697 A2 WO2007005697 A2 WO 2007005697A2
Authority
WO
WIPO (PCT)
Prior art keywords
parallel
bodies
source
drain
semiconductor
Prior art date
Application number
PCT/US2006/025751
Other languages
French (fr)
Other versions
WO2007005697A3 (en
Inventor
Marko Radosavljevic
Amlan Majumdar
Brian S. Doyle
Jack Kavalieros
Mark L. Doczy
Justin K. Brask
Uday Shah
Suman Datta
Robert S. Chau
Original Assignee
Intel Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corporation filed Critical Intel Corporation
Priority to JP2008518524A priority Critical patent/JP2008544558A/en
Priority to GB0724762A priority patent/GB2442379B/en
Priority to DE112006001735T priority patent/DE112006001735B4/en
Priority to CN200680023301.XA priority patent/CN101208805B/en
Publication of WO2007005697A2 publication Critical patent/WO2007005697A2/en
Publication of WO2007005697A3 publication Critical patent/WO2007005697A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41733Source or drain electrodes for field effect devices for thin film transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78681Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising AIIIBV or AIIBVI or AIVBVI semiconductor materials, or Se or Te
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys

Definitions

  • the present invention relates to the field of semiconductor integrated circuit manufacturing, and more particularly to contact structures for nanoscale channel devices.
  • FIG. 1 is a perspective illustration of a multi-channel tri-gate transistor on silicon on insulator 102.
  • a multi-channel transistor 100 includes a single crystalline silicon substrate 101 having an insulating layer 103, such as a buried oxide formed thereon. On the insulating layer, multiple semiconductor bodies or fingers 105 are formed as shown in Figure 1.
  • a gate dielectric layer 112 is formed on the multiple semiconductor bodies 105 and a gate electrode 113 formed on the gate dielectric 112, strapping across the multiple semiconductor bodies 105.
  • Source 116 and drain 117 regions are formed in the single crystalline semiconductor layer along laterally opposite sides of gate electrode 113.
  • each semiconductor body 105 has a gate dielectric layer 112 formed on its top surface and sidewalls as shown in Figure 1.
  • Gate electrode 113 is formed on and adjacent to each gate dielectric 112 on each of the semiconductor bodies 105.
  • Each semiconductor body 105 also includes a source region 116 and a drain region 117 formed in the semiconductor body 105 on opposite sides of gate electrode 113 as shown in Figure 1.
  • the source regions 116 and drain regions 117 of the semiconductor bodies 105 are electrically coupled together by the semiconductor material used to form semiconductor bodies 105 to form a source landing pad 118 and a drain landing pad 119 as shown in Figure 1.
  • the source landing pad 118 and drain landing pad 119 are each electrically coupled though metal contact structures 123 to upper levels of interconnect metallization (e.g., metal 1, metal 2, metal 3 .. . ) used to electrically interconnect various transistors 100 together into functional circuits.
  • a pair of metal contact structures 123 is provided for each of the semiconductor bodies 105, a first metal contact structure for the source region 116 and a second metal contact for the drain region 117 in order to maintain the parallel circuit architecture of the entire transistor.
  • the pitch 110 of the metal contact structures 123 must also decrease. If the reduction in pitch 110 of the metal contact structures 123 fails to keep pace with the reduction in pitch of the parallel semiconductor bodies, the total resistance of the metal contact structures, the external resistance (Rext), becomes a significant contributor to the overall parasitic resistance of the device 100. Thus, the metal contact structures 123 are constrained by the minimum photolithographic pitch of the metal contact structures 123, causing Rext to increase as the pitch of the semiconductor bodies 105 decreases below the minimum photolithographic pitch of the metal contact structures 123. BRIEF DESCRIPTION OF TEiE DRAWINGS
  • Figure 1 is an illustration of a perspective view of a conventional multi-channel non-planar transistor.
  • Figure 2A is an illustration of a perspective view of a multi-channel non-planar transistor having a metal contact architecture in accordance with the present invention.
  • Figure 2B is an illustration of a perspective view of a multi-channel non-planar transistor having a metal contact architecture in accordance with the present invention.
  • Figure 2C is an illustration of a perspective view of a multi-channel non-planar transistor having a metal contact architecture in accordance with the present invention.
  • Figure 2D is an illustration of a perspective view of a multi-channel non-planar transistor having a metal contact architecture in accordance with the present invention.
  • Figure 3A-3L are illustrations of perspective and cross sectional views of a method of fabricating a multi-channel non-planar transistor having a contact architecture in accordance with the present invention.
  • Embodiments of the present invention include device contact architectures where rectangular metal structures contact the source or drain regions of non-planar transistors having a plurality of semiconductor bodies with channels controlled in parallel by a single gate electrode.
  • Embodiments of the present invention include device contact architectures where at least one metal drain contact structure is coupled to and extends between drain regions of the plurality of semiconductor bodies and at least one metal contact structure is coupled to and extends between source regions of the plurality of semiconductor bodies of multi-channel non-planar transistors. Because the rectangular block contact architecture contacts a plurality of semiconductor bodies, the external resistance (Rext) of the multichannel non-planar device is decreased by reducing current crowding at the source and drain ends of the plurality of semiconductor bodies. In this manner the rectangular block architecture increases the transistor switching speed.
  • nanoscale devices having nanometer channels to be configured and operated in parallel, enabling a collective of nanoscale devices to operate at the speed of an individual nanometer channel device and provide sufficient absolute current to drive appredable loads.
  • Nanoscale devices operated in parallel to achieve the necessary drive current require a form factor at least as small as the larger individual transistor device that provides an equivalent amount of absolute current.
  • Layout efficiency is a ratio of the absolute current carrying width (Z) of a parallel non-planar device layout to that of the typical planar device occupying the same layout width. Because individual non-planar nanoscale transistors increase the effective current carrying width (Z) relative to an individual planar device occupying the same layout width, the layout efficiency of a single non-planar device is significantly greater man 100 percent. However, as previously stated, the dimensional shrink enabled by the non- planar architecture results in a relatively low absolute current, and so many such non- planar devices may be operated in a parallel configuration.
  • the layout width required to delineate individual non-planar devices can decrease the layout efficiency to below 100 percent.
  • the total current carrying width of the parallel non-planar device will still be lower than that of individual planar devices unless the pitch of the non-planar devices shrinks proportionally with size of the channel.
  • the typical planar transistor has a channel pitch on the order of the minimum lithographic pitch of the metal contact features, it may be necessary to reduce the non- planar nanoscale transistor pitch to sub-lithographic levels by relying on non-lithographic fabrication techniques, such as spacers and self-alignment, to define the individual nanoscale transistor bodies.
  • FIG. 2A An example of a multiple-channel non-planar transistor 200 with a metal contact architecture in accordance with an embodiment of the present invention as illustrated in Figure 2A.
  • the non-planar transistor 200 shown in Figure 2A is a tri-gate device, other non-planar multiple-channel transistor designs such as but not limited to dual-gate, omega-gate, semiconductor nanowire, and carbon nanotube devices are also embodiments of the present invention.
  • Multiple-channel non-planar transistor 200 is formed on a substrate 202.
  • substrate 202 is an insulating substrate which includes a lower monocrystalline silicon substrate 201 upon which is formed an insulating layer 203, such as a silicion dioxide film.
  • the substrate 202 can be a "bulk" semiconductor substrate, such as but not limited to monocrystalline silicon substrate and gallium arsenide substrate.
  • a "bulk” semiconductor substrate merely has no insulating layer 203.
  • the substrate 202 is a silicon semiconductor substrate having a doped epitaxial layer with either p-type or n-type conductivity with a concentration level between lxl0 16 -lxl0 19 atoms/cm 3 .
  • multiple-channel non-planar transistor 200 includes a plurality of semiconductor bodies 205 formed on insulator 203 of insulating substrate 202.
  • Figure 2A shows a tri-gate embodiment of the present invention, it should be appreciated that additional embodiments of non-planar transistors are possible such as but not limited to dual-gate, FinFET, omega-gate, carbon nanotube designs.
  • Semiconductor bodies 205 can be formed of any well-known semiconductor material, such as but not limited to silicon (Si), germanium (Ge), silicon germanium (SixGey), gallium arsenide (GaAs), indium antimonide (InSb), gallium phoshide (GaP), gallium antimonide (GaSb), indium phosphide (InP) and carbon nanotubes.
  • Semiconductor bodies 205 can be formed of any well-known material which can be reversibly altered from an insulating state to a conductive state by applying external electrical controls. Semiconductor bodies 205 are ideally a single crystalline film when the best electrical performance of transistor 200, is desired.
  • semiconductor bodies 205 are a single crystalline film when transistor 200 is used in high performance applications, such as in a high density circuit, such as a microprocessor.
  • Semiconductor bodies 205 can be a polycrystalline film when transistor 200 is used in applications requiring less stringent performance, such as in liquid crystal displays.
  • insulator 203 insulates semiconductor bodies 205 from monocrystalline silicon substrate 201.
  • semiconductor bodies 205 are a single crystalline silicon film.
  • semiconductor bodies 205 are formed from an upper region of the "bulk" semiconductor substrate.
  • Semiconductor bodies 205 have a pair of laterally opposite sidewalls 206 and 207 separated by a distance which defines an individual semiconductor body or finger width. Additionally, semiconductor bodies 205 have a top surface 208 opposite a bottom surface formed on substrate 202. The distance between the top surface 208 and the bottom surface defines an individual semiconductor body height. In an embodiment of the present invention, the individual body height is substantially equal to the individual semiconductor body width. In an embodiment of the present invention, the individual semiconductor body 205 has a width and a height less than 30 nanometers and ideally less than 20 nanometers. In an embodiment of the present invention, the individual semiconductor body height is between half the individual semiconductor body width to twice the individual semiconductor body width.
  • the spacing between two adjacent semiconductor bodies is less than 30 nanometers and ideally less than 20 nanometers. In an embodiment of the present invention, the spacing between two adjacent semiconductor bodies is less than the individual semiconductor body width. In an embodiment of the present invention, the pitch of the semiconductor bodies, the distance between the sidewall 206 of a semiconductor body and the sidewall 206 of an adjacent semiconductor body, is sub- lithographic. In an embodiment of the present invention, the pitch of the semiconductor bodies is less than llOnm.
  • Gate dielectric layer 212 is formed on and around three sides of semiconductor body 205 as shown in Figure 2A. Gate dielectric layer 212 is formed on or adjacent to sidewall 206, on top surface 208 and on or adjacent to sidewall 207 of the semiconductor bodies 205 as shown in Figure 2A. Gate dielectric layer 212 can be any well-known dielectric layer. In an embodiment of the present invention, the gate dielectric layer is a silicon dioxide (S1O2), silicon oxynitride (SiOxNy) or a silicon nitride (S-3N4) dielectric layer.
  • the gate dielectric layer 212 is a silicon oxynitride film formed to a thickness of between 5-20 A.
  • gate dielectric layer 212 is a high K gate dielectric layer, such as a metal oxide dielectric, such as but not limited to tantalum oxide, titantium oxide, halfnium oxide, zirconium oxide, and aluminum oxide.
  • Gate dielectric layer 212 can be other types of high K dielectric, such as but not limited to lead zirconium titanate (PZT).
  • Multiple-channel non-planar transistor 200 has a gate electrode 213 as shown in Figure 2A.
  • Gate electrode 213 is formed on and around gate dielectric layer 212 as shown in Figure 2A.
  • Gate electrode 213 is formed on or adjacent to gate dielectric 212 formed on sidewall 206 of each of the semiconductor bodies 205, is formed on gate dielectric 212 formed on the top surface 208 of each of the semiconductor bodies 205, and is formed adjacent to or on gate dielectric layer 212 formed on sidewall 207 of each of the semiconductor bodies 205.
  • Gate electrode 213 has a pair of laterally opposite sidewalls separated by a distance which defines the gate length (Lg) of transistor 200.
  • the laterally opposite sidewalk of the gate electrode 213 run in a direction perpendicular to the laterally opposite sidewalls 206 and 207 of the semiconductor bodies 205.
  • Gate electrode 213 can be formed of any suitable gate electrode material.
  • the gate electrode 213 comprises polycrystalline silicon doped to a concentration density between IxIO 19 atoms/cm 3 and IxIO 20 atoms/cm 3 .
  • the gate electrode can be a metal gate electrode such as but not limited to tungsten, tantalum, titanium, nickel, cobalt, aluminum, and corresponding nitrides and suicides.
  • the gate is formed from a carbon nanotube.
  • the gate electrode is formed from a material having a mid-gap work function between 4.6-4.9 eV. It is to be appreciated, the gate electrode 213 need not necessarily be a single material and can be a composite stack of thin films such as but not limited to a polycrystalline silicon/metal electrode or a metal/polycrystalline silicon electrode.
  • Multiple-channel non-planar transistor 200 has source regions 216 and drain regions 217 of the semiconductor bodies 205.
  • Source regions 216 and drain regions 217 are formed in the semiconductor bodies 205 on opposite sides of gate electrode 213 as shown in Figure 2A.
  • the source region 216 and the drain region 217 are formed of the same conductivity type such as n-type or p-type conductivity.
  • source region 216 and drain region 217 have a doping concentration of lxl0 19 -lxl0 21 atoms/cm 3 .
  • Source region 216 and drain region 217 can be formed of uniform concentration or can include subregions of different concentrations or doping profiles such as tip regions (e.g., source/drain extensions).
  • source region 216 and drain region 217 can include a silicon or other semiconductor film formed on and around semiconductor bodies 205.
  • semiconductor film can be a silicon film or a silicon alloy such as silicon germanium (SixGey) to form "raised” source and drain regions.
  • a silicide film such as, but not limited to, titanium suicide, nickel W
  • silidde, and cobalt silidde is formed on the source region 216 and drain region 217.
  • silidde film is formed directly on the top surface 208 of the semiconductor bodies 205.
  • the source regions 216 and drain regions 217 are fully silirided (FUSI).
  • the source regions 216 and drain regions 217 of the semiconductor bodies 205 are electrically coupled together by the material used to form semiconductor bodies 205 to form a common source rail or landing pad 218 and a common drain rail or landing pad 219 as shown in Figure 2A.
  • the source regions 216 and drain regions 217 of each of the semiconductor bodies 205 remain electrically isolated from each other and no common source or drain landing pad is formed.
  • channel region is intrinsic or undoped monocrystalline silicon.
  • channel region is doped monocrystalline silicon.
  • channel region is typically doped to a conductivity level of between IxIO 16 to IxIO 19 atoms/cm 3 .
  • the channel region is typically doped to the opposite conductivity type of the source region 216 and the drain region 217. For example, when the source and drain regions are n-type conductivity the channel region would be doped to p-type conductivity.
  • a multiple-channel non-planar transistor 200 can be formed into either an NMOS transistor or a PMOS transistor respectively.
  • Multiple-channel non-planar transistor 200 is encapsulated in an insulating media, or interlayer dielectric (ILD) 222 as shown in Figure 2A.
  • the ILD is a material having a low dielectric constant, such as a film with high porosity or a film of carbon-doped oxide.
  • the ILD is formed from PSG, BPSG, silicon dioxide, silicon nitride, or a composite of these or other commonly known materials.
  • Multiple-channel non-planar transistor 200 is electrically coupled to external devices through the ILD 222 with rectangular block contact structures
  • Contact structures may be of any commonly known conductive material, such as but not limited to aluminum, gold, titantium, tungsten, silver, and carbon nanotubes.
  • the metal contact structures 223 and 226 are copper.
  • the metal contact structures 223 and 226 have additional barrier layers such as but not limited to tantalum, tantalum nitride, titanium, and titanium nitride.
  • the rectangular block contact structures, 223 and 226 may be dimensioned independently of each other. It should also be appreciated that an architecture describing one block contact structure, such as the source contact structure 223, may be independently applied to the architecture of the drain contact structure 226. Therefore, the structures described in various embodiments or shown in Figures 2A-2D can be utilized for either the source or drain contact in any combination.
  • one metal source contact structure 223 contacts the source regions 216 and one metal drain contact structure 226 contacts the drain regions 217 of the multiple semiconductor bodies 205.
  • the metal source contact structure 223 has a width
  • the metal drain contact structure 226 has a width approximately equal to the number of semiconductor bodies 205 multiplied by the pitch of the semiconductor bodies 205 of the transistor and a length approximately equal to the minimum photolithographic feature size.
  • the source contact structure 223 has a width 224 substantially greater than the length 225 while the drain contact structure 226 has a width about equal to the minimum lithographic dimension.
  • drain contact structure 226 may also be so dimensioned to be a block contact having the width substantially greater than the length while the source contact structure has minimum lithographic dimensions.
  • the length 225 of the contact structure 223 may be larger than the minimum lithographic dimension, allowing for misalignment tolerances.
  • the source contact structure 223 makes contact to the common source rail or landing pad 218, as shown in Figure 2A.
  • the metal drain contact 226 makes contact to the common drain rail or landing pad 219 of the multiple-channel non-planar transistor 200.
  • Such a one-dimensional slot can have a lithographically defined length 225 that is smaller than the length of a contact structure which is two-dimensional (having a width 224 approximately equal to a length 225) because of the improved resolution of one-dimensional imaging.
  • multiple source contact structures 223 and 227 contact the common source landing pad 218 and multiple drain contact structures 226 and 230 contact the common drain landing pad, 219.
  • the source contact structures contact the plurality of source regions without a common source landing pad and the drain contact structures contact the plurality of drain regions without a common drain landing pad.
  • the block contact structures have a width 224 substantially greater than a length 225, as shown in Figure 2B.
  • contact structure 223 has a width 224 that differs from the width 228 of contact structure 227.
  • contact structure 223 has a length 225 that differs from the length 229 of contact structure 227.
  • a single metal source contact structure 223 makes contact directly to the plurality of source regions 216 in a self-aligned fashion without a common source landing pad, while drain contacts structure 226 makes contact to the drain regions 217 by means of drain landing pad 219, as shown in Figure 2C.
  • metal drain contact structures make contact directly to the plurality of drain regions without a common drain landing pad.
  • the effective surface area of the metal contact structure is increased by the step height of the non-planar device because the metal contact structure wraps around the non-planar source regions 216 and the non-planar drain regions 217.
  • the non-planar contact will have an increased contact width relative to a linear contact, thereby lowering the contact resistance and lowering the total parasitic resistance of the parallel device.
  • multiple-channel non-planar transistor is electrically coupled to external devices using multiple metal source contact structures 223 and 227 contacting the source regions 216 or source landing pad 218.
  • multiple metal drain contact structures contact the drain regions 217 in a similar fashion.
  • at least one of the metal source contact structures 223 and 227 has a width 224 greater than the pitch of the semiconductor bodies but less than the number of semiconductor bodies multiplied by the pitch of the semiconductor bodies and a length 225 on the order of the minimum lithographic feature size.
  • At least one of the metal drain contact structures 226 have a width greater than the pitch of the semiconductor bodies but less than the number of semiconductor bodies multiplied by the pitch of the semiconductor bodies and a length about the minimum lithographic feature size and contact the drain regions 217 or landing pad 219.
  • multiple source contact structures 223 and 227 contact the source regions 216 and a single metal drain contact structure 226 contacts the drain regions 217 or the landing pad 219, as shown in Figure 2D.
  • a single metal source contact structure contacts the source regions while multiple drain contact structures contact the drain regions of the semiconductor bodies.
  • the single source contact structure contacts the common source landing pad while the multiple drain contact structures contact a plurality of drain regions.
  • the semiconductor bodies have sub-lithographic pitch, not all semiconductor bodies will necessarily be contacted by the contact structures 223 and 227 in this embodiment of the invention.
  • the semiconductor bodies are operating in parallel a failure to contact some of the semiconductor bodies will not necessarily be detrimental to the operation of the overall device if sufficient drive current is achieved by the semiconductor bodies which are contacted by structures 223 and 227.
  • FIG. 3A-3L A method of fabricating a tri-gate transistor in accordance with an embodiment of the present invention is illustrated in Figures 3A-3L.
  • the fabrication of a non-planar transistor begins with substrate 302.
  • a silicon or semiconductor film 304 is formed on substrate 302 as shown in Figure 3A.
  • the substrate 302 is an insulating substrate, such as shown in Figure 3A.
  • insulating substrate 302 includes a lower monocrystalline silicon substrate 301 and an insulating layer 303, such as a silicon dioxide film or silicon nitride film. Insulating layer 303 isolates semiconductor film 304 from substrate 302, and in embodiment is formed to a thickness between 200-200 ⁇ A.
  • Insulating layer 303 is sometimes referred to as a "buried oxide” layer.
  • a silicon or semiconductor film 304 is formed on an insulating substrate 301, a silicon or semiconductor on insulating (SOI) substrate 300 is created.
  • the substrate 302 can be a "bulk” semiconductor substrate, such as but not limited to a silicon monocrystalline substrate and a gallium arsenide substrate.
  • semiconductor layer 304 is merely an upper region of the semiconductor substrate. Therefore, it should be understood that embodiments relating to semiconductor film 304 are also applicable to "bulk” device embodiments utilizing "bulk” substrates.
  • the substrate 302 is a silicon semiconductor substrate having a doped epitaxial layer with either p-type or n-type conductivity with a concentration level between lxl0 16 -lxl0 19 atoms/cm 3 .
  • semiconductor film 304 is ideally a silicon film, in other embodiments it can be other types of semiconductor films, such as but not limited to germanium (Ge), a silicon germanium alloy (SixGey), gallium arsenide (GaAs), InSb, GaP, GaSb, InP as well as carbon nanotubes.
  • semiconductor film 304 is an intrinsic (i.e., undoped) silicon film.
  • semiconductor film 304 is doped to p-type or n-type conductivity with a concentration level between lxl0 16 -lxl0 19 atoms/cm 3 .
  • Semiconductor film 304 can be insitu doped (i.e., doped while it is deposited) or doped after it is formed on substrate 302 by for example ion-implantation. Doping after formation enables both PMOS and NMOS tri-gate devices to be fabricated easily on the same insulating substrate. The doping level of the semiconductor body at this point can determine the doping level of the channel region of the device. [00361 In certain embodiments of the present invention, semiconductor film 304 is formed to a thickness which is approximately equal to the height desired for the subsequently formed semiconductor body or bodies of the fabricated tri-gate transistor. In an embodiment of the present invention, semiconductor film 304 has a thickness or height of less than 30 nanometers and ideally less than 20 nanometers.
  • semiconductor film 304 is formed to the thickness approximately equal to one-third of the gate "length" desired of the fabricated tri-gate transistor. In an embodiment of the present invention, semiconductor film 304 is formed thicker than desired gate length of the device. In certain embodiments of the present invention, semiconductor film 304 is formed to a thickness which will enable the fabricated tri-gate transistor to be operated in a fully depleted manner for its designed gate length (Lg).
  • Semiconductor film 304 can be formed on insulating substrate 302 in any well- known method. In one method of forming a silicon on insulator substrate, known as the separation by implantation of oxygen (SIMOX) technique.
  • SIMOX separation by implantation of oxygen
  • semiconductor film 304 is a portion of the "bulk" semiconductor substrate.
  • isolation regions can be formed into substrate 300 in order to isolate the various transistors to be formed therein from one another. Isolation regions can be formed by etching away portions of the semiconductor film 304 surrounding a tri-gate transistor, by for example well-known photolithographic and etching techniques. If desired, back filling the etched regions with an insulating film, such as SiO. can be performed.
  • semiconductor bodies can be formed from semiconductor film 304 using commonly known photolithography and subtractive etch techniques to define semiconductor bodies.
  • semiconductor bodies have lithographic size and pitch.
  • sub-lithographic fabrication techniques such as spacers can be utilized to form semiconductor bodies having sub-lithographic pitch, as shown in Figures 3B-3F.
  • a first mask layer is formed from a commonly known dielectric or metallic material.
  • the first mask layer is a nitride.
  • the first mask layer is an oxide.
  • the first mask layer is polycrystalline silicon.
  • the first mask layer can be assembled into a pattern of mandrel structures 340 through the use of commonly known photolithography and etching processes.
  • the mandrel structures 340 are shown in cross-sectional view in Figure 3C
  • the mandrels 340 have a height, width, and pitch sufficient for a subsequently formed spacer to have a predetermined pitch and width.
  • the mandrel structures can be given the minimum lithographic pitch 341 and width 342 resolvable by the particular photolithographic equipment used.
  • the mandrel structures are patterned with 193 ran lithography.
  • the mandrel structures have a pitch 341 of approximately 110 ran.
  • the photodefined layer used to define the mandrel 340 is further reduced in dimension by commonly known techniques such as but not limited to isotropically etching with a dry develop or wet etch process.
  • mandrel structures 340 are reduced in dimension after patterning by an isotropic etch process.
  • a second mask layer 351 is formed over the mandrel structures, as shown in Figure 3D.
  • the second mask material is of a type commonly known to be suited to forming a spacer having a property enabling it to withstand the method used to subsequently remove the mandrel.
  • the thickness of the second mask material is selected so as to enable the subsequent formation of a spacer having a predetermined width.
  • the second mask layer 351 can be a commonly known material such as but not limited to a nitride, an oxide, or polycrystalline silicon.
  • the second mask layer 351 can be a commonly known metallic material.
  • Commonly known techniques to deposit second mask layer 351 can be used to achieve the desired step coverage or conf o ⁇ nality needed, such as but not limited to chemical vapor deposition (CVD), plasma enhanced CVD (PECVD), high density plasma (HDP), or atomic layer deposition (ALD).
  • CVD chemical vapor deposition
  • PECVD plasma enhanced CVD
  • HDP high density plasma
  • ALD atomic layer deposition
  • the second mask material can be formed into spacers 352 adjacent to the sidewalls of the mandrels 340 using any commonly known anisotropic etching technique suitable for the second mask material.
  • the mandrels can be removed by any etching technique which selectively removes the mandrels without substantially altering spacers 352.
  • the mandrels are etched away using a commonly known wet chemical etch processes.
  • the mandrels are removed in commonly known plasma etch processes.
  • the spacer structure pitch 353 is about half of the pitch of the mandrel structures.
  • the spacer structures 352 have a pitch on the order of 55 ran.
  • the spacer structures 352 form a pattern or plurality of patterns defining locations where semiconductor bodies or fins will be subsequently formed in the semiconductor film 304.
  • the spacer 352 pattern defines the width 354 desired of the subsequently formed semiconductor bodies or fins of the tri-gate transistor.
  • the spacer structures 352 will have a width 353 less than or equal to 30 nanometers and ideally less than or equal to 20 nanometers.
  • the process of forming the spacer structures 352 could be iterated, each time doubling the number of spacer structures 352 while potentially reducing the spacer pitch 353 and spacer width 354.
  • a photo definable mask (not shown) can be used to augment the spacer structures 352, selectively protecting areas of the semiconductor film 304 which are not already protected by the spacer structures 352 in order to form a tri-gate transistor on substrate 300.
  • the photoresist mask can also define source landing pads and drain landing pads. The landing pads can be used to connect together the various source regions and to connect together the various drain regions of the fabricated transistor.
  • the photoresist mask is further used to define other semiconductor bodies having lithographic pitch.
  • the photoresist mask can be formed by well-known photolithographic techniques including masking, exposing, and developing a blanket deposited photoresist film.
  • semiconductor film 305 is etched in alignment with photoresist mask and spacer structures 352 to form one or more silicon bodies or fins and source or drain landing pads, 318 and 319 respectively, as shown in Figure 3H.
  • semiconductor film 304 in Figure 3G is etched until the underlying buried oxide layer 303 is exposed.
  • semiconductor film 304 is etched to a desired depth.
  • Well-known semiconductor etching techniques such as anisotropic plasma etching or reactive ion etching can be used to define semiconductor bodies 305 as shown in Figure 3H.
  • spacer structures 352 and photo resist can be removed with commonly known techniques.
  • embodiments where semiconductor bodies 305 have lithographic pitch as well as embodiments where semiconductor bodies 305 have sub-lithographic pitch can be both be represented by Figure 3H.
  • a gate dielectric layer as shown in Figure 31 is formed on each semiconductor body 305 in a manner dependent on the type of non-planar device (dual- gate, tri-gate, omega-gate, carbon nanotube).
  • a gate dielectric layer 312 is formed on the top surface of each of the semiconductor bodies 305 as well as on the laterally opposite sidewalls of each of the semiconductor bodies 305.
  • the gate dielectric can be a deposited dielectric or a grown dielectric.
  • the gate dielectric layer 312 is a silicon dioxide dielectric film grown with a dry/wet oxidation process.
  • the silicon oxide film is grown to a thickness of between 5-15A.
  • the gate dielectric film 312 is a deposited dielectric, such as but not limited to a high dielectric constant film, such as metal oxide dielectric, such as tantalum pentaoxide, titanium oxide, halfnium oxide, zirconium oxide, aluminum oxide, or other high-K dielectrics, such as barium strontium titanate (BST).
  • a high dielectric constant film can be formed by well-known techniques, such as by chemical vapor deposition (CVD) and atomic layer deposition (ALD).
  • the gate dielectric can be comprised of a composite of such films.
  • the gate electrode 313 is formed on the gate dielectric layer 312 formed on the top surface of each of the semiconductor bodies 305 and is formed on or adjacent to the gate dielectric 312 formed on or adjacent to the sidewalls of each of the semiconductor bodies 305 as shown in Figure 31.
  • the gate electrode can be formed to a thickness between 200-3000A. In an embodiment the gate electrode has a thickness of at least three times the height of the semiconductor bodies 305.
  • the gate electrode material comprises polycrystalline silicon. In another embodiment of the present invention, the gate electrode material comprises a polycrystalline silicon germanium alloy.
  • the gate electrode material can comprise a metal film, such as nickel, cobalt, tungsten, titanium, tantalum, aluminum, and their nitrides and silicides.
  • the gate electrode can be a carbon nanotube.
  • Gate electrode 313 can be formed by well-known techniques, such as by blanket depositing a gate electrode material over the substrate of and then patterning the gate electrode material with well-known photolithography and etching techniques.
  • the photolithography process used to define gate electrode 313 utilizes the minimum or smallest dimension lithography process used to fabricate the non-planar transistor.
  • a mandrel- type process similar to the one described for defining the semiconductor bodies 305 or commonly known oxidation techniques can be used to form a gate electrode 313 having sub-lithographic dimensions.
  • "replacement gate” methods are used to form the gate electrode 313.
  • source regions 316 and drain regions 317 for the transistor are formed in semiconductor body 305 on opposite sides of gate electrode 313, as shown in Figure 31.
  • the source and drain regions include tip or source/drain extension regions which can be formed by placing dopants into semiconductor bodies of gate electrode. If source and drain landing pads 318 and 319 are utilized, they may be doped at this time also.
  • the semiconductor fins or bodies 305 are doped to p-type conductivity and to a concentration between IxI(P-IxIO 21 atoms/cm 3 .
  • the semiconductor fins or bodies 305 are doped with n-type conductivity ions to a concentration between IxIO 20 - IxIO 21 atoms/cm 3 .
  • the silicon films are doped by ion-implantation.
  • the ion-implantation occurs in a vertical direction.
  • gate electrode 313 is a polysilicon gate electrode, it can be doped during the ion-implantation process. Gate electrode 313 acts as a mask to prevent the ion-implantation step from doping the channel region(s) of the tri-gate transistor.
  • the channel region is the portion of the silicon body 305 located beneath or surrounded by the gate electrode 313.
  • gate electrode 313 is a metal electrode
  • a dielectric hard mask maybe used to block the doping during the ion-implantation process.
  • other methods such as solid source diffusion, may be used to dope the semiconductor body to form source and drain extensions.
  • "halo" regions can be formed in silicon body prior to the formation of a source/drain regions or source/drain extension regions.
  • the substrate can be further processed to form additional features, such as heavily doped source/drain contact regions, deposited silicon or silicon germanium on the source and drain regions as well as the gate electrode, and the formation of suicide on the source/drain contact regions as well as on the gate electrode.
  • dielectric sidewall spacers can be formed on the sidewalk of the gate electrode. Sidewall spacers can be utilized to offset heavy source/drain contact implants, can be used to isolate source/drain regions from the gate electrode during a selective silicon or silicon germanium deposition/growth processes and can be used in a salidde process to form silicide or germanicide on the source and drain regions as well as on the gate electrode.
  • a full siliddation FUSI
  • the device is encapsulated with an insulating layer, or interlayer dielectric (ILD) 322, as shown in Figure 3J, having sufficient thickness to isolate the device.
  • the ILD 322 is commonly known material such as phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), tetraethylorthosilicate (TEOS), silicon dioxide, silicon nitride, or a composite of such materials.
  • the ILD 322 is a material having a lower dielectric constant than silicon dioxide, such as but not limited to a porous dielectric material, or carbon-doped silicate dielectric material.
  • the ILD can be blanket deposited by commonly known processes such as but not limited to low pressure chemical vapor deposition (LPCVD), PECVD, and HDP.
  • the ILD 322 is patterned and etched to define the location of openings for the metal contact structures 360 and 363, as shown in Figure 3K.
  • the photolithography process used to define contact openings 360 and 363 have a minimum lithographic pitch greater than the pitch of the semiconductor bodies 305.
  • the photolithography process used to define contact openings 360 and 363 is 193 ran.
  • the contact openings 360 and 363 are formed having a width dimension 361 that is approximately equal to the number of semiconductor bodies 305 multiplied by the pitch of the semiconductor bodies 305 and a length 362 approximately equal to the minimum photolithographic feature size.
  • the contact openings 360 and 363 have a width 361 greater than the pitch of the semiconductor bodies 305 but less than the number of semiconductor bodies 305 multiplied by the pitch of the semiconductor bodies 305 and a length 362 on the order of the minimum lithographic feature size. In certain embodiments of the present invention, the contact openings 360 and 363 have a width 361 significantly greater than length 362. In certain embodiments of the present invention, the contact openings 360 and 363 can be imaged as "one-dimensional" slots which can have a length 362 smaller than a length that would be possible if the contact opening was two-dimensional (having a width approximately the same as the length). In other embodiments of the present invention, the dimensions of the contact openings 360 and 363 are not equal.
  • contact openings 360 and 363 terminate on the source landing pad 318 or drain landing pad 319, respectively.
  • the contact openings 360 and 363 are positioned to expose the plurality of source regions 316 and plurality of drain regions 317 respectively.
  • the contact openings are etched into ILD 322 with a commonly known anisotropic plasma or reactive ion etching process having sufficient selectivity to the semiconductor source regions 316 and drain regions 317 that the ILD 322 is completely removed to expose the non-planar source regions 316 and non-planar drain regions 317 (or landing pads 318 and 319).
  • the contact openings 360 are filled with metallization to form metal contact structures 323 and 326 as shown in Figure 3L.
  • the contact structures 323 and 326 can be formed from a commonly known conductive material, such as but not limited to copper, tungsten, aluminum, gold, or carbon nanotubes.
  • the filling of the contact openings 360 is performed by any currently known technique, such as but not limited to physical vapor deposition (PVD), CVD, ALD, electroless or electrolytic plating, or a combination of these techniques.
  • the method of filling the contact openings 360 can include the deposition of a commonly known barrier layer such as but not limited to tantalum or tantalum nitride, or other intermetallics.
  • the method of filling the contact openings 360 can include the deposition of a commonly known seed layer such as but not limited to copper, titanium, or other intermetallics.
  • the conductive material used to form the metal contact structures 323 and 326 as shown in Figure 3L is polished back using commonly known electrolytic, chemical, mechanical removal means, or a combination thereof.
  • a chemical mechanical polish (CMP) process is used in a damascene or dual damascene technique.
  • CMP chemical mechanical polish
  • the conductive contact structures can 323 and 326 be planarized to be substantially level with the ILD 322 and subsequently interconnected with additional levels of metallization, if desired.

Abstract

A contact architecture for nanoscale channel devices having contact structures coupling to and extending between source or drain regions of a device having a plurality of parallel semiconductor bodies. The contact structures being able to contact parallel semiconductor bodies having sub-lithographic pitch.

Description

BLOCK CONTACT ARCHITECTURES FOR NANOSCALE CHANNEL TRANSISTORS
BACKGROUND OF THE INVENTION
1. FIELD OF THE INVENTION
[001] The present invention relates to the field of semiconductor integrated circuit manufacturing, and more particularly to contact structures for nanoscale channel devices.
2. DISCUSSION OF RELATED ART
[002] Advances in semiconductor devices and the ongoing quest for miniaturization of the semiconductor devices lead to a demand for better fabrication processes for ever smaller structures because smaller devices typically equate to faster switching times, which lead to increased performance.
[003] In order to achieve this increased device performance, smaller device channel lengths are required and so many non-planar device configurations such as dual-gate, FirtFET, tri-gate and omega-gate on both bulk silicon substrates and silicon on insulator (SOI) substrates have been proposed. To fabricate nanoscale transistors having an arbitrarily large drive current, device architecture can include an additional or multiple semiconductor bodies or fingers, creating multiple parallel channels. Figure 1 is a perspective illustration of a multi-channel tri-gate transistor on silicon on insulator 102. A multi-channel transistor 100 includes a single crystalline silicon substrate 101 having an insulating layer 103, such as a buried oxide formed thereon. On the insulating layer, multiple semiconductor bodies or fingers 105 are formed as shown in Figure 1. A gate dielectric layer 112 is formed on the multiple semiconductor bodies 105 and a gate electrode 113 formed on the gate dielectric 112, strapping across the multiple semiconductor bodies 105. Source 116 and drain 117 regions are formed in the single crystalline semiconductor layer along laterally opposite sides of gate electrode 113. [004] For a typical tri-gate device, each semiconductor body 105 has a gate dielectric layer 112 formed on its top surface and sidewalls as shown in Figure 1. Gate electrode 113 is formed on and adjacent to each gate dielectric 112 on each of the semiconductor bodies 105. Each semiconductor body 105 also includes a source region 116 and a drain region 117 formed in the semiconductor body 105 on opposite sides of gate electrode 113 as shown in Figure 1. The source regions 116 and drain regions 117 of the semiconductor bodies 105 are electrically coupled together by the semiconductor material used to form semiconductor bodies 105 to form a source landing pad 118 and a drain landing pad 119 as shown in Figure 1. The source landing pad 118 and drain landing pad 119 are each electrically coupled though metal contact structures 123 to upper levels of interconnect metallization (e.g., metal 1, metal 2, metal 3 .. . ) used to electrically interconnect various transistors 100 together into functional circuits. As shown in Figure 1, a pair of metal contact structures 123 is provided for each of the semiconductor bodies 105, a first metal contact structure for the source region 116 and a second metal contact for the drain region 117 in order to maintain the parallel circuit architecture of the entire transistor. [005] With the metal contact architecture shown in Figure 1, as the pitch of the semiconductor bodies 105 decreases, the pitch 110 of the metal contact structures 123 must also decrease. If the reduction in pitch 110 of the metal contact structures 123 fails to keep pace with the reduction in pitch of the parallel semiconductor bodies, the total resistance of the metal contact structures, the external resistance (Rext), becomes a significant contributor to the overall parasitic resistance of the device 100. Thus, the metal contact structures 123 are constrained by the minimum photolithographic pitch of the metal contact structures 123, causing Rext to increase as the pitch of the semiconductor bodies 105 decreases below the minimum photolithographic pitch of the metal contact structures 123. BRIEF DESCRIPTION OF TEiE DRAWINGS
[0061 Figure 1 is an illustration of a perspective view of a conventional multi-channel non-planar transistor.
[007] Figure 2A is an illustration of a perspective view of a multi-channel non-planar transistor having a metal contact architecture in accordance with the present invention.
1008] Figure 2B is an illustration of a perspective view of a multi-channel non-planar transistor having a metal contact architecture in accordance with the present invention.
[009] Figure 2C is an illustration of a perspective view of a multi-channel non-planar transistor having a metal contact architecture in accordance with the present invention.
[0010] Figure 2D is an illustration of a perspective view of a multi-channel non-planar transistor having a metal contact architecture in accordance with the present invention.
[0011] Figure 3A-3L are illustrations of perspective and cross sectional views of a method of fabricating a multi-channel non-planar transistor having a contact architecture in accordance with the present invention.
DETAILED DESCRIPTION OF THE PRESENT INVENTION
[0012] A novel contact structure for multiple-channel, non-planar transistors and its method of fabrication is described. In the following description numerous specific details are set forth, such as specific materials, dimension and processes, etc. in order to provide a thorough understanding of the present invention. In other instances, well-known semiconductor process and manufacturing techniques have not been described in particular detail in order to not unnecessarily obscure the present invention. [0013] Embodiments of the present invention include device contact architectures where rectangular metal structures contact the source or drain regions of non-planar transistors having a plurality of semiconductor bodies with channels controlled in parallel by a single gate electrode. Embodiments of the present invention include device contact architectures where at least one metal drain contact structure is coupled to and extends between drain regions of the plurality of semiconductor bodies and at least one metal contact structure is coupled to and extends between source regions of the plurality of semiconductor bodies of multi-channel non-planar transistors. Because the rectangular block contact architecture contacts a plurality of semiconductor bodies, the external resistance (Rext) of the multichannel non-planar device is decreased by reducing current crowding at the source and drain ends of the plurality of semiconductor bodies. In this manner the rectangular block architecture increases the transistor switching speed.
[0014] Typically, individual transistor devices have provided enough absolute current to drive circuit logic functions. However, as transistor channel widths shrink to nanometer size, the absolute current carried by a single transistor decreases as well. So, while nanometer size devices have improved speed, their absolute current is no longer sufficient to drive an appreciable load, limiting the applications for an individual nanometer transistor. Therefore, it is advantageous for nanoscale devices having nanometer channels to be configured and operated in parallel, enabling a collective of nanoscale devices to operate at the speed of an individual nanometer channel device and provide sufficient absolute current to drive appredable loads. Nanoscale devices operated in parallel to achieve the necessary drive current require a form factor at least as small as the larger individual transistor device that provides an equivalent amount of absolute current. This requirement is necessary to avoid sacrificing logic-level integration for the improvement in switching speed of the nanometer channel devices and can be described as layout efficiency. Layout efficiency is a ratio of the absolute current carrying width (Z) of a parallel non-planar device layout to that of the typical planar device occupying the same layout width. Because individual non-planar nanoscale transistors increase the effective current carrying width (Z) relative to an individual planar device occupying the same layout width, the layout efficiency of a single non-planar device is significantly greater man 100 percent. However, as previously stated, the dimensional shrink enabled by the non- planar architecture results in a relatively low absolute current, and so many such non- planar devices may be operated in a parallel configuration. Unless the pitch between the parallel non-planar nanoscale transistors is less than the minimum pitch of the planar transistor, the layout width required to delineate individual non-planar devices can decrease the layout efficiency to below 100 percent. Thus, the total current carrying width of the parallel non-planar device will still be lower than that of individual planar devices unless the pitch of the non-planar devices shrinks proportionally with size of the channel. Since, the typical planar transistor has a channel pitch on the order of the minimum lithographic pitch of the metal contact features, it may be necessary to reduce the non- planar nanoscale transistor pitch to sub-lithographic levels by relying on non-lithographic fabrication techniques, such as spacers and self-alignment, to define the individual nanoscale transistor bodies. The use of such techniques can enable layout efficiencies significantly greater than 100 percent for a multiple nanoscale channel device however it is then impossible to delineate or print lithographically an individual source and drain contact structure for each transistor channel as has always been done for the planar transistor having a minimum lithographic pitch. Furthermore, even if the non-planar transistor bodies are printed by conventional lithography it may be unpractically expensive or difficult to achieve the critical dimension control required by conventional contact architecture. Unlike the conventional contact architecture, embodiments of the present invention are not constrained by the minimum lithographic pitch, and do not require sharing minimum-sized contact structures between multiple nanoscale transistors. Embodiments of the present invention reduce the current through the metal contact structure and decrease the Rext of the device, increasing device switching speed. [0015] An example of a multiple-channel non-planar transistor 200 with a metal contact architecture in accordance with an embodiment of the present invention as illustrated in Figure 2A. Though the non-planar transistor 200 shown in Figure 2A is a tri-gate device, other non-planar multiple-channel transistor designs such as but not limited to dual-gate, omega-gate, semiconductor nanowire, and carbon nanotube devices are also embodiments of the present invention. Multiple-channel non-planar transistor 200 is formed on a substrate 202. In certain embodiments of the present invention, substrate 202 is an insulating substrate which includes a lower monocrystalline silicon substrate 201 upon which is formed an insulating layer 203, such as a silicion dioxide film. Multiple-channel non-planar transistor 200, however, can be formed on any well-known insulating substrate such as substrates formed from silicon oxide, nitride, carbides, and sapphire. In certain embodiments of the present invention, the substrate 202 can be a "bulk" semiconductor substrate, such as but not limited to monocrystalline silicon substrate and gallium arsenide substrate. A "bulk" semiconductor substrate merely has no insulating layer 203. In an embodiment of the present invention, the substrate 202 is a silicon semiconductor substrate having a doped epitaxial layer with either p-type or n-type conductivity with a concentration level between lxl016-lxl019 atoms/cm3.
[0016] In an embodiment of the present invention, multiple-channel non-planar transistor 200 includes a plurality of semiconductor bodies 205 formed on insulator 203 of insulating substrate 202. Although Figure 2A shows a tri-gate embodiment of the present invention, it should be appreciated that additional embodiments of non-planar transistors are possible such as but not limited to dual-gate, FinFET, omega-gate, carbon nanotube designs. Semiconductor bodies 205 can be formed of any well-known semiconductor material, such as but not limited to silicon (Si), germanium (Ge), silicon germanium (SixGey), gallium arsenide (GaAs), indium antimonide (InSb), gallium phoshide (GaP), gallium antimonide (GaSb), indium phosphide (InP) and carbon nanotubes. Semiconductor bodies 205 can be formed of any well-known material which can be reversibly altered from an insulating state to a conductive state by applying external electrical controls. Semiconductor bodies 205 are ideally a single crystalline film when the best electrical performance of transistor 200, is desired. For example, semiconductor bodies 205 are a single crystalline film when transistor 200 is used in high performance applications, such as in a high density circuit, such as a microprocessor. Semiconductor bodies 205, however, can be a polycrystalline film when transistor 200 is used in applications requiring less stringent performance, such as in liquid crystal displays. In an embodiment of the present invention, insulator 203 insulates semiconductor bodies 205 from monocrystalline silicon substrate 201. In an embodiment of the present invention, semiconductor bodies 205 are a single crystalline silicon film. In an embodiment of the invention where a "bulk" substrate is used, semiconductor bodies 205 are formed from an upper region of the "bulk" semiconductor substrate. Semiconductor bodies 205 have a pair of laterally opposite sidewalls 206 and 207 separated by a distance which defines an individual semiconductor body or finger width. Additionally, semiconductor bodies 205 have a top surface 208 opposite a bottom surface formed on substrate 202. The distance between the top surface 208 and the bottom surface defines an individual semiconductor body height. In an embodiment of the present invention, the individual body height is substantially equal to the individual semiconductor body width. In an embodiment of the present invention, the individual semiconductor body 205 has a width and a height less than 30 nanometers and ideally less than 20 nanometers. In an embodiment of the present invention, the individual semiconductor body height is between half the individual semiconductor body width to twice the individual semiconductor body width. In an embodiment of the present invention, the spacing between two adjacent semiconductor bodies is less than 30 nanometers and ideally less than 20 nanometers. In an embodiment of the present invention, the spacing between two adjacent semiconductor bodies is less than the individual semiconductor body width. In an embodiment of the present invention, the pitch of the semiconductor bodies, the distance between the sidewall 206 of a semiconductor body and the sidewall 206 of an adjacent semiconductor body, is sub- lithographic. In an embodiment of the present invention, the pitch of the semiconductor bodies is less than llOnm.
[0017] Multiple-channel non-planar transistor 200 has a gate dielectric layer 212. Gate dielectric layer 212 is formed on and around three sides of semiconductor body 205 as shown in Figure 2A. Gate dielectric layer 212 is formed on or adjacent to sidewall 206, on top surface 208 and on or adjacent to sidewall 207 of the semiconductor bodies 205 as shown in Figure 2A. Gate dielectric layer 212 can be any well-known dielectric layer. In an embodiment of the present invention, the gate dielectric layer is a silicon dioxide (S1O2), silicon oxynitride (SiOxNy) or a silicon nitride (S-3N4) dielectric layer. In an embodiment of the present invention, the gate dielectric layer 212 is a silicon oxynitride film formed to a thickness of between 5-20 A. In an embodiment of the present invention, gate dielectric layer 212 is a high K gate dielectric layer, such as a metal oxide dielectric, such as but not limited to tantalum oxide, titantium oxide, halfnium oxide, zirconium oxide, and aluminum oxide. Gate dielectric layer 212 can be other types of high K dielectric, such as but not limited to lead zirconium titanate (PZT).
[0018] Multiple-channel non-planar transistor 200 has a gate electrode 213 as shown in Figure 2A. Gate electrode 213 is formed on and around gate dielectric layer 212 as shown in Figure 2A. Gate electrode 213 is formed on or adjacent to gate dielectric 212 formed on sidewall 206 of each of the semiconductor bodies 205, is formed on gate dielectric 212 formed on the top surface 208 of each of the semiconductor bodies 205, and is formed adjacent to or on gate dielectric layer 212 formed on sidewall 207 of each of the semiconductor bodies 205. Gate electrode 213 has a pair of laterally opposite sidewalls separated by a distance which defines the gate length (Lg) of transistor 200. In an embodiment of the present invention, the laterally opposite sidewalk of the gate electrode 213 run in a direction perpendicular to the laterally opposite sidewalls 206 and 207 of the semiconductor bodies 205.
[0019] Gate electrode 213 can be formed of any suitable gate electrode material. In an embodiment of the present invention, the gate electrode 213 comprises polycrystalline silicon doped to a concentration density between IxIO19 atoms/cm3 and IxIO20 atoms/cm3. In an embodiment of the present invention, the gate electrode can be a metal gate electrode such as but not limited to tungsten, tantalum, titanium, nickel, cobalt, aluminum, and corresponding nitrides and suicides. In an embodiment of the present invention, the gate is formed from a carbon nanotube. In an embodiment of the present invention, the gate electrode is formed from a material having a mid-gap work function between 4.6-4.9 eV. It is to be appreciated, the gate electrode 213 need not necessarily be a single material and can be a composite stack of thin films such as but not limited to a polycrystalline silicon/metal electrode or a metal/polycrystalline silicon electrode.
[0020] Multiple-channel non-planar transistor 200, as shown in Figure 2A, has source regions 216 and drain regions 217 of the semiconductor bodies 205. Source regions 216 and drain regions 217 are formed in the semiconductor bodies 205 on opposite sides of gate electrode 213 as shown in Figure 2A. The source region 216 and the drain region 217 are formed of the same conductivity type such as n-type or p-type conductivity. In an embodiment of the present invention, source region 216 and drain region 217 have a doping concentration of lxl019-lxl021 atoms/cm3. Source region 216 and drain region 217 can be formed of uniform concentration or can include subregions of different concentrations or doping profiles such as tip regions (e.g., source/drain extensions). [0021] In an embodiment of the present invention, source region 216 and drain region 217 can include a silicon or other semiconductor film formed on and around semiconductor bodies 205. For example, semiconductor film can be a silicon film or a silicon alloy such as silicon germanium (SixGey) to form "raised" source and drain regions. In an embodiment of the present invention, a silicide film, such as, but not limited to, titanium suicide, nickel W
silidde, and cobalt silidde is formed on the source region 216 and drain region 217. In an embodiment of the present invention, silidde film is formed directly on the top surface 208 of the semiconductor bodies 205. In an embodiment of the present invention, the source regions 216 and drain regions 217 are fully silirided (FUSI).
[0022] In an embodiment of the present invention, the source regions 216 and drain regions 217 of the semiconductor bodies 205 are electrically coupled together by the material used to form semiconductor bodies 205 to form a common source rail or landing pad 218 and a common drain rail or landing pad 219 as shown in Figure 2A. In an alternate embodiment the source regions 216 and drain regions 217 of each of the semiconductor bodies 205 remain electrically isolated from each other and no common source or drain landing pad is formed.
[0023] The portion of semiconductor body 205 located between source region 216 and drain region 217, defines one channel region of the multiple-channel non-planar transistor 200 and is surrounded by the gate electrode 213. In an embodiment of the present invention, channel region is intrinsic or undoped monocrystalline silicon. In an embodiment of the present invention, channel region is doped monocrystalline silicon. When channel region is doped it is typically doped to a conductivity level of between IxIO16 to IxIO19 atoms/cm3. In an embodiment of the present invention, when the channel region is doped it is typically doped to the opposite conductivity type of the source region 216 and the drain region 217. For example, when the source and drain regions are n-type conductivity the channel region would be doped to p-type conductivity. Similarly, when the source and drain regions are p-type conductivity the channel region would be n-type conductivity. In this manner a multiple-channel non-planar transistor 200 can be formed into either an NMOS transistor or a PMOS transistor respectively. [0024] Multiple-channel non-planar transistor 200 is encapsulated in an insulating media, or interlayer dielectric (ILD) 222 as shown in Figure 2A. In an embodiment of the present invention, the ILD is a material having a low dielectric constant, such as a film with high porosity or a film of carbon-doped oxide. In an embodiment of the present invention, the ILD is formed from PSG, BPSG, silicon dioxide, silicon nitride, or a composite of these or other commonly known materials.
[0025] Multiple-channel non-planar transistor 200, as shown in Figure 2A, is electrically coupled to external devices through the ILD 222 with rectangular block contact structures
223 and 226. Contact structures may be of any commonly known conductive material, such as but not limited to aluminum, gold, titantium, tungsten, silver, and carbon nanotubes. In an embodiment of the present invention, the metal contact structures 223 and 226 are copper. In an embodiment of the present invention, the metal contact structures 223 and 226 have additional barrier layers such as but not limited to tantalum, tantalum nitride, titanium, and titanium nitride.
[0026] It is to be appreciated that the rectangular block contact structures, 223 and 226 may be dimensioned independently of each other. It should also be appreciated that an architecture describing one block contact structure, such as the source contact structure 223, may be independently applied to the architecture of the drain contact structure 226. Therefore, the structures described in various embodiments or shown in Figures 2A-2D can be utilized for either the source or drain contact in any combination. [0027] In an embodiment of the present invention, as shown in Figure 2A, one metal source contact structure 223 contacts the source regions 216 and one metal drain contact structure 226 contacts the drain regions 217 of the multiple semiconductor bodies 205. In an embodiment of the present invention, the metal source contact structure 223 has a width
224 approximately equal to the number of semiconductor bodies 205 multiplied by the pitch of the semiconductor bodies 205 of the transistor and a length 225 approximately equal to the minimum photolithographic feature size. In an embodiment of the present invention, the metal drain contact structure 226 has a width approximately equal to the number of semiconductor bodies 205 multiplied by the pitch of the semiconductor bodies 205 of the transistor and a length approximately equal to the minimum photolithographic feature size. In a further embodiment of the present invention the source contact structure 223 has a width 224 substantially greater than the length 225 while the drain contact structure 226 has a width about equal to the minimum lithographic dimension. Similarly, drain contact structure 226 may also be so dimensioned to be a block contact having the width substantially greater than the length while the source contact structure has minimum lithographic dimensions. In embodiments of the invention, the length 225 of the contact structure 223 may be larger than the minimum lithographic dimension, allowing for misalignment tolerances.
[0028] In an embodiment of the present invention, the source contact structure 223 makes contact to the common source rail or landing pad 218, as shown in Figure 2A. In an embodiment of the present invention, the metal drain contact 226 makes contact to the common drain rail or landing pad 219 of the multiple-channel non-planar transistor 200. [0029] By dimensioning single contact structures in the manners stated, the minimum pitch of the lithography used to define the location of the metal block contact structures no longer constrains device design even when the minimum pitch of the semiconductor bodies 205 is sub-lithographic. Furthermore, as the metal block contact structure width, 224 becomes significantly greater than the length 225, the block contact structure 223 begins to approximate a one-dimensional slot. Such a one-dimensional slot can have a lithographically defined length 225 that is smaller than the length of a contact structure which is two-dimensional (having a width 224 approximately equal to a length 225) because of the improved resolution of one-dimensional imaging. [0030] In an embodiment of the present invention, as shown in Figure 2B, multiple source contact structures 223 and 227 contact the common source landing pad 218 and multiple drain contact structures 226 and 230 contact the common drain landing pad, 219. In a further embodiment of the present invention the source contact structures contact the plurality of source regions without a common source landing pad and the drain contact structures contact the plurality of drain regions without a common drain landing pad. In an embodiment of the present invention, the block contact structures have a width 224 substantially greater than a length 225, as shown in Figure 2B. In an embodiment of the present invention, contact structure 223 has a width 224 that differs from the width 228 of contact structure 227. In an embodiment of the present invention, contact structure 223 has a length 225 that differs from the length 229 of contact structure 227. 10031] In an embodiment of the present invention, a single metal source contact structure 223 makes contact directly to the plurality of source regions 216 in a self-aligned fashion without a common source landing pad, while drain contacts structure 226 makes contact to the drain regions 217 by means of drain landing pad 219, as shown in Figure 2C. In a similar fashion, metal drain contact structures make contact directly to the plurality of drain regions without a common drain landing pad. In this manner the effective surface area of the metal contact structure is increased by the step height of the non-planar device because the metal contact structure wraps around the non-planar source regions 216 and the non-planar drain regions 217. Much like the non-planar transistor has an increased the channel width, the non-planar contact will have an increased contact width relative to a linear contact, thereby lowering the contact resistance and lowering the total parasitic resistance of the parallel device.
[0032] In certain embodiments of the present invention, multiple-channel non-planar transistor, as shown in Figure 2D, is electrically coupled to external devices using multiple metal source contact structures 223 and 227 contacting the source regions 216 or source landing pad 218. In a further embodiment of the present invention multiple metal drain contact structures contact the drain regions 217 in a similar fashion. In an embodiment of the present invention, at least one of the metal source contact structures 223 and 227 has a width 224 greater than the pitch of the semiconductor bodies but less than the number of semiconductor bodies multiplied by the pitch of the semiconductor bodies and a length 225 on the order of the minimum lithographic feature size. In other embodiments of the present invention, at least one of the metal drain contact structures 226 have a width greater than the pitch of the semiconductor bodies but less than the number of semiconductor bodies multiplied by the pitch of the semiconductor bodies and a length about the minimum lithographic feature size and contact the drain regions 217 or landing pad 219. [0033] In an embodiment of the present invention, multiple source contact structures 223 and 227 contact the source regions 216 and a single metal drain contact structure 226 contacts the drain regions 217 or the landing pad 219, as shown in Figure 2D. In certain embodiments of the present invention, a single metal source contact structure contacts the source regions while multiple drain contact structures contact the drain regions of the semiconductor bodies. In an embodiment of the present invention, the single source contact structure contacts the common source landing pad while the multiple drain contact structures contact a plurality of drain regions. In this manner it is possible to perform basic fan-out, adder, or other logic operations within a parallel device and take advantage of the superior layout efficiency afforded by utilizing transistors having a sub-lithographic pitch, as previously stated. As the semiconductor bodies have sub-lithographic pitch, not all semiconductor bodies will necessarily be contacted by the contact structures 223 and 227 in this embodiment of the invention. However, because the semiconductor bodies are operating in parallel a failure to contact some of the semiconductor bodies will not necessarily be detrimental to the operation of the overall device if sufficient drive current is achieved by the semiconductor bodies which are contacted by structures 223 and 227. [0034] A method of fabricating a tri-gate transistor in accordance with an embodiment of the present invention is illustrated in Figures 3A-3L. The fabrication of a non-planar transistor begins with substrate 302. A silicon or semiconductor film 304 is formed on substrate 302 as shown in Figure 3A. In an embodiment of the present invention, the substrate 302 is an insulating substrate, such as shown in Figure 3A. In an embodiment of the present invention, insulating substrate 302 includes a lower monocrystalline silicon substrate 301 and an insulating layer 303, such as a silicon dioxide film or silicon nitride film. Insulating layer 303 isolates semiconductor film 304 from substrate 302, and in embodiment is formed to a thickness between 200-200θA. Insulating layer 303 is sometimes referred to as a "buried oxide" layer. When a silicon or semiconductor film 304 is formed on an insulating substrate 301, a silicon or semiconductor on insulating (SOI) substrate 300 is created. In other embodiments of the present invention, the substrate 302 can be a "bulk" semiconductor substrate, such as but not limited to a silicon monocrystalline substrate and a gallium arsenide substrate. In an embodiment of the invention where a "bulk" substrate is used/ semiconductor layer 304 is merely an upper region of the semiconductor substrate. Therefore, it should be understood that embodiments relating to semiconductor film 304 are also applicable to "bulk" device embodiments utilizing "bulk" substrates. In certain embodiments of the present invention, the substrate 302 is a silicon semiconductor substrate having a doped epitaxial layer with either p-type or n-type conductivity with a concentration level between lxl016-lxl019 atoms/cm3.
[0035] Although semiconductor film 304 is ideally a silicon film, in other embodiments it can be other types of semiconductor films, such as but not limited to germanium (Ge), a silicon germanium alloy (SixGey), gallium arsenide (GaAs), InSb, GaP, GaSb, InP as well as carbon nanotubes. In an embodiment of the present invention, semiconductor film 304 is an intrinsic (i.e., undoped) silicon film. In other embodiments, semiconductor film 304 is doped to p-type or n-type conductivity with a concentration level between lxl016-lxl019 atoms/cm3. Semiconductor film 304 can be insitu doped (i.e., doped while it is deposited) or doped after it is formed on substrate 302 by for example ion-implantation. Doping after formation enables both PMOS and NMOS tri-gate devices to be fabricated easily on the same insulating substrate. The doping level of the semiconductor body at this point can determine the doping level of the channel region of the device. [00361 In certain embodiments of the present invention, semiconductor film 304 is formed to a thickness which is approximately equal to the height desired for the subsequently formed semiconductor body or bodies of the fabricated tri-gate transistor. In an embodiment of the present invention, semiconductor film 304 has a thickness or height of less than 30 nanometers and ideally less than 20 nanometers. In another embodiment of the present invention, semiconductor film 304 is formed to the thickness approximately equal to one-third of the gate "length" desired of the fabricated tri-gate transistor. In an embodiment of the present invention, semiconductor film 304 is formed thicker than desired gate length of the device. In certain embodiments of the present invention, semiconductor film 304 is formed to a thickness which will enable the fabricated tri-gate transistor to be operated in a fully depleted manner for its designed gate length (Lg). [0037] Semiconductor film 304 can be formed on insulating substrate 302 in any well- known method. In one method of forming a silicon on insulator substrate, known as the separation by implantation of oxygen (SIMOX) technique. Another technique currently used to form SOI substrates is an epitaxial silicon film transfer technique which is generally referred to as bonded SOI. In certain embodiments of the present invention , semiconductor film 304 is a portion of the "bulk" semiconductor substrate. [0038] At this time, if desired, isolation regions (not shown) can be formed into substrate 300 in order to isolate the various transistors to be formed therein from one another. Isolation regions can be formed by etching away portions of the semiconductor film 304 surrounding a tri-gate transistor, by for example well-known photolithographic and etching techniques. If desired, back filling the etched regions with an insulating film, such as SiO. can be performed.
[0039] At this time, semiconductor bodies can be formed from semiconductor film 304 using commonly known photolithography and subtractive etch techniques to define semiconductor bodies. In certain embodiments of the present invention , semiconductor bodies have lithographic size and pitch. In certain embodiments of the present invention, sub-lithographic fabrication techniques such as spacers can be utilized to form semiconductor bodies having sub-lithographic pitch, as shown in Figures 3B-3F. In one method, a first mask layer is formed from a commonly known dielectric or metallic material. In an embodiment of the present invention, the first mask layer is a nitride. In an embodiment of the present invention, the first mask layer is an oxide. In another embodiment of the present invention, the first mask layer is polycrystalline silicon. As shown from a perspective view in Figure 3B, the first mask layer can be denned into a pattern of mandrel structures 340 through the use of commonly known photolithography and etching processes. The mandrel structures 340 are shown in cross-sectional view in Figure 3C The mandrels 340 have a height, width, and pitch sufficient for a subsequently formed spacer to have a predetermined pitch and width. In certain embodiments of the present invention, the mandrel structures can be given the minimum lithographic pitch 341 and width 342 resolvable by the particular photolithographic equipment used. In an embodiment of the present invention, the mandrel structures are patterned with 193 ran lithography. In an embodiment of the present invention, the mandrel structures have a pitch 341 of approximately 110 ran. In an embodiment of the present invention, the photodefined layer used to define the mandrel 340 is further reduced in dimension by commonly known techniques such as but not limited to isotropically etching with a dry develop or wet etch process. In a further embodiment of the present invention, mandrel structures 340 are reduced in dimension after patterning by an isotropic etch process. [0040] In certain embodiments of the present invention, a second mask layer 351 is formed over the mandrel structures, as shown in Figure 3D. The second mask material is of a type commonly known to be suited to forming a spacer having a property enabling it to withstand the method used to subsequently remove the mandrel. The thickness of the second mask material is selected so as to enable the subsequent formation of a spacer having a predetermined width. In an embodiment of the present invention, the second mask layer 351 can be a commonly known material such as but not limited to a nitride, an oxide, or polycrystalline silicon. The second mask layer 351 can be a commonly known metallic material. Commonly known techniques to deposit second mask layer 351 can be used to achieve the desired step coverage or conf oπnality needed, such as but not limited to chemical vapor deposition (CVD), plasma enhanced CVD (PECVD), high density plasma (HDP), or atomic layer deposition (ALD).
[0041] As shown in Figure 3E, the second mask material can be formed into spacers 352 adjacent to the sidewalls of the mandrels 340 using any commonly known anisotropic etching technique suitable for the second mask material.
[0042] At this point the mandrels can be removed by any etching technique which selectively removes the mandrels without substantially altering spacers 352. In an embodiment of the present invention, the mandrels are etched away using a commonly known wet chemical etch processes. In another embodiment of the present invention, the mandrels are removed in commonly known plasma etch processes. Once the mandrels have been removed, spacer structures 352 having a predetermined pitch 353 and width 354 remain, as shown in a cross-sectional view in Figure 3F. In an embodiment of the present invention, the pitch 353 of the spacer structures 352 is sub-lithographic. In an embodiment of the present invention, the spacer structure pitch 353 is about half of the pitch of the mandrel structures. In an embodiment of the present invention, the spacer structures 352 have a pitch on the order of 55 ran. As shown in perspective view in Figure 3G, the spacer structures 352 form a pattern or plurality of patterns defining locations where semiconductor bodies or fins will be subsequently formed in the semiconductor film 304. The spacer 352 pattern defines the width 354 desired of the subsequently formed semiconductor bodies or fins of the tri-gate transistor. In an embodiment of the present invention, the spacer structures 352 will have a width 353 less than or equal to 30 nanometers and ideally less than or equal to 20 nanometers. As can be appreciated by one of ordinary skill in the art, the process of forming the spacer structures 352 could be iterated, each time doubling the number of spacer structures 352 while potentially reducing the spacer pitch 353 and spacer width 354.
[0043] At this time, if desired, a photo definable mask (not shown) can be used to augment the spacer structures 352, selectively protecting areas of the semiconductor film 304 which are not already protected by the spacer structures 352 in order to form a tri-gate transistor on substrate 300. The photoresist mask can also define source landing pads and drain landing pads. The landing pads can be used to connect together the various source regions and to connect together the various drain regions of the fabricated transistor. In certain embodiments of the present invention, the photoresist mask is further used to define other semiconductor bodies having lithographic pitch. The photoresist mask can be formed by well-known photolithographic techniques including masking, exposing, and developing a blanket deposited photoresist film. After forming photoresist mask, semiconductor film 305 is etched in alignment with photoresist mask and spacer structures 352 to form one or more silicon bodies or fins and source or drain landing pads, 318 and 319 respectively, as shown in Figure 3H. In certain embodiments of the present invention, semiconductor film 304 in Figure 3G is etched until the underlying buried oxide layer 303 is exposed. In embodiments of the present invention where a "bulk" substrate is used, semiconductor film 304 is etched to a desired depth. Well-known semiconductor etching techniques, such as anisotropic plasma etching or reactive ion etching can be used to define semiconductor bodies 305 as shown in Figure 3H. At this time, spacer structures 352 and photo resist can be removed with commonly known techniques. At this point, embodiments where semiconductor bodies 305 have lithographic pitch as well as embodiments where semiconductor bodies 305 have sub-lithographic pitch can be both be represented by Figure 3H.
[0044] Next, a gate dielectric layer, as shown in Figure 31 is formed on each semiconductor body 305 in a manner dependent on the type of non-planar device (dual- gate, tri-gate, omega-gate, carbon nanotube). In an embodiment of the present invention, a gate dielectric layer 312 is formed on the top surface of each of the semiconductor bodies 305 as well as on the laterally opposite sidewalls of each of the semiconductor bodies 305. The gate dielectric can be a deposited dielectric or a grown dielectric. In an embodiment of the present invention, the gate dielectric layer 312 is a silicon dioxide dielectric film grown with a dry/wet oxidation process. In an embodiment of the present invention, the silicon oxide film is grown to a thickness of between 5-15A. In an embodiment of the present invention, the gate dielectric film 312 is a deposited dielectric, such as but not limited to a high dielectric constant film, such as metal oxide dielectric, such as tantalum pentaoxide, titanium oxide, halfnium oxide, zirconium oxide, aluminum oxide, or other high-K dielectrics, such as barium strontium titanate (BST). A high dielectric constant film can be formed by well-known techniques, such as by chemical vapor deposition (CVD) and atomic layer deposition (ALD). In an embodiment of the present invention, the gate dielectric can be comprised of a composite of such films. [0045] Next, as shown in Figure 31, a gate electrode 313 is formed. The gate electrode 313 is formed on the gate dielectric layer 312 formed on the top surface of each of the semiconductor bodies 305 and is formed on or adjacent to the gate dielectric 312 formed on or adjacent to the sidewalls of each of the semiconductor bodies 305 as shown in Figure 31. The gate electrode can be formed to a thickness between 200-3000A. In an embodiment the gate electrode has a thickness of at least three times the height of the semiconductor bodies 305. In embodiment of the present invention, the gate electrode material comprises polycrystalline silicon. In another embodiment of the present invention, the gate electrode material comprises a polycrystalline silicon germanium alloy. In yet other embodiments of the present invention, the gate electrode material can comprise a metal film, such as nickel, cobalt, tungsten, titanium, tantalum, aluminum, and their nitrides and silicides. In a further embodiment of the present invention the gate electrode can be a carbon nanotube. Gate electrode 313 can be formed by well-known techniques, such as by blanket depositing a gate electrode material over the substrate of and then patterning the gate electrode material with well-known photolithography and etching techniques. In certain embodiments of the present invention, the photolithography process used to define gate electrode 313 utilizes the minimum or smallest dimension lithography process used to fabricate the non-planar transistor. In an embodiment of the present invention, a mandrel- type process similar to the one described for defining the semiconductor bodies 305 or commonly known oxidation techniques can be used to form a gate electrode 313 having sub-lithographic dimensions. In other embodiments of the present invention "replacement gate" methods are used to form the gate electrode 313.
[0046] Next, source regions 316 and drain regions 317 for the transistor are formed in semiconductor body 305 on opposite sides of gate electrode 313, as shown in Figure 31. In an embodiment of the present invention, the source and drain regions include tip or source/drain extension regions which can be formed by placing dopants into semiconductor bodies of gate electrode. If source and drain landing pads 318 and 319 are utilized, they may be doped at this time also. For a PMOS tri-gate transistor, the semiconductor fins or bodies 305 are doped to p-type conductivity and to a concentration between IxI(P-IxIO21 atoms/cm3. For a NMOS tri-gate transistor, the semiconductor fins or bodies 305 are doped with n-type conductivity ions to a concentration between IxIO20- IxIO21 atoms/cm3. In an embodiment of the present invention, the silicon films are doped by ion-implantation. In a further embodiment of the present invention, the ion- implantation occurs in a vertical direction. When gate electrode 313 is a polysilicon gate electrode, it can be doped during the ion-implantation process. Gate electrode 313 acts as a mask to prevent the ion-implantation step from doping the channel region(s) of the tri-gate transistor. The channel region is the portion of the silicon body 305 located beneath or surrounded by the gate electrode 313. If gate electrode 313 is a metal electrode, a dielectric hard mask maybe used to block the doping during the ion-implantation process. In other embodiments, other methods, such as solid source diffusion, may be used to dope the semiconductor body to form source and drain extensions. In embodiments of the present invention, "halo" regions can be formed in silicon body prior to the formation of a source/drain regions or source/drain extension regions.
[0047] Next, if desired, the substrate can be further processed to form additional features, such as heavily doped source/drain contact regions, deposited silicon or silicon germanium on the source and drain regions as well as the gate electrode, and the formation of suicide on the source/drain contact regions as well as on the gate electrode. In embodiments of the present invention, dielectric sidewall spacers can be formed on the sidewalk of the gate electrode. Sidewall spacers can be utilized to offset heavy source/drain contact implants, can be used to isolate source/drain regions from the gate electrode during a selective silicon or silicon germanium deposition/growth processes and can be used in a salidde process to form silicide or germanicide on the source and drain regions as well as on the gate electrode. In certain embodiments of the present invention, a full siliddation (FUSI) is performed.
[0048] Next the device is encapsulated with an insulating layer, or interlayer dielectric (ILD) 322, as shown in Figure 3J, having sufficient thickness to isolate the device. In certain embodiments of the present invention, the ILD 322 is commonly known material such as phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), tetraethylorthosilicate (TEOS), silicon dioxide, silicon nitride, or a composite of such materials. In an embodiment of the present invention, the ILD 322 is a material having a lower dielectric constant than silicon dioxide, such as but not limited to a porous dielectric material, or carbon-doped silicate dielectric material. The ILD can be blanket deposited by commonly known processes such as but not limited to low pressure chemical vapor deposition (LPCVD), PECVD, and HDP.
[0049] Next the ILD 322 is patterned and etched to define the location of openings for the metal contact structures 360 and 363, as shown in Figure 3K. In certain embodiments of the present invention, the photolithography process used to define contact openings 360 and 363 have a minimum lithographic pitch greater than the pitch of the semiconductor bodies 305. In an embodiment of the present invention, the photolithography process used to define contact openings 360 and 363 is 193 ran. In an embodiment of the present invention, the contact openings 360 and 363 are formed having a width dimension 361 that is approximately equal to the number of semiconductor bodies 305 multiplied by the pitch of the semiconductor bodies 305 and a length 362 approximately equal to the minimum photolithographic feature size. In an embodiment of the present invention, the contact openings 360 and 363 have a width 361 greater than the pitch of the semiconductor bodies 305 but less than the number of semiconductor bodies 305 multiplied by the pitch of the semiconductor bodies 305 and a length 362 on the order of the minimum lithographic feature size. In certain embodiments of the present invention, the contact openings 360 and 363 have a width 361 significantly greater than length 362. In certain embodiments of the present invention, the contact openings 360 and 363 can be imaged as "one-dimensional" slots which can have a length 362 smaller than a length that would be possible if the contact opening was two-dimensional (having a width approximately the same as the length). In other embodiments of the present invention, the dimensions of the contact openings 360 and 363 are not equal. [0050] In an embodiment of the present invention, contact openings 360 and 363 terminate on the source landing pad 318 or drain landing pad 319, respectively. In another embodiment of the present invention, where no source or drain landing pad is used the contact openings 360 and 363 are positioned to expose the plurality of source regions 316 and plurality of drain regions 317 respectively. In certain embodiments of the present invention, the contact openings are etched into ILD 322 with a commonly known anisotropic plasma or reactive ion etching process having sufficient selectivity to the semiconductor source regions 316 and drain regions 317 that the ILD 322 is completely removed to expose the non-planar source regions 316 and non-planar drain regions 317 (or landing pads 318 and 319).
[0051] Next the contact openings 360 are filled with metallization to form metal contact structures 323 and 326 as shown in Figure 3L. The contact structures 323 and 326 can be formed from a commonly known conductive material, such as but not limited to copper, tungsten, aluminum, gold, or carbon nanotubes. The filling of the contact openings 360 is performed by any currently known technique, such as but not limited to physical vapor deposition (PVD), CVD, ALD, electroless or electrolytic plating, or a combination of these techniques. If desired, the method of filling the contact openings 360 can include the deposition of a commonly known barrier layer such as but not limited to tantalum or tantalum nitride, or other intermetallics. If desired, the method of filling the contact openings 360 can include the deposition of a commonly known seed layer such as but not limited to copper, titanium, or other intermetallics.
[0052] Next the conductive material used to form the metal contact structures 323 and 326 as shown in Figure 3L is polished back using commonly known electrolytic, chemical, mechanical removal means, or a combination thereof. In an embodiment of the present invention, a chemical mechanical polish (CMP) process is used in a damascene or dual damascene technique. In this manner, the conductive contact structures can 323 and 326 be planarized to be substantially level with the ILD 322 and subsequently interconnected with additional levels of metallization, if desired. [0053] Thus, a device having a plurality of parallel nanoscale channels with a novel contact architecture and method of fabrication have been described.

Claims

IN THE CLAIMS We claim:
1. A device comprising: a plurality of parallel semiconductor bodies, each of said plurality of parallel bodies having a top surface and a pair of laterally opposite sidewalls, each of said parallel bodies having a channel portion between a source region and a drain region; a single gate electrode formed adjacent to and over said channel region of each of said plurality of bodies; a metal source contact coupled to and extending between said source regions of each of said plurality of parallel bodies; and a metal drain contact coupled to and extending between said drain regions of each of said plurality of parallel bodies.
2. The device of claim 1 wherein said parallel bodies have a first width and a first pitch, wherein said first pitch is less than a pitch which can be defined by a photolithography process.
3. The device of claim 2 wherein said photolithography process uses 193 nanometer lithography.
4. The device of claim 2 wherein said first pitch is less than 110 nanometers.
5. The device of claim 2 wherein said pitch is one half of said pitch which can be defined by said photolithography process.
6. The device of claim 1 further comprising a source landing pad in direct contact with said source regions of said plurality of parallel bodies, wherein said source landing pad is formed from the same material as said parallel bodies and wherein said metal source :ontact is formed in direct contact with said source landing pad.
7. The device of claim 1 further comprising a drain landing pad in direct contact with said drain regions of said plurality of parallel bodies, wherein said drain landing pad is formed from the same material as said plurality of parallel bodies and wherein said metal drain contact is formed in direct contact with said drain landing pad.
8. The device of claim 1 wherein said plurality of parallel bodies formed from a material selected from the group consisting of silicon, germanium, silicon germanium, GaAs, InSb and carbon nanotubes.
9. The semiconductor device of claim 8 wherein said plurality of parallel b odies are formed on an insulating substrate.
10. A device comprising: a plurality of parallel semiconductor bodies, each of said plurality of parallel bodies having a top surface and a pair of laterally opposite sidewalls, each of said parallel bodies having a channel portion between a source region and a drain region; a single gate electrode formed adjacent to and over said channel region of each of said plurality of bodies; a first metal contact and a second metal contact, wherein at least one of said first metal contact and said second metal contact is coupled to and extending between said plurality of parallel bodies.
11. A method of forming a semiconductor device comprising: forming a plurality of parallel spacers having a first pitch above a semiconductor film; etching said semiconductor film in alignment with said plurality of parallel spacers to form a plurality of parallel semiconductor bodies; forming a single gate electrode over and adjacent to said plurality of parallel semiconductor bodies; forming a source region and a drain region in each of said parallel semiconductor bodies on opposite sides of said gate electrode; forming a single metal source contact coupled to and extending between said source regions of said semiconductor bodies; and forming a single metal drain contact coupled to and extending between said drain regions of said plurality of semiconductor bodies.
12. The method of claim 11 wherein said plurality of parallel spacers is formed by a method comprising: forming a first pattern of parallel features having a second pitch from a first material, wherein said second pitch is greater than said first pitch; blanket depositing a comformal film of a second material over and adjacent to said first pattern of parallel features; and aniso tropically etching said conformai film to form said plurality of parallel spacers from said second material; and removing said first pattern of parallel features of said first material.
13. The method of claim 12 wherein said first pattern of parallel features are formed by forming a photoresist mask over said first material, and anisotropically etching said first material in alignment with said photoresist mask.
14. The method of claim 13 wherein said photoresist mask is formed by blanket depositing a photoresist film and patterning said photoresist film into a plurality of parallel features having said second pitch which is the smallest pitch which can be defined in said photoresist film utilizing a photolithography process.
15. A method o£ forming a semiconductor device comprising: forming a plurality of parallel semiconductor bodies, wherein each of said semiconductor bodies has a channel region between a source region and a drain region; forming a single gate electrode over and adjacent to said channel regions of said plurality of parallel semiconductor bodies; forming a dielectric layer over said gate electrode and said plurality of parallel semiconductor bodies; forming a single drain opening in said dielectric layer which extends between and exposes said drain regions of said plurality of parallel semiconductor bodies and forming a single source opening in said dielectric layer which extends between and exposes said source regions of said semiconductor bodies; and filling said single drain opening and said single source opening with a metal film wherein said metal film is in contact with said source regions and said drain regions of said plurality of parallel semiconductor bodies.
16. The method of claim 15 further comprise wherein s aid metal film is blanket deposited into said source opening and said drain opening and onto the top surface of said dielectric layer; and polishing back said metal film from the top surface of said dielectric layer to form a single drain, contact and a single source contact.
17. The method of claim 16 wherein said metal film is formed in said opening utilizing an electroless or electrolytic deposition process.
PCT/US2006/025751 2005-06-30 2006-06-29 Block contact architectures for nanoscale channel transistors WO2007005697A2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2008518524A JP2008544558A (en) 2005-06-30 2006-06-29 Block contact architecture for nanoscale channel transistors
GB0724762A GB2442379B (en) 2005-06-30 2006-06-29 Block contact architectures for nanoscale channel transistors
DE112006001735T DE112006001735B4 (en) 2005-06-30 2006-06-29 Block contact architectures for transistors with channels in a nanometer order and method of forming
CN200680023301.XA CN101208805B (en) 2005-06-30 2006-06-29 Block contact architectures for nanoscale channel transistors

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/173,866 US7279375B2 (en) 2005-06-30 2005-06-30 Block contact architectures for nanoscale channel transistors
US11/173,866 2005-06-30

Publications (2)

Publication Number Publication Date
WO2007005697A2 true WO2007005697A2 (en) 2007-01-11
WO2007005697A3 WO2007005697A3 (en) 2007-04-12

Family

ID=37433903

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2006/025751 WO2007005697A2 (en) 2005-06-30 2006-06-29 Block contact architectures for nanoscale channel transistors

Country Status (8)

Country Link
US (2) US7279375B2 (en)
JP (1) JP2008544558A (en)
KR (1) KR101021369B1 (en)
CN (1) CN101208805B (en)
DE (1) DE112006001735B4 (en)
GB (1) GB2442379B (en)
TW (1) TWI314779B (en)
WO (1) WO2007005697A2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1939942A3 (en) * 2006-12-27 2010-06-23 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
CN101859770A (en) * 2009-04-03 2010-10-13 国际商业机器公司 Semiconductor structure and forming method thereof
JPWO2016080146A1 (en) * 2014-11-20 2017-08-31 ソニー株式会社 Semiconductor device
US11749686B2 (en) 2010-02-05 2023-09-05 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same

Families Citing this family (210)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004068574A1 (en) * 2003-01-30 2004-08-12 X-Fab Semiconductor Foundries Ag Soi contact structure(s) and corresponding production method
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7268058B2 (en) * 2004-01-16 2007-09-11 Intel Corporation Tri-gate transistors and methods to fabricate same
US7154118B2 (en) * 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
KR100632475B1 (en) * 2004-07-26 2006-10-09 삼성전자주식회사 Method for manufacturing multi-gate transistor with improved performance and multi-gate transistor manufactured by
KR100545863B1 (en) * 2004-07-30 2006-01-24 삼성전자주식회사 Semiconductor device having a fin structure and method of manufacturing the same
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US7332439B2 (en) * 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US20060202266A1 (en) * 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7279375B2 (en) * 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7402875B2 (en) * 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
US7339241B2 (en) * 2005-08-31 2008-03-04 Freescale Semiconductor, Inc. FinFET structure with contacts
US7381655B2 (en) * 2005-09-14 2008-06-03 International Business Machines Corporation Mandrel/trim alignment in SIT processing
US7479421B2 (en) * 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US20070090416A1 (en) * 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US20070090408A1 (en) * 2005-09-29 2007-04-26 Amlan Majumdar Narrow-body multiple-gate FET with dominant body transistor for high performance
US7638381B2 (en) * 2005-10-07 2009-12-29 International Business Machines Corporation Methods for fabricating a semiconductor structure using a mandrel and semiconductor structures formed thereby
US7485503B2 (en) 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
US8183556B2 (en) 2005-12-15 2012-05-22 Intel Corporation Extreme high mobility CMOS logic
US7968394B2 (en) 2005-12-16 2011-06-28 Freescale Semiconductor, Inc. Transistor with immersed contacts and methods of forming thereof
US20070152266A1 (en) * 2005-12-29 2007-07-05 Intel Corporation Method and structure for reducing the external resistance of a three-dimensional transistor through use of epitaxial layers
JP2007299991A (en) * 2006-05-01 2007-11-15 Toshiba Corp Semiconductor device and its manufacturing method
US7517764B2 (en) * 2006-06-29 2009-04-14 International Business Machines Corporation Bulk FinFET device
US8143646B2 (en) 2006-08-02 2012-03-27 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
US7456471B2 (en) * 2006-09-15 2008-11-25 International Business Machines Corporation Field effect transistor with raised source/drain fin straps
US20080157225A1 (en) * 2006-12-29 2008-07-03 Suman Datta SRAM and logic transistors with variable height multi-gate transistor architecture
KR101387202B1 (en) 2007-01-30 2014-04-21 알에프 나노 코포레이션 Multifinger carbon nanotube field-effect transistor
US8039870B2 (en) * 2008-01-28 2011-10-18 Rf Nano Corporation Multifinger carbon nanotube field-effect transistor
US20080237672A1 (en) * 2007-03-30 2008-10-02 Doyle Brian S High density memory
US8450165B2 (en) * 2007-05-14 2013-05-28 Intel Corporation Semiconductor device having tipless epitaxial source/drain regions
US20080290414A1 (en) * 2007-05-24 2008-11-27 Texas Instruments Incorporated Integrating strain engineering to maximize system-on-a-chip performance
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US7898040B2 (en) * 2007-06-18 2011-03-01 Infineon Technologies Ag Dual gate FinFET
US8134208B2 (en) * 2007-09-26 2012-03-13 Globalfoundries Inc. Semiconductor device having decreased contact resistance
US8043978B2 (en) * 2007-10-11 2011-10-25 Riken Electronic device and method for producing electronic device
US7910994B2 (en) * 2007-10-15 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for source/drain contact processing
ES2489615T3 (en) * 2007-12-11 2014-09-02 Apoteknos Para La Piel, S.L. Use of a compound derived from p-hydroxyphenyl propionic acid for the treatment of psoriasis
US7790531B2 (en) 2007-12-18 2010-09-07 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
JP4591525B2 (en) * 2008-03-12 2010-12-01 ソニー株式会社 Semiconductor device
US7833889B2 (en) 2008-03-14 2010-11-16 Intel Corporation Apparatus and methods for improving multi-gate device performance
US8278687B2 (en) * 2008-03-28 2012-10-02 Intel Corporation Semiconductor heterostructures to reduce short channel effects
US8129749B2 (en) * 2008-03-28 2012-03-06 Intel Corporation Double quantum well structures for transistors
US7800166B2 (en) * 2008-05-30 2010-09-21 Intel Corporation Recessed channel array transistor (RCAT) structures and method of formation
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US8076208B2 (en) * 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
US7833891B2 (en) * 2008-07-23 2010-11-16 International Business Machines Corporation Semiconductor device manufacturing method using oxygen diffusion barrier layer between buried oxide layer and high K dielectric layer
US7884354B2 (en) * 2008-07-31 2011-02-08 Intel Corporation Germanium on insulator (GOI) semiconductor substrates
US7781283B2 (en) * 2008-08-15 2010-08-24 International Business Machines Corporation Split-gate DRAM with MuGFET, design structure, and method of manufacture
US7979836B2 (en) * 2008-08-15 2011-07-12 International Business Machines Corporation Split-gate DRAM with MuGFET, design structure, and method of manufacture
KR101104248B1 (en) * 2008-12-23 2012-01-11 한국전자통신연구원 self aligned field effect transistor structure
TWI392093B (en) * 2009-01-09 2013-04-01 Univ Nat Sun Yat Sen Mosfet device and method for making the same
US8222154B2 (en) * 2009-02-10 2012-07-17 International Business Machines Corporation Fin and finFET formation by angled ion implantation
US8305829B2 (en) 2009-02-23 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Memory power gating circuit for controlling internal voltage of a memory array, system and method for controlling the same
US8184472B2 (en) * 2009-03-13 2012-05-22 International Business Machines Corporation Split-gate DRAM with lateral control-gate MuGFET
US8305790B2 (en) 2009-03-16 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical anti-fuse and related applications
US8957482B2 (en) 2009-03-31 2015-02-17 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical fuse and related applications
US8912602B2 (en) 2009-04-14 2014-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US8084308B2 (en) * 2009-05-21 2011-12-27 International Business Machines Corporation Single gate inverter nanowire mesh
US8053318B2 (en) * 2009-06-25 2011-11-08 International Business Machines Corporation FET with replacement gate structure and method of fabricating the same
US8461015B2 (en) 2009-07-08 2013-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. STI structure and method of forming bottom void in same
US8264021B2 (en) 2009-10-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods for forming the same
US8623728B2 (en) 2009-07-28 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high germanium concentration SiGe stressor
US8298925B2 (en) 2010-11-08 2012-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US8629478B2 (en) 2009-07-31 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure for high mobility multiple-gate transistor
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US8187928B2 (en) 2010-09-21 2012-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming integrated circuits
US8980719B2 (en) 2010-04-28 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping fin field-effect transistors
US8482073B2 (en) * 2010-03-25 2013-07-09 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit including FINFETs and methods for forming the same
US8264032B2 (en) 2009-09-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Accumulation type FinFET, circuits and fabrication method thereof
US8472227B2 (en) 2010-01-27 2013-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and methods for forming the same
US8759943B2 (en) 2010-10-08 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor having notched fin structure and method of making the same
US9484462B2 (en) 2009-09-24 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of fin field effect transistor
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
JP4922373B2 (en) * 2009-09-16 2012-04-25 株式会社東芝 Semiconductor device and manufacturing method thereof
US8946028B2 (en) * 2009-10-06 2015-02-03 International Business Machines Corporation Merged FinFETs and method of manufacturing the same
US8173993B2 (en) * 2009-12-04 2012-05-08 International Business Machines Corporation Gate-all-around nanowire tunnel field effect transistors
US8384065B2 (en) * 2009-12-04 2013-02-26 International Business Machines Corporation Gate-all-around nanowire field effect transistors
US8097515B2 (en) * 2009-12-04 2012-01-17 International Business Machines Corporation Self-aligned contacts for nanowire field effect transistors
US8143113B2 (en) 2009-12-04 2012-03-27 International Business Machines Corporation Omega shaped nanowire tunnel field effect transistors fabrication
US8129247B2 (en) * 2009-12-04 2012-03-06 International Business Machines Corporation Omega shaped nanowire field effect transistors
US8455334B2 (en) * 2009-12-04 2013-06-04 International Business Machines Corporation Planar and nanowire field effect transistors
US8440998B2 (en) * 2009-12-21 2013-05-14 Intel Corporation Increasing carrier injection velocity for integrated circuit devices
US8633470B2 (en) * 2009-12-23 2014-01-21 Intel Corporation Techniques and configurations to impart strain to integrated circuit devices
US20110147840A1 (en) * 2009-12-23 2011-06-23 Cea Stephen M Wrap-around contacts for finfet and tri-gate devices
US8722492B2 (en) * 2010-01-08 2014-05-13 International Business Machines Corporation Nanowire pin tunnel field effect devices
US9040393B2 (en) 2010-01-14 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor structure
US8310013B2 (en) * 2010-02-11 2012-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a FinFET device
US8399931B2 (en) * 2010-06-30 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Layout for multiple-fin SRAM cell
US8324940B2 (en) 2010-04-13 2012-12-04 International Business Machines Corporation Nanowire circuits in matched devices
US8361907B2 (en) 2010-05-10 2013-01-29 International Business Machines Corporation Directionally etched nanowire field effect transistors
US8324030B2 (en) 2010-05-12 2012-12-04 International Business Machines Corporation Nanowire tunnel field effect transistors
US8513099B2 (en) * 2010-06-17 2013-08-20 International Business Machines Corporation Epitaxial source/drain contacts self-aligned to gates for deposited FET channels
US8298881B2 (en) * 2010-06-28 2012-10-30 International Business Machines Corporation Nanowire FET with trapezoid gate structure
US9029834B2 (en) 2010-07-06 2015-05-12 International Business Machines Corporation Process for forming a surrounding gate for a nanowire using a sacrificial patternable dielectric
US8835231B2 (en) 2010-08-16 2014-09-16 International Business Machines Corporation Methods of forming contacts for nanowire field effect transistors
US8268689B2 (en) * 2010-08-23 2012-09-18 International Business Machines Corporation Multiple threshold voltages in field effect transistor devices
US8536563B2 (en) 2010-09-17 2013-09-17 International Business Machines Corporation Nanowire field effect transistors
JP5654818B2 (en) * 2010-09-27 2015-01-14 ルネサスエレクトロニクス株式会社 Method for manufacturing power semiconductor device
US8603924B2 (en) 2010-10-19 2013-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming gate dielectric material
US9048181B2 (en) 2010-11-08 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US8769446B2 (en) 2010-11-12 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method and device for increasing fin device density for unaligned fins
US8901537B2 (en) * 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
US8877602B2 (en) 2011-01-25 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms of doping oxide for forming shallow trench isolation
US8592915B2 (en) 2011-01-25 2013-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Doped oxide for shallow trench isolation (STI)
US8753964B2 (en) * 2011-01-27 2014-06-17 International Business Machines Corporation FinFET structure having fully silicided fin
KR101140010B1 (en) * 2011-02-28 2012-06-14 에스케이하이닉스 주식회사 Semiconductor device and method for forming the same
US8431453B2 (en) 2011-03-31 2013-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma doping to reduce dielectric loss during removal of dummy layers in a gate structure
FR2973570A1 (en) * 2011-04-01 2012-10-05 St Microelectronics Sa ADJUSTABLE POWER SUPPLY AND / OR THRESHOLD TRANSISTOR
US8728892B2 (en) * 2011-05-05 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Adaptive fin design for FinFETs
US8785911B2 (en) 2011-06-23 2014-07-22 International Business Machines Corporation Graphene or carbon nanotube devices with localized bottom gates and gate dielectric
US8969154B2 (en) * 2011-08-23 2015-03-03 Micron Technology, Inc. Methods for fabricating semiconductor device structures and arrays of vertical transistor devices
US9287385B2 (en) 2011-09-01 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-fin device and method of making same
CN103843144B (en) * 2011-09-29 2018-06-19 英特尔公司 For the layer containing electropositive metal of semiconductor application
JP5562921B2 (en) * 2011-10-21 2014-07-30 株式会社東芝 Semiconductor device
US8693235B2 (en) 2011-12-06 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for finFET SRAM arrays in integrated circuits
US8664729B2 (en) * 2011-12-14 2014-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for reduced gate resistance finFET
KR101631778B1 (en) 2011-12-23 2016-06-24 인텔 코포레이션 Nanowire structures having wrap-around contacts
DE112011106023T5 (en) 2011-12-23 2014-09-11 Intel Corporation Nanowire structures with non-discrete source and drain regions
CN107195684B (en) * 2011-12-30 2020-12-08 英特尔公司 Surrounding type groove contact part structure and manufacturing method
CN103296083A (en) * 2012-02-27 2013-09-11 中国科学院微电子研究所 Semiconductor field effect transistor and manufacturing method thereof
US20130221414A1 (en) * 2012-02-27 2013-08-29 Chao Zhao Semiconductor FET and Method for Manufacturing the Same
US20130240997A1 (en) * 2012-03-19 2013-09-19 International Business Machines Corporation Contact bars for modifying stress in semiconductor device and related method
US8927432B2 (en) * 2012-06-14 2015-01-06 International Business Machines Corporation Continuously scalable width and height semiconductor fins
US9093556B2 (en) * 2012-08-21 2015-07-28 Stmicroelectronics, Inc. Multi-fin FINFET device including epitaxial growth barrier on outside surfaces of outermost fins and related methods
US9064745B2 (en) * 2012-08-29 2015-06-23 International Business Machines Corporation Sublithographic width finFET employing solid phase epitaxy
US9041106B2 (en) * 2012-09-27 2015-05-26 Intel Corporation Three-dimensional germanium-based semiconductor devices formed on globally or locally isolated substrates
US20140106529A1 (en) * 2012-10-16 2014-04-17 Stmicroelectronics (Crolles 2) Sas Finfet device with silicided source-drain regions and method of making same using a two step anneal
NL2009833C2 (en) * 2012-11-16 2014-05-19 People Creating Value Holding B V DEVICE FOR PREPARING A DRINK AND A PLANNING DEVICE.
KR101983633B1 (en) 2012-11-30 2019-05-29 삼성전자 주식회사 Semiconductor device and fabricated method thereof
US9397217B2 (en) * 2012-12-28 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of non-planar semiconductor device
US9224849B2 (en) * 2012-12-28 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Transistors with wrapped-around gates and methods for forming the same
KR102049774B1 (en) * 2013-01-24 2019-11-28 삼성전자 주식회사 Semiconductor device and fabricated method thereof
US9123654B2 (en) * 2013-02-15 2015-09-01 International Business Machines Corporation Trilayer SIT process with transfer layer for FINFET patterning
US9653615B2 (en) * 2013-03-13 2017-05-16 International Business Machines Corporation Hybrid ETSOI structure to minimize noise coupling from TSV
CN103219384B (en) * 2013-04-03 2015-05-20 北京大学 Anti-single particle radiation multi-grid device and preparation method thereof
US9111801B2 (en) * 2013-04-04 2015-08-18 Stmicroelectronics, Inc. Integrated circuit devices and fabrication techniques
US9337261B2 (en) * 2013-04-10 2016-05-10 GlobalFoundries, Inc. Method of forming microelectronic or micromechanical structures
TWI575564B (en) * 2013-04-10 2017-03-21 聯華電子股份有限公司 Method for manufacturing semiconductor structures
US9006842B2 (en) 2013-05-30 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Tuning strain in semiconductor devices
US20150001630A1 (en) * 2013-06-27 2015-01-01 GlobalFoundries, Inc. Structure and methods of fabricating y-shaped dmos finfet
US9349850B2 (en) 2013-07-17 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Thermally tuning strain in semiconductor devices
KR102068980B1 (en) 2013-08-01 2020-01-22 삼성전자 주식회사 Semiconductor device and method for fabricating the same
DE102014220672A1 (en) 2013-10-22 2015-05-07 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
KR101897569B1 (en) * 2013-12-04 2018-09-13 코웨이 주식회사 Coffee extracting apparatus
US9831306B2 (en) 2013-12-19 2017-11-28 Intel Corporation Self-aligned gate edge and local interconnect and method to fabricate same
EP2887399B1 (en) * 2013-12-20 2017-08-30 Imec A method for manufacturing a transistor device and associated device
US20150194433A1 (en) * 2014-01-08 2015-07-09 Broadcom Corporation Gate substantial contact based one-time programmable device
KR102224525B1 (en) 2014-02-03 2021-03-08 삼성전자주식회사 Layout design system, semiconductor device fabricated by using the system and method for fabricating the semiconductor device
US9397101B2 (en) * 2014-03-06 2016-07-19 Qualcomm Incorporated Stacked common gate finFET devices for area optimization
US9299781B2 (en) 2014-04-01 2016-03-29 Globalfoundries Inc. Semiconductor devices with contact structures and a gate structure positioned in trenches formed in a layer of material
US9171934B2 (en) * 2014-04-01 2015-10-27 Globalfoundries Inc. Methods of forming semiconductor devices using a layer of material having a plurality of trenches formed therein
US9590105B2 (en) * 2014-04-07 2017-03-07 National Chiao-Tung University Semiconductor device with metal alloy over fin, conductive layer over channel region of fin, and semiconductive layer over conductive layer and formation thereof
US9466669B2 (en) * 2014-05-05 2016-10-11 Samsung Electronics Co., Ltd. Multiple channel length finFETs with same physical gate length
JP6537341B2 (en) * 2014-05-07 2019-07-03 株式会社半導体エネルギー研究所 Semiconductor device
KR102242279B1 (en) 2014-05-08 2021-04-20 인텔 코포레이션 Integrated circuit fuse structure
CN105097535B (en) * 2014-05-12 2018-03-13 中国科学院微电子研究所 The manufacture method of FinFet devices
JP6416937B2 (en) * 2014-06-27 2018-10-31 インテル・コーポレーション Nonlinear fin-based devices
US9917240B2 (en) 2014-07-24 2018-03-13 Samsung Electronics Co., Ltd. Thermoelectric element, method of manufacturing the same and semiconductor device including the same
US9466731B2 (en) * 2014-08-12 2016-10-11 Empire Technology Development Llc Dual channel memory
US9893159B2 (en) 2014-08-15 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor, integrated circuit and method of fabricating the same
US9985026B2 (en) * 2014-08-15 2018-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor, integrated circuit and method of fabricating the same
JP6373686B2 (en) * 2014-08-22 2018-08-15 ルネサスエレクトロニクス株式会社 Semiconductor device
KR102230198B1 (en) 2014-09-23 2021-03-19 삼성전자주식회사 Semiconductor device and method for manufacturing the same
US9362285B2 (en) 2014-10-02 2016-06-07 International Business Machines Corporation Structure and method to increase contact area in unmerged EPI integration for CMOS FinFETs
KR102174144B1 (en) * 2014-12-03 2020-11-04 삼성전자주식회사 Semiconductor device and method for fabricating the same
US20160163646A1 (en) * 2014-12-05 2016-06-09 Qualcomm Incorporated Strapped contact in a semiconductor device
TWI641135B (en) * 2014-12-12 2018-11-11 聯華電子股份有限公司 Finfet transistor with epitaxial structures
US9472574B2 (en) * 2015-01-29 2016-10-18 Globalfoundries Inc. Ultrathin body (UTB) FinFET semiconductor structure
KR102301503B1 (en) 2015-02-02 2021-09-13 삼성디스플레이 주식회사 Foldable display
KR102310080B1 (en) 2015-03-02 2021-10-12 삼성전자주식회사 Semiconductor devices and methods of manufacturing semiconductor devices
KR102407994B1 (en) * 2015-03-23 2022-06-14 삼성전자주식회사 Semiconductor device and method for manufacturing the same
KR102251060B1 (en) 2015-04-06 2021-05-14 삼성전자주식회사 Semiconductor devices and methods of manufacturing semiconductor devices
US9941157B2 (en) * 2015-06-26 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Porogen bonded gap filling material in semiconductor manufacturing
DE112015006959T5 (en) 2015-09-24 2018-06-07 Intel Corporation METHOD FOR FORMING BACK-EITHER SELF-ALIGNED CONTACT AND STRUCTURES MADE THEREFROM
CN108028280B (en) * 2015-09-25 2023-04-04 英特尔公司 Method for manufacturing wound source/drain electrode of contact part of back side metal
US9449986B1 (en) 2015-10-13 2016-09-20 Samsung Electronics Co., Ltd. 3-dimensional memory device having peripheral circuit devices having source/drain contacts with different spacings
US10026662B2 (en) * 2015-11-06 2018-07-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and fabricating method thereof
KR102415328B1 (en) 2015-12-03 2022-06-30 삼성전자주식회사 Static Random Access Memory (SRAM) device for improving electrical characteristics, and logic device including the same
US9679965B1 (en) 2015-12-07 2017-06-13 Samsung Electronics Co., Ltd. Semiconductor device having a gate all around structure and a method for fabricating the same
US9899490B2 (en) * 2016-02-03 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with changeable gate length and method for forming the same
JPWO2017145906A1 (en) * 2016-02-25 2018-12-27 株式会社ソシオネクスト Semiconductor integrated circuit device
WO2017171842A1 (en) 2016-04-01 2017-10-05 Intel Corporation Transistor cells including a deep via lined with a dielectric material
US9755073B1 (en) * 2016-05-11 2017-09-05 International Business Machines Corporation Fabrication of vertical field effect transistor structure with strained channels
US9905663B2 (en) 2016-06-24 2018-02-27 International Business Machines Corporation Fabrication of a vertical fin field effect transistor with a reduced contact resistance
US10283590B2 (en) * 2016-07-06 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Field-effect transistors having contacts to 2D material active region
US10872820B2 (en) 2016-08-26 2020-12-22 Intel Corporation Integrated circuit structures
TWI624064B (en) * 2016-08-29 2018-05-11 雋佾科技有限公司 Wavy fet structure
US10516047B2 (en) * 2016-11-28 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US11139241B2 (en) 2016-12-07 2021-10-05 Intel Corporation Integrated circuit device with crenellated metal trace layout
CN108257968A (en) * 2016-12-28 2018-07-06 上海新昇半导体科技有限公司 A kind of no pn junction p n trench gate array memory structure and preparation method thereof
KR101921627B1 (en) * 2017-06-16 2018-11-26 한국과학기술연구원 Field effect transistor, biosensor comprising the same, method for manufacturing Field effect transistor, and method for manufacturing biosensor
KR102365109B1 (en) 2017-08-22 2022-02-18 삼성전자주식회사 Integrated circuit devices
US10332985B2 (en) * 2017-08-31 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
DE112017008080T5 (en) 2017-12-26 2020-07-09 Intel Corporation STACKED TRANSISTORS WITH LAST TRAINED CONTACT
CN110164969A (en) * 2018-02-13 2019-08-23 隽佾科技有限公司 Wave field effect transistor structure
CN110190122B (en) * 2018-02-23 2022-07-12 中芯国际集成电路制造(上海)有限公司 Transistor and forming method thereof
US20190267491A1 (en) * 2018-02-27 2019-08-29 Bruckewell Technology Corp., Ltd. Wavy fet structure
WO2019172879A1 (en) 2018-03-05 2019-09-12 Intel Corporation Metallization structures for stacked device connectivity and their methods of fabrication
US10790271B2 (en) * 2018-04-17 2020-09-29 International Business Machines Corporation Perpendicular stacked field-effect transistor device
KR102328064B1 (en) * 2018-06-19 2021-11-17 누보톤 테크놀로지 재팬 가부시키가이샤 Semiconductor device
US11688780B2 (en) 2019-03-22 2023-06-27 Intel Corporation Deep source and drain for transistor structures with back-side contact metallization
US20230031274A1 (en) * 2021-07-28 2023-02-02 Nanya Technology Corporation Semiconductor device structure with conductive contacts of different widths and method for preparing the same

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH065856A (en) * 1992-06-19 1994-01-14 Kawasaki Steel Corp Semiconductor device
EP1091413A2 (en) * 1999-10-06 2001-04-11 Lsi Logic Corporation Fully-depleted, fully-inverted, short-length and vertical channel, dual-gate, cmos fet
US6413802B1 (en) * 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US20040108523A1 (en) * 2002-12-06 2004-06-10 Hao-Yu Chen Multiple-gate transistor structure and method for fabricating
US20040119100A1 (en) * 2002-12-19 2004-06-24 International Business Machines Corporation Dense dual-plane devices
WO2005036651A1 (en) * 2003-10-09 2005-04-21 Nec Corporation Semiconductor device and production method therefor

Family Cites Families (415)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4231149A (en) 1978-10-10 1980-11-04 Texas Instruments Incorporated Narrow band-gap semiconductor CCD imaging device and method of fabrication
GB2156149A (en) 1984-03-14 1985-10-02 Philips Electronic Associated Dielectrically-isolated integrated circuit manufacture
US4487652A (en) 1984-03-30 1984-12-11 Motorola, Inc. Slope etch of polyimide
US4711701A (en) 1986-09-16 1987-12-08 Texas Instruments Incorporated Self-aligned transistor method
US5514885A (en) 1986-10-09 1996-05-07 Myrick; James J. SOI methods and apparatus
US4818715A (en) 1987-07-09 1989-04-04 Industrial Technology Research Institute Method of fabricating a LDDFET with self-aligned silicide
US4907048A (en) * 1987-11-23 1990-03-06 Xerox Corporation Double implanted LDD transistor self-aligned with gate
US4905063A (en) * 1988-06-21 1990-02-27 American Telephone And Telegraph Company, At&T Bell Laboratories Floating gate memories
JPH0214578A (en) * 1988-07-01 1990-01-18 Fujitsu Ltd Semiconductor device
KR910010043B1 (en) 1988-07-28 1991-12-10 한국전기통신공사 Microscopic line forming method for using spacer
US4994873A (en) * 1988-10-17 1991-02-19 Motorola, Inc. Local interconnect for stacked polysilicon device
US5346834A (en) * 1988-11-21 1994-09-13 Hitachi, Ltd. Method for manufacturing a semiconductor device and a semiconductor memory device
US4906589A (en) * 1989-02-06 1990-03-06 Industrial Technology Research Institute Inverse-T LDDFET with self-aligned silicide
JPH02302044A (en) 1989-05-16 1990-12-14 Fujitsu Ltd Manufacture of semiconductor device
US5328810A (en) * 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
KR930003790B1 (en) * 1990-07-02 1993-05-10 삼성전자 주식회사 Dielectric meterial
US5278102A (en) * 1990-08-18 1994-01-11 Fujitsu Limited SOI device and a fabrication process thereof
JP3061406B2 (en) 1990-09-28 2000-07-10 株式会社東芝 Semiconductor device
JP3202223B2 (en) * 1990-11-27 2001-08-27 日本電気株式会社 Method for manufacturing transistor
US5521859A (en) 1991-03-20 1996-05-28 Fujitsu Limited Semiconductor memory device having thin film transistor and method of producing the same
DE69213539T2 (en) 1991-04-26 1997-02-20 Canon Kk Semiconductor device with improved insulated gate transistor
JPH05152293A (en) * 1991-04-30 1993-06-18 Sgs Thomson Microelectron Inc Stepped wall interconnector and manufacture of gate
US5346836A (en) 1991-06-06 1994-09-13 Micron Technology, Inc. Process for forming low resistance contacts between silicide areas and upper level polysilicon interconnects
US5292670A (en) * 1991-06-10 1994-03-08 Texas Instruments Incorporated Sidewall doping technique for SOI transistors
US5179037A (en) * 1991-12-24 1993-01-12 Texas Instruments Incorporated Integration of lateral and vertical quantum well transistors in the same epitaxial stack
US5391506A (en) * 1992-01-31 1995-02-21 Kawasaki Steel Corporation Manufacturing method for semiconductor devices with source/drain formed in substrate projection.
JPH05243572A (en) 1992-02-27 1993-09-21 Fujitsu Ltd Semiconductor device
US5405454A (en) 1992-03-19 1995-04-11 Matsushita Electric Industrial Co., Ltd. Electrically insulated silicon structure and producing method therefor
JP2572003B2 (en) * 1992-03-30 1997-01-16 三星電子株式会社 Method of manufacturing thin film transistor having three-dimensional multi-channel structure
JPH0793441B2 (en) * 1992-04-24 1995-10-09 ヒュンダイ エレクトロニクス インダストリーズ カンパニー リミテッド Thin film transistor and manufacturing method thereof
JP3196858B2 (en) * 1992-08-04 2001-08-06 シャープ株式会社 Method for manufacturing semiconductor device
JPH06177089A (en) 1992-12-04 1994-06-24 Fujitsu Ltd Manufacture of semiconductor device
KR960002088B1 (en) * 1993-02-17 1996-02-10 삼성전자주식회사 Making method of semiconductor device with soi structure
US5357119A (en) 1993-02-19 1994-10-18 Board Of Regents Of The University Of California Field effect devices having short period superlattice structures using Si and Ge
JPH06310547A (en) 1993-02-25 1994-11-04 Mitsubishi Electric Corp Semiconductor device and manufacture thereof
EP0623963A1 (en) 1993-05-06 1994-11-09 Siemens Aktiengesellschaft MOSFET on SOI substrate
US5739544A (en) 1993-05-26 1998-04-14 Matsushita Electric Industrial Co., Ltd. Quantization functional device utilizing a resonance tunneling effect and method for producing the same
GB2282736B (en) 1993-05-28 1996-12-11 Nec Corp Radio base station for a mobile communications system
US6730549B1 (en) * 1993-06-25 2004-05-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for its preparation
JP3778581B2 (en) 1993-07-05 2006-05-24 三菱電機株式会社 Semiconductor device and manufacturing method thereof
JP3460863B2 (en) * 1993-09-17 2003-10-27 三菱電機株式会社 Method for manufacturing semiconductor device
JPH07161984A (en) * 1993-12-06 1995-06-23 Mitsubishi Electric Corp Semiconductor integrated circuit device
US5479033A (en) 1994-05-27 1995-12-26 Sandia Corporation Complementary junction heterostructure field-effect transistor
JP3317582B2 (en) * 1994-06-01 2002-08-26 菱電セミコンダクタシステムエンジニアリング株式会社 Method of forming fine pattern
JP3361922B2 (en) 1994-09-13 2003-01-07 株式会社東芝 Semiconductor device
JP3378414B2 (en) 1994-09-14 2003-02-17 株式会社東芝 Semiconductor device
JPH08153880A (en) 1994-09-29 1996-06-11 Toshiba Corp Semiconductor device and fabrication thereof
US5602049A (en) 1994-10-04 1997-02-11 United Microelectronics Corporation Method of fabricating a buried structure SRAM cell
JPH08125152A (en) * 1994-10-28 1996-05-17 Canon Inc Semiconductor device, correlation operating unit empolying it, ad converter, da converter, and signal processing system
US5728594A (en) * 1994-11-02 1998-03-17 Texas Instruments Incorporated Method of making a multiple transistor integrated circuit with thick copper interconnect
JP3078720B2 (en) 1994-11-02 2000-08-21 三菱電機株式会社 Semiconductor device and manufacturing method thereof
US5576227A (en) 1994-11-02 1996-11-19 United Microelectronics Corp. Process for fabricating a recessed gate MOS device
GB2295488B (en) * 1994-11-24 1996-11-20 Toshiba Cambridge Res Center Semiconductor device
US5716879A (en) * 1994-12-15 1998-02-10 Goldstar Electron Company, Ltd. Method of making a thin film transistor
JPH08204191A (en) 1995-01-20 1996-08-09 Sony Corp Field-effect transistor and its manufacture
US5665203A (en) 1995-04-28 1997-09-09 International Business Machines Corporation Silicon etching method
JP3303601B2 (en) 1995-05-19 2002-07-22 日産自動車株式会社 Groove type semiconductor device
KR0165398B1 (en) * 1995-05-26 1998-12-15 윤종용 Vertical transistor manufacturing method
JPH0974205A (en) * 1995-09-04 1997-03-18 Semiconductor Energy Lab Co Ltd Thin film transistor and manufacture thereof
US5658806A (en) * 1995-10-26 1997-08-19 National Science Council Method for fabricating thin-film transistor with bottom-gate or dual-gate configuration
US5814895A (en) 1995-12-22 1998-09-29 Sony Corporation Static random access memory having transistor elements formed on side walls of a trench in a semiconductor substrate
KR100205442B1 (en) 1995-12-26 1999-07-01 구본준 Thin film transistor and method of fabricating the same
US5595919A (en) * 1996-02-20 1997-01-21 Chartered Semiconductor Manufacturing Pte Ltd. Method of making self-aligned halo process for reducing junction capacitance
DE19607209A1 (en) * 1996-02-26 1997-08-28 Gregor Kohlruss Cleaning device for cleaning flat objects
JPH09293793A (en) * 1996-04-26 1997-11-11 Mitsubishi Electric Corp Semiconductor device provided with thin film transistor and manufacture thereof
US5793088A (en) * 1996-06-18 1998-08-11 Integrated Device Technology, Inc. Structure for controlling threshold voltage of MOSFET
JP3710880B2 (en) * 1996-06-28 2005-10-26 株式会社東芝 Nonvolatile semiconductor memory device
TW548686B (en) * 1996-07-11 2003-08-21 Semiconductor Energy Lab CMOS semiconductor device and apparatus using the same
US5817560A (en) * 1996-09-12 1998-10-06 Advanced Micro Devices, Inc. Ultra short trench transistors and process for making same
US6399970B2 (en) 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
US6063677A (en) 1996-10-28 2000-05-16 Texas Instruments Incorporated Method of forming a MOSFET using a disposable gate and raised source and drain
US6063675A (en) 1996-10-28 2000-05-16 Texas Instruments Incorporated Method of forming a MOSFET using a disposable gate with a sidewall dielectric
US6163053A (en) * 1996-11-06 2000-12-19 Ricoh Company, Ltd. Semiconductor device having opposite-polarity region under channel
US5827769A (en) * 1996-11-20 1998-10-27 Intel Corporation Method for fabricating a transistor with increased hot carrier resistance by nitridizing and annealing the sidewall oxide of the gate electrode
JPH10150185A (en) * 1996-11-20 1998-06-02 Mitsubishi Electric Corp Semiconductor device and its manufacture
US5773331A (en) 1996-12-17 1998-06-30 International Business Machines Corporation Method for making single and double gate field effect transistors with sidewall source-drain contacts
US5908313A (en) 1996-12-31 1999-06-01 Intel Corporation Method of forming a transistor
JP4086926B2 (en) 1997-01-29 2008-05-14 富士通株式会社 Semiconductor device and manufacturing method thereof
US6676231B1 (en) * 1997-04-17 2004-01-13 Sligh Furniture Co. Modular furniture system
JPH118390A (en) 1997-06-18 1999-01-12 Mitsubishi Electric Corp Semiconductor device and its manufacture
US6251763B1 (en) 1997-06-30 2001-06-26 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing same
US6054355A (en) 1997-06-30 2000-04-25 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device which includes forming a dummy gate
JPH1140811A (en) * 1997-07-22 1999-02-12 Hitachi Ltd Semiconductor device and manufacture thereof
US5952701A (en) 1997-08-18 1999-09-14 National Semiconductor Corporation Design and fabrication of semiconductor structure having complementary channel-junction insulated-gate field-effect transistors whose gate electrodes have work functions close to mid-gap semiconductor value
US5776821A (en) 1997-08-22 1998-07-07 Vlsi Technology, Inc. Method for forming a reduced width gate electrode
US6066869A (en) 1997-10-06 2000-05-23 Micron Technology, Inc. Circuit and method for a folded bit line memory cell with vertical transistor and trench capacitor
US5976767A (en) 1997-10-09 1999-11-02 Micron Technology, Inc. Ammonium hydroxide etch of photoresist masked silicon
US5856225A (en) * 1997-11-24 1999-01-05 Chartered Semiconductor Manufacturing Ltd Creation of a self-aligned, ion implanted channel region, after source and drain formation
US6120846A (en) 1997-12-23 2000-09-19 Advanced Technology Materials, Inc. Method for the selective deposition of bismuth based ferroelectric thin films by chemical vapor deposition
US5888309A (en) * 1997-12-29 1999-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Lateral etch inhibited multiple for forming a via through a microelectronics layer susceptible to etching within a fluorine containing plasma followed by an oxygen containing plasma
US6117741A (en) 1998-01-09 2000-09-12 Texas Instruments Incorporated Method of forming a transistor having an improved sidewall gate structure
US6294416B1 (en) 1998-01-23 2001-09-25 Texas Instruments-Acer Incorporated Method of fabricating CMOS transistors with self-aligned planarization twin-well by using fewer mask counts
US6097065A (en) * 1998-03-30 2000-08-01 Micron Technology, Inc. Circuits and methods for dual-gated transistors
US6307235B1 (en) * 1998-03-30 2001-10-23 Micron Technology, Inc. Another technique for gated lateral bipolar transistors
US6087208A (en) 1998-03-31 2000-07-11 Advanced Micro Devices, Inc. Method for increasing gate capacitance by using both high and low dielectric gate material
US6215190B1 (en) 1998-05-12 2001-04-10 International Business Machines Corporation Borderless contact to diffusion with respect to gate conductor and methods for fabricating
US6232641B1 (en) * 1998-05-29 2001-05-15 Kabushiki Kaisha Toshiba Semiconductor apparatus having elevated source and drain structure and manufacturing method therefor
US6114201A (en) 1998-06-01 2000-09-05 Texas Instruments-Acer Incorporated Method of manufacturing a multiple fin-shaped capacitor for high density DRAMs
US6317444B1 (en) 1998-06-12 2001-11-13 Agere System Optoelectronics Guardian Corp. Optical device including carbon-doped contact layers
US6165880A (en) 1998-06-15 2000-12-26 Taiwan Semiconductor Manufacturing Company Double spacer technology for making self-aligned contacts (SAC) on semiconductor integrated circuits
US6130123A (en) 1998-06-30 2000-10-10 Intel Corporation Method for making a complementary metal gate electrode technology
JP2000037842A (en) 1998-07-27 2000-02-08 Dainippon Printing Co Ltd Electromagnetic wave absorbing decorative material
US6696366B1 (en) * 1998-08-17 2004-02-24 Lam Research Corporation Technique for etching a low capacitance dielectric layer
JP2000156502A (en) 1998-09-21 2000-06-06 Texas Instr Inc <Ti> Integrated circuit and method
US6114206A (en) 1998-11-06 2000-09-05 Advanced Micro Devices, Inc. Multiple threshold voltage transistor implemented by a damascene process
US6262456B1 (en) 1998-11-06 2001-07-17 Advanced Micro Devices, Inc. Integrated circuit having transistors with different threshold voltages
US5985726A (en) 1998-11-06 1999-11-16 Advanced Micro Devices, Inc. Damascene process for forming ultra-shallow source/drain extensions and pocket in ULSI MOSFET
US6153485A (en) 1998-11-09 2000-11-28 Chartered Semiconductor Manufacturing Ltd. Salicide formation on narrow poly lines by pulling back of spacer
US6200865B1 (en) * 1998-12-04 2001-03-13 Advanced Micro Devices, Inc. Use of sacrificial dielectric structure to form semiconductor device with a self-aligned threshold adjust and overlying low-resistance gate
US6362111B1 (en) * 1998-12-09 2002-03-26 Texas Instruments Incorporated Tunable gate linewidth reduction process
TW406312B (en) 1998-12-18 2000-09-21 United Microelectronics Corp The method of etching doped poly-silicon
TW449919B (en) 1998-12-18 2001-08-11 Koninkl Philips Electronics Nv A method of manufacturing a semiconductor device
US6380558B1 (en) * 1998-12-29 2002-04-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating the same
US6150222A (en) 1999-01-07 2000-11-21 Advanced Micro Devices, Inc. Method of making a high performance transistor with elevated spacer formation and self-aligned channel regions
FR2788629B1 (en) 1999-01-15 2003-06-20 Commissariat Energie Atomique TRANSISTOR MIS AND METHOD FOR FABRICATING SUCH A TRANSISTOR ON A SEMICONDUCTOR SUBSTRATE
US6174820B1 (en) * 1999-02-16 2001-01-16 Sandia Corporation Use of silicon oxynitride as a sacrificial material for microelectromechanical devices
JP2000243854A (en) 1999-02-22 2000-09-08 Toshiba Corp Semiconductor device and its manufacture
US6093621A (en) 1999-04-05 2000-07-25 Vanguard International Semiconductor Corp. Method of forming shallow trench isolation
US7045468B2 (en) * 1999-04-09 2006-05-16 Intel Corporation Isolated junction structure and method of manufacture
US6459123B1 (en) 1999-04-30 2002-10-01 Infineon Technologies Richmond, Lp Double gated transistor
DE60001601T2 (en) * 1999-06-18 2003-12-18 Lucent Technologies Inc Manufacturing process for manufacturing a CMOS integrated circuit with vertical transistors
JP2001015704A (en) 1999-06-29 2001-01-19 Hitachi Ltd Semiconductor integrated circuit
US6218309B1 (en) 1999-06-30 2001-04-17 Lam Research Corporation Method of achieving top rounding and uniform etch depths while etching shallow trench isolation features
US6501131B1 (en) 1999-07-22 2002-12-31 International Business Machines Corporation Transistors having independently adjustable parameters
TW432594B (en) 1999-07-31 2001-05-01 Taiwan Semiconductor Mfg Manufacturing method for shallow trench isolation
US6259135B1 (en) 1999-09-24 2001-07-10 International Business Machines Corporation MOS transistors structure for reducing the size of pitch limited circuits
FR2799305B1 (en) 1999-10-05 2004-06-18 St Microelectronics Sa METHOD FOR MANUFACTURING A SEMICONDUCTOR DEVICE WITH ENVELOPING GRID AND DEVICE OBTAINED
US6355532B1 (en) * 1999-10-06 2002-03-12 Lsi Logic Corporation Subtractive oxidation method of fabricating a short-length and vertically-oriented channel, dual-gate, CMOS FET
US6541829B2 (en) 1999-12-03 2003-04-01 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6252284B1 (en) * 1999-12-09 2001-06-26 International Business Machines Corporation Planarized silicon fin device
KR100311049B1 (en) 1999-12-13 2001-10-12 윤종용 Nonvolatile semiconductor memory device and manufacturing method thereof
US6303479B1 (en) 1999-12-16 2001-10-16 Spinnaker Semiconductor, Inc. Method of manufacturing a short-channel FET with Schottky-barrier source and drain contacts
JP4923318B2 (en) * 1999-12-17 2012-04-25 ソニー株式会社 Nonvolatile semiconductor memory device and operation method thereof
JP4194237B2 (en) 1999-12-28 2008-12-10 株式会社リコー Voltage generation circuit and reference voltage source circuit using field effect transistor
US7391087B2 (en) 1999-12-30 2008-06-24 Intel Corporation MOS transistor structure and method of fabrication
JP3613113B2 (en) 2000-01-21 2005-01-26 日本電気株式会社 Semiconductor device and manufacturing method thereof
US6319807B1 (en) 2000-02-07 2001-11-20 United Microelectronics Corp. Method for forming a semiconductor device by using reverse-offset spacer process
JP3846706B2 (en) * 2000-02-23 2006-11-15 信越半導体株式会社 Polishing method and polishing apparatus for wafer outer peripheral chamfer
US6483156B1 (en) * 2000-03-16 2002-11-19 International Business Machines Corporation Double planar gated SOI MOSFET structure
FR2806832B1 (en) 2000-03-22 2002-10-25 Commissariat Energie Atomique METAL SOURCE AND DRAIN MOS TRANSISTOR, AND METHOD FOR MANUFACTURING SUCH A TRANSISTOR
JP3906005B2 (en) 2000-03-27 2007-04-18 株式会社東芝 Manufacturing method of semiconductor device
KR100332834B1 (en) 2000-03-29 2002-04-15 윤덕용 A fabrication method of sub-micron gate using anisotropic etching
TW466606B (en) 2000-04-20 2001-12-01 United Microelectronics Corp Manufacturing method for dual metal gate electrode
JP2001338987A (en) 2000-05-26 2001-12-07 Nec Microsystems Ltd Forming method of shallow trench isolation region of mos transistor
FR2810161B1 (en) * 2000-06-09 2005-03-11 Commissariat Energie Atomique ELECTRONIC MEMORY WITH DAMASCENE ARCHITECTURE AND METHOD OF MAKING SAID MEMORY
US6526996B1 (en) * 2000-06-12 2003-03-04 Promos Technologies, Inc. Dry clean method instead of traditional wet clean after metal etch
US6391782B1 (en) 2000-06-20 2002-05-21 Advanced Micro Devices, Inc. Process for forming multiple active lines and gate-all-around MOSFET
KR100545706B1 (en) 2000-06-28 2006-01-24 주식회사 하이닉스반도체 Semiconductor device manufacturing method
JP4112358B2 (en) 2000-07-04 2008-07-02 インフィネオン テクノロジーズ アクチエンゲゼルシャフト Field effect transistor
US20020011612A1 (en) * 2000-07-31 2002-01-31 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
JP2002047034A (en) * 2000-07-31 2002-02-12 Shinetsu Quartz Prod Co Ltd Quarts glass jig for process device utilizing plasma
US6403981B1 (en) 2000-08-07 2002-06-11 Advanced Micro Devices, Inc. Double gate transistor having a silicon/germanium channel region
KR100338778B1 (en) * 2000-08-21 2002-05-31 윤종용 Method for fabricating MOS transistor using selective silicide process
US6358800B1 (en) * 2000-09-18 2002-03-19 Vanguard International Semiconductor Corporation Method of forming a MOSFET with a recessed-gate having a channel length beyond photolithography limit
US6387820B1 (en) 2000-09-19 2002-05-14 Advanced Micro Devices, Inc. BC13/AR chemistry for metal overetching on a high density plasma etcher
JP2002100762A (en) * 2000-09-22 2002-04-05 Mitsubishi Electric Corp Semiconductor device and manufacturing method therefor
JP4044276B2 (en) * 2000-09-28 2008-02-06 株式会社東芝 Semiconductor device and manufacturing method thereof
US6562665B1 (en) * 2000-10-16 2003-05-13 Advanced Micro Devices, Inc. Fabrication of a field effect transistor with a recess in a semiconductor pillar in SOI technology
US7163864B1 (en) 2000-10-18 2007-01-16 International Business Machines Corporation Method of fabricating semiconductor side wall fin
US6645840B2 (en) 2000-10-19 2003-11-11 Texas Instruments Incorporated Multi-layered polysilicon process
US6716684B1 (en) * 2000-11-13 2004-04-06 Advanced Micro Devices, Inc. Method of making a self-aligned triple gate silicon-on-insulator device
US6472258B1 (en) 2000-11-13 2002-10-29 International Business Machines Corporation Double gate trench transistor
US6396108B1 (en) * 2000-11-13 2002-05-28 Advanced Micro Devices, Inc. Self-aligned double gate silicon-on-insulator (SOI) device
US6479866B1 (en) 2000-11-14 2002-11-12 Advanced Micro Devices, Inc. SOI device with self-aligned selective damage implant, and method
JP2002198441A (en) 2000-11-16 2002-07-12 Hynix Semiconductor Inc Method for forming dual metal gate of semiconductor element
AU2001267880A1 (en) 2000-11-22 2002-06-03 Hitachi Ltd. Semiconductor device and method for fabricating the same
US6552401B1 (en) 2000-11-27 2003-04-22 Micron Technology Use of gate electrode workfunction to improve DRAM refresh
US20020100942A1 (en) 2000-12-04 2002-08-01 Fitzgerald Eugene A. CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6921947B2 (en) 2000-12-15 2005-07-26 Renesas Technology Corp. Semiconductor device having recessed isolation insulation film
US6413877B1 (en) * 2000-12-22 2002-07-02 Lam Research Corporation Method of preventing damage to organo-silicate-glass materials during resist stripping
JP2002198368A (en) * 2000-12-26 2002-07-12 Nec Corp Method for fabricating semiconductor device
US6537901B2 (en) * 2000-12-29 2003-03-25 Hynix Semiconductor Inc. Method of manufacturing a transistor in a semiconductor device
TW561530B (en) 2001-01-03 2003-11-11 Macronix Int Co Ltd Process for fabricating CMOS transistor of IC devices employing double spacers for preventing short-channel effect
US6975014B1 (en) 2001-01-09 2005-12-13 Advanced Micro Devices, Inc. Method for making an ultra thin FDSOI device with improved short-channel performance
US6359311B1 (en) * 2001-01-17 2002-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Quasi-surrounding gate and a method of fabricating a silicon-on-insulator semiconductor device with the same
US6403434B1 (en) 2001-02-09 2002-06-11 Advanced Micro Devices, Inc. Process for manufacturing MOS transistors having elevated source and drain regions and a high-k gate dielectric
US6475890B1 (en) * 2001-02-12 2002-11-05 Advanced Micro Devices, Inc. Fabrication of a field effect transistor with an upside down T-shaped semiconductor pillar in SOI technology
JP2002246310A (en) 2001-02-14 2002-08-30 Sony Corp Method of forming thin semiconductor film, method of manufacturing semiconductor device, device used for executing the methods, and electro-optic device
US6475869B1 (en) * 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region
US6630388B2 (en) 2001-03-13 2003-10-07 National Institute Of Advanced Industrial Science And Technology Double-gate field-effect transistor, integrated circuit using the transistor and method of manufacturing the same
TW582071B (en) 2001-03-20 2004-04-01 Macronix Int Co Ltd Method for etching metal in a semiconductor
JP3940565B2 (en) 2001-03-29 2007-07-04 株式会社東芝 Semiconductor device and manufacturing method thereof
JP2002298051A (en) 2001-03-30 2002-10-11 Mizuho Bank Ltd Point exchange service system
US6458662B1 (en) 2001-04-04 2002-10-01 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having an asymmetrical dual-gate silicon-germanium (SiGe) channel MOSFET and a device thereby formed
KR100414217B1 (en) 2001-04-12 2004-01-07 삼성전자주식회사 Semiconductor device having gate all around type transistor and method of forming the same
US6645861B2 (en) 2001-04-18 2003-11-11 International Business Machines Corporation Self-aligned silicide process for silicon sidewall source and drain contacts
US6787402B1 (en) 2001-04-27 2004-09-07 Advanced Micro Devices, Inc. Double-gate vertical MOSFET transistor and fabrication method
US6902947B2 (en) * 2001-05-07 2005-06-07 Applied Materials, Inc. Integrated method for release and passivation of MEMS structures
SG112804A1 (en) * 2001-05-10 2005-07-28 Inst Of Microelectronics Sloped trench etching process
KR100363332B1 (en) * 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US6635923B2 (en) 2001-05-24 2003-10-21 International Business Machines Corporation Damascene double-gate MOSFET with vertical channel regions
US6506692B2 (en) * 2001-05-30 2003-01-14 Intel Corporation Method of making a semiconductor device using a silicon carbide hard mask
AU785016B2 (en) * 2001-06-14 2006-08-24 Rohm And Haas Company Semi-continuous bimodal emulsion polymerization
US6737333B2 (en) 2001-07-03 2004-05-18 Texas Instruments Incorporated Semiconductor device isolation structure and method of forming
JP2003017508A (en) * 2001-07-05 2003-01-17 Nec Corp Field effect transistor
US6534807B2 (en) * 2001-08-13 2003-03-18 International Business Machines Corporation Local interconnect junction on insulator (JOI) structure
US6501141B1 (en) 2001-08-13 2002-12-31 Taiwan Semiconductor Manufacturing Company, Ltd Self-aligned contact with improved isolation and method for forming
US6764965B2 (en) * 2001-08-17 2004-07-20 United Microelectronics Corp. Method for improving the coating capability of low-k dielectric layer
JP2003100902A (en) 2001-09-21 2003-04-04 Mitsubishi Electric Corp Manufacturing method for semiconductor device
US6689650B2 (en) * 2001-09-27 2004-02-10 International Business Machines Corporation Fin field effect transistor with self-aligned gate
US6492212B1 (en) 2001-10-05 2002-12-10 International Business Machines Corporation Variable threshold voltage double gated transistors and method of fabrication
US20030085194A1 (en) * 2001-11-07 2003-05-08 Hopkins Dean A. Method for fabricating close spaced mirror arrays
US7385262B2 (en) * 2001-11-27 2008-06-10 The Board Of Trustees Of The Leland Stanford Junior University Band-structure modulation of nano-structures in an electric field
US6967351B2 (en) 2001-12-04 2005-11-22 International Business Machines Corporation Finfet SRAM cell using low mobility plane for cell stability and method for forming
US6657259B2 (en) 2001-12-04 2003-12-02 International Business Machines Corporation Multiple-plane FinFET CMOS
US6610576B2 (en) * 2001-12-13 2003-08-26 International Business Machines Corporation Method for forming asymmetric dual gate transistor
US6555879B1 (en) 2002-01-11 2003-04-29 Advanced Micro Devices, Inc. SOI device with metal source/drain and method of fabrication
US6722946B2 (en) * 2002-01-17 2004-04-20 Nutool, Inc. Advanced chemical mechanical polishing system with smart endpoint detection
US6583469B1 (en) 2002-01-28 2003-06-24 International Business Machines Corporation Self-aligned dog-bone structure for FinFET applications and methods to fabricate the same
KR100442089B1 (en) * 2002-01-29 2004-07-27 삼성전자주식회사 Method of forming mos transistor having notched gate
KR100458288B1 (en) 2002-01-30 2004-11-26 한국과학기술원 Double-Gate FinFET
DE10203998A1 (en) 2002-02-01 2003-08-21 Infineon Technologies Ag Production of a toothed structure in crystal structure in/on substrate used in production of floating gate transistor comprises forming trenches using a mask on the substrate and etching process and the unmasked region of substrate
JP2003229575A (en) * 2002-02-04 2003-08-15 Hitachi Ltd Integrated semiconductor device and manufacturing method therefor
US6784071B2 (en) 2003-01-31 2004-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Bonded SOI wafer with <100> device layer and <110> substrate for performance improvement
US20030151077A1 (en) * 2002-02-13 2003-08-14 Leo Mathew Method of forming a vertical double gate semiconductor device and structure thereof
JP3782021B2 (en) * 2002-02-22 2006-06-07 株式会社東芝 Semiconductor device, semiconductor device manufacturing method, and semiconductor substrate manufacturing method
US6660598B2 (en) 2002-02-26 2003-12-09 International Business Machines Corporation Method of forming a fully-depleted SOI ( silicon-on-insulator) MOSFET having a thinned channel region
JP4370104B2 (en) 2002-03-05 2009-11-25 シャープ株式会社 Semiconductor memory device
US6639827B2 (en) 2002-03-12 2003-10-28 Intel Corporation Low standby power using shadow storage
US6635909B2 (en) * 2002-03-19 2003-10-21 International Business Machines Corporation Strained fin FETs structure and method
US6605498B1 (en) 2002-03-29 2003-08-12 Intel Corporation Semiconductor transistor having a backfilled channel material
US6784076B2 (en) 2002-04-08 2004-08-31 Micron Technology, Inc. Process for making a silicon-on-insulator ledge by implanting ions from silicon source
FR2838238B1 (en) * 2002-04-08 2005-04-15 St Microelectronics Sa SEMICONDUCTOR DEVICE WITH ENVELOPING GRID ENCAPSULATED IN AN INSULATING MEDIUM
US6762469B2 (en) 2002-04-19 2004-07-13 International Business Machines Corporation High performance CMOS device structure with mid-gap metal gate
US6713396B2 (en) * 2002-04-29 2004-03-30 Hewlett-Packard Development Company, L.P. Method of fabricating high density sub-lithographic features on a substrate
US6537885B1 (en) * 2002-05-09 2003-03-25 Infineon Technologies Ag Transistor and method of manufacturing a transistor having a shallow junction formation using a two step EPI layer
US6642090B1 (en) 2002-06-03 2003-11-04 International Business Machines Corporation Fin FET devices from bulk semiconductor and method for forming
US7074623B2 (en) * 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
US6680240B1 (en) * 2002-06-25 2004-01-20 Advanced Micro Devices, Inc. Silicon-on-insulator device with strained device film and method for making the same with partial replacement of isolation oxide
US7105891B2 (en) 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US6974729B2 (en) * 2002-07-16 2005-12-13 Interuniversitair Microelektronica Centrum (Imec) Integrated semiconductor fin device and a method for manufacturing such device
US6705571B2 (en) * 2002-07-22 2004-03-16 Northrop Grumman Corporation System and method for loading stores on an aircraft
KR100477543B1 (en) * 2002-07-26 2005-03-18 동부아남반도체 주식회사 Method for forming short-channel transistor
US6919238B2 (en) 2002-07-29 2005-07-19 Intel Corporation Silicon on insulator (SOI) transistor and methods of fabrication
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
EP1387395B1 (en) * 2002-07-31 2016-11-23 Micron Technology, Inc. Method for manufacturing semiconductor integrated circuit structures
JP2004071996A (en) * 2002-08-09 2004-03-04 Hitachi Ltd Manufacturing method for semiconductor integrated circuit device
US6891234B1 (en) 2004-01-07 2005-05-10 Acorn Technologies, Inc. Transistor with workfunction-induced charge layer
US6833556B2 (en) 2002-08-12 2004-12-21 Acorn Technologies, Inc. Insulated gate field effect transistor having passivated schottky barriers to the channel
US6984585B2 (en) * 2002-08-12 2006-01-10 Applied Materials Inc Method for removal of residue from a magneto-resistive random access memory (MRAM) film stack using a sacrificial mask layer
JP3865233B2 (en) 2002-08-19 2007-01-10 富士通株式会社 CMOS integrated circuit device
US7358121B2 (en) * 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US7163851B2 (en) 2002-08-26 2007-01-16 International Business Machines Corporation Concurrent Fin-FET and thick-body device fabrication
JP5179692B2 (en) 2002-08-30 2013-04-10 富士通セミコンダクター株式会社 Semiconductor memory device and manufacturing method thereof
US6770516B2 (en) 2002-09-05 2004-08-03 Taiwan Semiconductor Manufacturing Company Method of forming an N channel and P channel FINFET device on the same semiconductor substrate
JP3651802B2 (en) 2002-09-12 2005-05-25 株式会社東芝 Manufacturing method of semiconductor device
US6794313B1 (en) * 2002-09-20 2004-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Oxidation process to improve polysilicon sidewall roughness
JP3556651B2 (en) * 2002-09-27 2004-08-18 沖電気工業株式会社 Method for manufacturing semiconductor device
US6800910B2 (en) 2002-09-30 2004-10-05 Advanced Micro Devices, Inc. FinFET device incorporating strained silicon in the channel region
KR100481209B1 (en) 2002-10-01 2005-04-08 삼성전자주식회사 MOS Transistor having multiple channels and method of manufacturing the same
JP4294935B2 (en) * 2002-10-17 2009-07-15 株式会社ルネサステクノロジ Semiconductor device
US6833588B2 (en) 2002-10-22 2004-12-21 Advanced Micro Devices, Inc. Semiconductor device having a U-shaped gate structure
US6706571B1 (en) * 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US6706581B1 (en) 2002-10-29 2004-03-16 Taiwan Semiconductor Manufacturing Company Dual gate dielectric scheme: SiON for high performance devices and high k for low power devices
US6787439B2 (en) * 2002-11-08 2004-09-07 Advanced Micro Devices, Inc. Method using planarizing gate material to improve gate critical dimension in semiconductor devices
US6611029B1 (en) * 2002-11-08 2003-08-26 Advanced Micro Devices, Inc. Double gate semiconductor device having separate gates
US6855990B2 (en) * 2002-11-26 2005-02-15 Taiwan Semiconductor Manufacturing Co., Ltd Strained-channel multiple-gate transistor
US6709982B1 (en) * 2002-11-26 2004-03-23 Advanced Micro Devices, Inc. Double spacer FinFET formation
US6825506B2 (en) 2002-11-27 2004-11-30 Intel Corporation Field effect transistor and method of fabrication
US6821834B2 (en) 2002-12-04 2004-11-23 Yoshiyuki Ando Ion implantation methods and transistor cell layout for fin type transistors
US6645797B1 (en) * 2002-12-06 2003-11-11 Advanced Micro Devices, Inc. Method for forming fins in a FinFET device using sacrificial carbon layer
US7214991B2 (en) * 2002-12-06 2007-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. CMOS inverters configured using multiple-gate transistors
US6686231B1 (en) 2002-12-06 2004-02-03 Advanced Micro Devices, Inc. Damascene gate process with sacrificial oxide in semiconductor devices
KR100487922B1 (en) * 2002-12-06 2005-05-06 주식회사 하이닉스반도체 A transistor of a semiconductor device and a method for forming the same
US6869868B2 (en) * 2002-12-13 2005-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a MOSFET device with metal containing gate structures
US6867425B2 (en) * 2002-12-13 2005-03-15 Intel Corporation Lateral phase change memory and method therefor
JP4418760B2 (en) 2002-12-20 2010-02-24 インターナショナル・ビジネス・マシーンズ・コーポレーション Integrated antifuse structure for fin-type FET and CMOS devices
US6780694B2 (en) 2003-01-08 2004-08-24 International Business Machines Corporation MOS transistor
JP2004221334A (en) * 2003-01-15 2004-08-05 Seiko Epson Corp Method for forming metallic element, method for manufacturing semiconductor device and method for manufacturing electronic device, semiconductor device and electronic device, and electronic apparatus
US7259425B2 (en) 2003-01-23 2007-08-21 Advanced Micro Devices, Inc. Tri-gate and gate around MOSFET devices and methods for making same
US6762483B1 (en) 2003-01-23 2004-07-13 Advanced Micro Devices, Inc. Narrow fin FinFET
US6803631B2 (en) 2003-01-23 2004-10-12 Advanced Micro Devices, Inc. Strained channel finfet
US6885055B2 (en) 2003-02-04 2005-04-26 Lee Jong-Ho Double-gate FinFET device and fabricating method thereof
KR100543472B1 (en) 2004-02-11 2006-01-20 삼성전자주식회사 Semiconductor device having depletion barrier layer at source/drain regions and method of forming the same
WO2004073044A2 (en) * 2003-02-13 2004-08-26 Massachusetts Institute Of Technology Finfet device and method to make same
US6855606B2 (en) * 2003-02-20 2005-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-rod devices
US7105894B2 (en) * 2003-02-27 2006-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Contacts to semiconductor fin devices
KR100499159B1 (en) 2003-02-28 2005-07-01 삼성전자주식회사 Semiconductor device having a recessed channel and method of manufacturing the same
US6787854B1 (en) 2003-03-12 2004-09-07 Advanced Micro Devices, Inc. Method for forming a fin in a finFET device
US6716690B1 (en) * 2003-03-12 2004-04-06 Advanced Micro Devices, Inc. Uniformly doped source/drain junction in a double-gate MOSFET
US6800885B1 (en) 2003-03-12 2004-10-05 Advance Micro Devices, Inc. Asymmetrical double gate or all-around gate MOSFET devices and methods for making same
TW582099B (en) 2003-03-13 2004-04-01 Ind Tech Res Inst Method of adhering material layer on transparent substrate and method of forming single crystal silicon on transparent substrate
JP4563652B2 (en) * 2003-03-13 2010-10-13 シャープ株式会社 MEMORY FUNCTIONAL BODY, PARTICLE FORMING METHOD, MEMORY ELEMENT, SEMICONDUCTOR DEVICE, AND ELECTRONIC DEVICE
US6844238B2 (en) * 2003-03-26 2005-01-18 Taiwan Semiconductor Manufacturing Co., Ltd Multiple-gate transistors with improved gate control
US20040191980A1 (en) * 2003-03-27 2004-09-30 Rafael Rios Multi-corner FET for better immunity from short channel effects
US6790733B1 (en) * 2003-03-28 2004-09-14 International Business Machines Corporation Preserving TEOS hard mask using COR for raised source-drain including removable/disposable spacer
US6764884B1 (en) * 2003-04-03 2004-07-20 Advanced Micro Devices, Inc. Method for forming a gate in a FinFET device and thinning a fin in a channel region of the FinFET device
TWI231994B (en) * 2003-04-04 2005-05-01 Univ Nat Taiwan Strained Si FinFET
US6902962B2 (en) 2003-04-04 2005-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon-on-insulator chip with multiple crystal orientations
US7442415B2 (en) 2003-04-11 2008-10-28 Sharp Laboratories Of America, Inc. Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
JP2004319704A (en) 2003-04-15 2004-11-11 Seiko Instruments Inc Semiconductor device
TW200506093A (en) 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
US20070108514A1 (en) 2003-04-28 2007-05-17 Akira Inoue Semiconductor device and method of fabricating the same
US6867433B2 (en) * 2003-04-30 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
JP3976703B2 (en) * 2003-04-30 2007-09-19 エルピーダメモリ株式会社 Manufacturing method of semiconductor device
US6838322B2 (en) * 2003-05-01 2005-01-04 Freescale Semiconductor, Inc. Method for forming a double-gated semiconductor device
US6909147B2 (en) 2003-05-05 2005-06-21 International Business Machines Corporation Multi-height FinFETS
US6765303B1 (en) 2003-05-06 2004-07-20 Advanced Micro Devices, Inc. FinFET-based SRAM cell
EP1643560A4 (en) * 2003-05-30 2007-04-11 Matsushita Electric Ind Co Ltd Semiconductor device and method for manufacturing same
US6830998B1 (en) 2003-06-17 2004-12-14 Advanced Micro Devices, Inc. Gate dielectric quality for replacement metal gate transistors
US7045401B2 (en) 2003-06-23 2006-05-16 Sharp Laboratories Of America, Inc. Strained silicon finFET device
US6911383B2 (en) 2003-06-26 2005-06-28 International Business Machines Corporation Hybrid planar and finFET CMOS devices
US20040262683A1 (en) * 2003-06-27 2004-12-30 Bohr Mark T. PMOS transistor strain optimization with raised junction regions
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7456476B2 (en) * 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US6960517B2 (en) * 2003-06-30 2005-11-01 Intel Corporation N-gate transistor
US6716686B1 (en) * 2003-07-08 2004-04-06 Advanced Micro Devices, Inc. Method for forming channels in a finfet device
US6921982B2 (en) * 2003-07-21 2005-07-26 International Business Machines Corporation FET channel having a strained lattice structure along multiple surfaces
US7013447B2 (en) * 2003-07-22 2006-03-14 Freescale Semiconductor, Inc. Method for converting a planar transistor design to a vertical double gate transistor design
KR100487566B1 (en) 2003-07-23 2005-05-03 삼성전자주식회사 Fin field effect transistors and methods of formiing the same
KR100487567B1 (en) * 2003-07-24 2005-05-03 삼성전자주식회사 Method for fabricating a finfet in a semiconductor device
EP1519420A2 (en) 2003-09-25 2005-03-30 Interuniversitaire Microelectronica Centrum vzw ( IMEC) Multiple gate semiconductor device and method for forming same
US6835618B1 (en) * 2003-08-05 2004-12-28 Advanced Micro Devices, Inc. Epitaxially grown fin for FinFET
US7172943B2 (en) * 2003-08-13 2007-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-gate transistors formed on bulk substrates
KR100496891B1 (en) 2003-08-14 2005-06-23 삼성전자주식회사 Silicon fin for finfet and method for fabricating the same
US7355253B2 (en) * 2003-08-22 2008-04-08 International Business Machines Corporation Strained-channel Fin field effect transistor (FET) with a uniform channel thickness and separate gates
WO2005022637A1 (en) * 2003-08-28 2005-03-10 Nec Corporation Semiconductor device having fin-type field effect transistors
US6998301B1 (en) * 2003-09-03 2006-02-14 Advanced Micro Devices, Inc. Method for forming a tri-gate MOSFET
US6877728B2 (en) 2003-09-04 2005-04-12 Lakin Manufacturing Corporation Suspension assembly having multiple torsion members which cooperatively provide suspension to a wheel
JP4439358B2 (en) 2003-09-05 2010-03-24 株式会社東芝 Field effect transistor and manufacturing method thereof
US7170126B2 (en) * 2003-09-16 2007-01-30 International Business Machines Corporation Structure of vertical strained silicon devices
US6970373B2 (en) 2003-10-02 2005-11-29 Intel Corporation Method and apparatus for improving stability of a 6T CMOS SRAM cell
US20050139860A1 (en) 2003-10-22 2005-06-30 Snyder John P. Dynamic schottky barrier MOSFET device and method of manufacture
US6946377B2 (en) 2003-10-29 2005-09-20 Texas Instruments Incorporated Multiple-gate MOSFET device with lithography independent silicon body thickness and methods for fabricating the same
US7138320B2 (en) 2003-10-31 2006-11-21 Advanced Micro Devices, Inc. Advanced technique for forming a transistor having raised drain and source regions
KR100515061B1 (en) 2003-10-31 2005-09-14 삼성전자주식회사 Semiconductor devices having a fin field effect transistor and methods for forming the same
US6867460B1 (en) * 2003-11-05 2005-03-15 International Business Machines Corporation FinFET SRAM cell with chevron FinFET logic
US6885072B1 (en) 2003-11-18 2005-04-26 Applied Intellectual Properties Co., Ltd. Nonvolatile memory with undercut trapping structure
US7545001B2 (en) 2003-11-25 2009-06-09 Taiwan Semiconductor Manufacturing Company Semiconductor device having high drive current and method of manufacture therefor
US7183137B2 (en) * 2003-12-01 2007-02-27 Taiwan Semiconductor Manufacturing Company Method for dicing semiconductor wafers
US7075150B2 (en) 2003-12-02 2006-07-11 International Business Machines Corporation Ultra-thin Si channel MOSFET using a self-aligned oxygen implant and damascene technique
US7018551B2 (en) * 2003-12-09 2006-03-28 International Business Machines Corporation Pull-back method of forming fins in FinFets
US7388258B2 (en) * 2003-12-10 2008-06-17 International Business Machines Corporation Sectional field effect devices
JP2005183770A (en) * 2003-12-22 2005-07-07 Mitsubishi Electric Corp High frequency semiconductor device
US7569882B2 (en) * 2003-12-23 2009-08-04 Interuniversitair Microelektronica Centrum (Imec) Non-volatile multibit memory cell and method of manufacturing thereof
US7662689B2 (en) 2003-12-23 2010-02-16 Intel Corporation Strained transistor integration for CMOS
US7223679B2 (en) 2003-12-24 2007-05-29 Intel Corporation Transistor gate electrode having conductor material layer
US7078282B2 (en) 2003-12-30 2006-07-18 Intel Corporation Replacement gate flow facilitating high yield and incorporation of etch stop layers and/or stressed films
US7105390B2 (en) * 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US7247578B2 (en) 2003-12-30 2007-07-24 Intel Corporation Method of varying etch selectivities of a film
US7045407B2 (en) 2003-12-30 2006-05-16 Intel Corporation Amorphous etch stop for the anisotropic etching of substrates
US7705345B2 (en) * 2004-01-07 2010-04-27 International Business Machines Corporation High performance strained silicon FinFETs device and method for forming same
US6974736B2 (en) 2004-01-09 2005-12-13 International Business Machines Corporation Method of forming FET silicide gate structures incorporating inner spacers
US7056794B2 (en) 2004-01-09 2006-06-06 International Business Machines Corporation FET gate structure with metal gate electrode and silicide contact
US7268058B2 (en) 2004-01-16 2007-09-11 Intel Corporation Tri-gate transistors and methods to fabricate same
US7385247B2 (en) 2004-01-17 2008-06-10 Samsung Electronics Co., Ltd. At least penta-sided-channel type of FinFET transistor
JP2005209782A (en) 2004-01-21 2005-08-04 Toshiba Corp Semiconductor device
US7250645B1 (en) 2004-01-22 2007-07-31 Advanced Micro Devices, Inc. Reversed T-shaped FinFET
US7224029B2 (en) 2004-01-28 2007-05-29 International Business Machines Corporation Method and structure to create multiple device widths in FinFET technology in both bulk and SOI
KR100587672B1 (en) 2004-02-02 2006-06-08 삼성전자주식회사 Method for forming FINFET using damascene process
EP1566844A3 (en) 2004-02-20 2006-04-05 Samsung Electronics Co., Ltd. Multi-gate transistor and method for manufacturing the same
US7060539B2 (en) * 2004-03-01 2006-06-13 International Business Machines Corporation Method of manufacture of FinFET devices with T-shaped fins and devices manufactured thereby
JP4852694B2 (en) 2004-03-02 2012-01-11 独立行政法人産業技術総合研究所 Semiconductor integrated circuit and manufacturing method thereof
US6921691B1 (en) 2004-03-18 2005-07-26 Infineon Technologies Ag Transistor with dopant-bearing metal in source and drain
US7701018B2 (en) * 2004-03-19 2010-04-20 Nec Corporation Semiconductor device and method for manufacturing same
KR100576361B1 (en) 2004-03-23 2006-05-03 삼성전자주식회사 Three dimensional CMOS field effect transistor and method of fabricating the same
US7141480B2 (en) 2004-03-26 2006-11-28 Texas Instruments Incorporated Tri-gate low power device and method for manufacturing the same
US7154118B2 (en) * 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US8450806B2 (en) 2004-03-31 2013-05-28 International Business Machines Corporation Method for fabricating strained silicon-on-insulator structures and strained silicon-on insulator structures formed thereby
US20050224797A1 (en) * 2004-04-01 2005-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS fabricated on different crystallographic orientation substrates
US20050230763A1 (en) 2004-04-15 2005-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a microelectronic device with electrode perturbing sill
KR100642632B1 (en) 2004-04-27 2006-11-10 삼성전자주식회사 Methods of fabricating a semiconductor device and semiconductor devices fabricated thereby
US7084018B1 (en) 2004-05-05 2006-08-01 Advanced Micro Devices, Inc. Sacrificial oxide for minimizing box undercut in damascene FinFET
US20050255642A1 (en) 2004-05-11 2005-11-17 Chi-Wen Liu Method of fabricating inlaid structure
US6864540B1 (en) * 2004-05-21 2005-03-08 International Business Machines Corp. High performance FET with elevated source/drain region
KR100625177B1 (en) 2004-05-25 2006-09-20 삼성전자주식회사 method of manufacturing multi-bridge channel type MOS transistor
US6955961B1 (en) * 2004-05-27 2005-10-18 Macronix International Co., Ltd. Method for defining a minimum pitch in an integrated circuit beyond photolithographic resolution
KR100634372B1 (en) 2004-06-04 2006-10-16 삼성전자주식회사 Semiconductor devices and methods for forming the same
US7452778B2 (en) * 2004-06-10 2008-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-wire devices and methods of fabrication
WO2005122276A1 (en) * 2004-06-10 2005-12-22 Nec Corporation Semiconductor device and manufacturing method thereof
US7132360B2 (en) 2004-06-10 2006-11-07 Freescale Semiconductor, Inc. Method for treating a semiconductor surface to form a metal-containing layer
US7291886B2 (en) 2004-06-21 2007-11-06 International Business Machines Corporation Hybrid substrate technology for high-mobility planar and multiple-gate MOSFETs
KR100541657B1 (en) * 2004-06-29 2006-01-11 삼성전자주식회사 Multi-gate transistor fabrication method and multi-gate transistor fabricated thereby
US8669145B2 (en) * 2004-06-30 2014-03-11 International Business Machines Corporation Method and structure for strained FinFET devices
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US20060040054A1 (en) * 2004-08-18 2006-02-23 Pearlstein Ronald M Passivating ALD reactor chamber internal surfaces to prevent residue buildup
US20060043500A1 (en) * 2004-08-24 2006-03-02 Jian Chen Transistor structure with stress modification and capacitive reduction feature in a channel direction and method thereof
US7105934B2 (en) * 2004-08-30 2006-09-12 International Business Machines Corporation FinFET with low gate capacitance and low extrinsic resistance
US7250367B2 (en) * 2004-09-01 2007-07-31 Micron Technology, Inc. Deposition methods using heteroleptic precursors
US7071064B2 (en) 2004-09-23 2006-07-04 Intel Corporation U-gate transistors and methods of fabrication
US7332439B2 (en) * 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US7247547B2 (en) 2005-01-05 2007-07-24 International Business Machines Corporation Method of fabricating a field effect transistor having improved junctions
US7875547B2 (en) 2005-01-12 2011-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Contact hole structures and contact structures and fabrication methods thereof
US7470951B2 (en) * 2005-01-31 2008-12-30 Freescale Semiconductor, Inc. Hybrid-FET and its application as SRAM
US20060172480A1 (en) 2005-02-03 2006-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Single metal gate CMOS device design
US20060180859A1 (en) * 2005-02-16 2006-08-17 Marko Radosavljevic Metal gate carbon nanotube transistor
DE102005008478B3 (en) * 2005-02-24 2006-10-26 Infineon Technologies Ag Process for the preparation of sublithographic structures
US7238564B2 (en) 2005-03-10 2007-07-03 Taiwan Semiconductor Manufacturing Company Method of forming a shallow trench isolation structure
JP4825526B2 (en) * 2005-03-28 2011-11-30 株式会社東芝 Fin-type channel transistor and manufacturing method thereof
US7177177B2 (en) 2005-04-07 2007-02-13 International Business Machines Corporation Back-gate controlled read SRAM cell
KR100699839B1 (en) 2005-04-21 2007-03-27 삼성전자주식회사 Semiconductor device having multi-channel and Method of manufacturing the same
US7429536B2 (en) * 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7396781B2 (en) * 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
US7319074B2 (en) 2005-06-13 2008-01-15 United Microelectronics Corp. Method of defining polysilicon patterns
JP4718908B2 (en) * 2005-06-14 2011-07-06 株式会社東芝 Semiconductor device and manufacturing method of semiconductor device
US7279375B2 (en) * 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US20070023795A1 (en) * 2005-07-15 2007-02-01 Kabushiki Kaisha Toshiba Semiconductor device and method of fabricating the same
US7348642B2 (en) * 2005-08-03 2008-03-25 International Business Machines Corporation Fin-type field effect transistor
US7352034B2 (en) 2005-08-25 2008-04-01 International Business Machines Corporation Semiconductor structures integrating damascene-body FinFET's and planar devices on a common substrate and methods for forming such semiconductor structures
US7339241B2 (en) * 2005-08-31 2008-03-04 Freescale Semiconductor, Inc. FinFET structure with contacts
US7416943B2 (en) 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US7479421B2 (en) * 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US8513066B2 (en) 2005-10-25 2013-08-20 Freescale Semiconductor, Inc. Method of making an inverted-T channel transistor
KR100718159B1 (en) * 2006-05-18 2007-05-14 삼성전자주식회사 Wire-type semiconductor device and method of fabricating the same
US20080017890A1 (en) * 2006-06-30 2008-01-24 Sandisk 3D Llc Highly dense monolithic three dimensional memory array and method for forming
US7456471B2 (en) * 2006-09-15 2008-11-25 International Business Machines Corporation Field effect transistor with raised source/drain fin straps
US7646046B2 (en) * 2006-11-14 2010-01-12 Infineon Technologies Ag Field effect transistor with a fin structure
CA2669704A1 (en) * 2006-11-16 2008-05-22 Allergan, Inc. Sulfoximines as kinase inhibitors
US7678632B2 (en) * 2006-11-17 2010-03-16 Infineon Technologies Ag MuGFET with increased thermal mass
US7655989B2 (en) * 2006-11-30 2010-02-02 International Business Machines Corporation Triple gate and double gate finFETs with different vertical dimension fins
US20080128797A1 (en) * 2006-11-30 2008-06-05 International Business Machines Corporation Structure and method for multiple height finfet devices
US20080212392A1 (en) * 2007-03-02 2008-09-04 Infineon Technologies Multiple port mugfet sram
JP4406439B2 (en) * 2007-03-29 2010-01-27 株式会社東芝 Manufacturing method of semiconductor device

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH065856A (en) * 1992-06-19 1994-01-14 Kawasaki Steel Corp Semiconductor device
EP1091413A2 (en) * 1999-10-06 2001-04-11 Lsi Logic Corporation Fully-depleted, fully-inverted, short-length and vertical channel, dual-gate, cmos fet
US6413802B1 (en) * 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US20040108523A1 (en) * 2002-12-06 2004-06-10 Hao-Yu Chen Multiple-gate transistor structure and method for fabricating
US20040119100A1 (en) * 2002-12-19 2004-06-24 International Business Machines Corporation Dense dual-plane devices
WO2005036651A1 (en) * 2003-10-09 2005-04-21 Nec Corporation Semiconductor device and production method therefor

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
CHOI Y-K ET AL: "SUB-20NM CMOS FINFET TECHNOLOGIES" INTERNATIONAL ELECTRON DEVICES MEETING 2001. IEDM. TECHNICAL DIGEST. WASHINGTON, DC, DEC. 2 - 5, 2001, NEW YORK, NY : IEEE, US, 2 December 2001 (2001-12-02), pages 421-424, XP001075562 ISBN: 0-7803-7050-3 *
TANG S H ET AL: "FinFET - a quasi-planar double-gate MOSFET" SOLID-STATE CIRCUITS CONFERENCE, 2001. DIGEST OF TECHNICAL PAPERS. ISSCC. 2001 IEEE INTERNATIONAL FEB. 5-7, 2001, PISCATAWAY, NJ, USA,IEEE, 5 February 2001 (2001-02-05), pages 118-437, XP010536201 ISBN: 0-7803-6608-5 *

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1939942A3 (en) * 2006-12-27 2010-06-23 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US7829932B2 (en) 2006-12-27 2010-11-09 Samsung Electronics Co., Ltd. Semiconductor device
CN101859770A (en) * 2009-04-03 2010-10-13 国际商业机器公司 Semiconductor structure and forming method thereof
US11749686B2 (en) 2010-02-05 2023-09-05 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
JPWO2016080146A1 (en) * 2014-11-20 2017-08-31 ソニー株式会社 Semiconductor device

Also Published As

Publication number Publication date
JP2008544558A (en) 2008-12-04
CN101208805A (en) 2008-06-25
TWI314779B (en) 2009-09-11
GB2442379A (en) 2008-04-02
US20070001219A1 (en) 2007-01-04
KR101021369B1 (en) 2011-03-14
KR20080024168A (en) 2008-03-17
CN101208805B (en) 2014-07-30
US7898041B2 (en) 2011-03-01
US20080258207A1 (en) 2008-10-23
GB0724762D0 (en) 2008-01-30
DE112006001735T5 (en) 2008-08-28
US7279375B2 (en) 2007-10-09
DE112006001735B4 (en) 2010-11-18
TW200715528A (en) 2007-04-16
WO2007005697A3 (en) 2007-04-12
GB2442379B (en) 2011-03-09

Similar Documents

Publication Publication Date Title
US7279375B2 (en) Block contact architectures for nanoscale channel transistors
JP6211673B2 (en) Trigate device and manufacturing method
EP2270868B1 (en) Methods of fabrication of a nonplanar semiconductor device with partially or fully wrapped around gate electrode
EP1639652B1 (en) Nonplanar device with stress incorporation layer and method of fabrication
US11699733B2 (en) Semiconductor devices
US20110147840A1 (en) Wrap-around contacts for finfet and tri-gate devices
US11728411B2 (en) Stacked gate spacers
US20190378722A1 (en) Semiconductor device with improved gate-source/drain metallization isolation
CN110957362A (en) FinFET device and forming method thereof
CN112582402A (en) Semiconductor device and method for manufacturing the same
CN114068528A (en) Contact of semiconductor device and forming method thereof
CN113113407A (en) Semiconductor device with a plurality of semiconductor chips
CN114864491A (en) Semiconductor device and method of forming the same

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200680023301.X

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application
ENP Entry into the national phase

Ref document number: 2008518524

Country of ref document: JP

Kind code of ref document: A

ENP Entry into the national phase

Ref document number: 0724762

Country of ref document: GB

Kind code of ref document: A

Free format text: PCT FILING DATE = 20060629

WWE Wipo information: entry into national phase

Ref document number: 0724762.0

Country of ref document: GB

WWE Wipo information: entry into national phase

Ref document number: 1020077030988

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 1120060017357

Country of ref document: DE

122 Ep: pct application non-entry in european phase

Ref document number: 06774395

Country of ref document: EP

Kind code of ref document: A2

RET De translation (de og part 6b)

Ref document number: 112006001735

Country of ref document: DE

Date of ref document: 20080828

Kind code of ref document: P

REG Reference to national code

Ref country code: DE

Ref legal event code: 8607