WO2007002040A2 - Method for forming silicon-containing materials during a photoexcitation deposition process - Google Patents

Method for forming silicon-containing materials during a photoexcitation deposition process Download PDF

Info

Publication number
WO2007002040A2
WO2007002040A2 PCT/US2006/023915 US2006023915W WO2007002040A2 WO 2007002040 A2 WO2007002040 A2 WO 2007002040A2 US 2006023915 W US2006023915 W US 2006023915W WO 2007002040 A2 WO2007002040 A2 WO 2007002040A2
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
silicon
gas
energy
precursor
Prior art date
Application number
PCT/US2006/023915
Other languages
French (fr)
Other versions
WO2007002040A3 (en
Inventor
Kaushal K Singh
Joseph M Ranish
Sean M Seutter
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to JP2008518299A priority Critical patent/JP2009516906A/en
Publication of WO2007002040A2 publication Critical patent/WO2007002040A2/en
Publication of WO2007002040A3 publication Critical patent/WO2007002040A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using incoherent light, UV to IR, e.g. lamps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/488Protection of windows for introduction of radiation into the coating chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Definitions

  • Embodiments of the invention generally relate to a method for depositing silicon-containing materials, and more particularly, embodiments of the invention relate to chemical vapor deposition processes utilizing photoexcitation techniques to deposit silicon based dielectric materials, such as silicon oxide, silicon nitride, silicon oxynitride, and silicon.
  • Thermal chemical vapor deposition (CVD) of silicon-containing materials is a front end process used during the manufacture of semiconductor devices.
  • thermal energy is utilized for decomposing one or more feedstock chemicals, which includes a silicon precursor, to make a thin film of a silicon nitride on a substrate surface.
  • feedstock chemicals which includes a silicon precursor
  • Conventional thermal CVD processes of silicon-containing materials are typically performed in a batch furnace or a single wafer deposition chamber operating at elevated temperatures typically in excess of 550 0 C.
  • the thermal budget for deposited films must be reduced in order to obtain satisfactory processing results, good production yield and robust device performance.
  • Embodiments of the invention generally provide a method for depositing films using a UV source during a photoexcitation process.
  • the films are deposited on a substrate and usually contain a material, such as silicon oxide, silicon nitride, silicon oxynitride, silicon (e.g., epitaxy, crystalline, microcrystalline, polysilicon, or amorphous), or other silicon-containing materials (e.g., SiGe, SiC, SiGeC, or doped variants thereof).
  • the photoexcitation process may expose the substrate and/or gases to an energy beam or flux prior to, during, or subsequent a deposition process.
  • the photoexcitation process may be used to pre-treat or post-treat the substrate, to deposit the silicon-containing material, and to enhance chamber cleaning processes. Attributes of the method that are enhanced by the UV photoexcitation process include removing native oxides prior to deposition, removing volatiles from deposited films, increasing surface energy, increasing the excitation energy of precursors, reducing deposition time, and reducing deposition temperature.
  • a method for forming a silicon material on a substrate includes positioning a substrate within a process chamber, exposing the substrate to a deposition gas containing an aminosilane precursor, an oxygen precursor, and a nitrogen precursor, exposing the deposition gas to an energy beam derived from a UV-source within the process chamber, and depositing a silicon-containing material on the substrate, such that the silicon-containing material may be amorphous and contain oxygen and nitrogen.
  • the substrate may be exposed to the energy beam during a pretreatment process prior to depositing the silicon-containing material.
  • the native oxides may be removed from the substrate during the pretreatment process.
  • the substrate may be exposed to the energy beam during a post-treatment process after depositing the silicon-containing material.
  • the energy beam may have a photon energy within a range from about 2 eV to about 10 eV, for example, from about 3.2 eV to about 4.5 eV.
  • the energy delivery gas may be passed through the energy beam during the pretreatment process or the post-treatment process.
  • the energy delivery gas may be neon, argon, krypton, xenon, argon bromide, argon chloride, krypton bromide, krypton chloride, krypton fluoride, xenon fluorides (e.g., XeF 2 ), xenon chlorides, xenon bromides, fluorine, chlorine, bromine, excimers thereof, radicals thereof, derivatives thereof, or combinations thereof.
  • the energy delivery gas further contains nitrogen gas or hydrogen gas.
  • the aminosilane precursor may be bis- tertbutylaminosilane, trisilylamine, hexakis(ethylamino)disilane, or derivatives thereof.
  • the oxygen precursor may include atomic oxygen, oxygen, ozone, water, hydrogen peroxide, radicals thereof, derivatives thereof, or combinations thereof.
  • the nitrogen precursor may include atomic nitrogen, nitrogen, azide, ammonia, hydrazine, amine compounds, hydrazine compounds, azide compounds, radicals thereof, derivatives thereof, or combinations thereof.
  • a method for forming a silicon material on a substrate includes positioning a substrate containing a monocrystalline silicon-containing surface and a second surface within a process chamber, exposing the substrate to an energy beam derived from a UV-source during a pretreatment process, and exposing the substrate to a deposition gas containing an aminosilane and the energy beam during a deposition process.
  • the method further provides depositing a silicon-containing material epitaxially and selectively over the monocrystalline silicon-containing surface while maintaining the second surface free of the silicon-containing material.
  • the monocrystalline silicon-containing surface may contain at least one element, such as germanium, carbon, boron, arsenic, phosphoric, or combinations thereof.
  • the second surface contains at least one material, such as polycrystalline silicon, amorphous silicon, silicon oxide, silicon nitride, silicon oxynitride, a metal, a metal silicate, or derivatives thereof.
  • the deposition gas may further contain an etchant.
  • the etchant may contain chlorine gas, hydrogen chloride, tetrachlorosilane, derivatives thereof, or combinations thereof.
  • a method for forming a silicon material on a substrate includes positioning a substrate containing a native oxide layer within a process chamber and exposing the substrate to an energy beam derived from a UV-source to remove the native oxide layer during a pretreatment process. The method further provides exposing the substrate to a deposition gas containing an aminosilane and the energy beam during a deposition process, depositing a polycrystalline silicon-containing material on the substrate, and exposing the substrate to the energy beam during a post- treatment process after depositing the polycrystalline silicon-containing material.
  • a method for forming a silicon material on a substrate includes positioning a substrate containing a native oxide layer within a process chamber, exposing the substrate to an energy beam derived from a UV-source to remove the native oxide layer during a pretreatment process, and depositing a silicon oxide material on the substrate during a deposition process.
  • the method further provides that during the deposition process, the substrate may be exposed to a deposition gas containing an aminosilane and an oxygen precursor, and deposition gas is exposed to the energy beam within the process chamber.
  • the oxygen precursor may contain atomic oxygen, oxygen, ozone, water, hydrogen peroxide, radicals thereof, derivatives thereof, or combinations thereof.
  • a method for forming a silicon material on a substrate includes positioning a substrate within a process chamber, depositing a silicon oxide material on the substrate during a deposition process, and exposing the substrate to the energy beam after depositing the silicon oxide material during a post-treatment process.
  • the substrate is exposed to an energy beam derived from a UV-source during a pretreatment process prior to depositing the silicon oxide material.
  • the deposition process provides exposing the substrate to a deposition gas containing an aminosilane and an oxygen precursor, and exposing the deposition gas to the energy beam.
  • a silicon oxide material containing nitrogen may be formed by providing a nitrogen precursor within the deposition gas.
  • the nitrogen precursor may contain atomic nitrogen, nitrogen, azide, ammonia, hydrazine, amine compounds, hydrazine compounds, azide compounds, radicals thereof, derivatives thereof, or combinations thereof.
  • the substrate may be exposed to a nitridation process after depositing a silicon oxide material on the substrate.
  • a method for forming a silicon material on a substrate includes positioning a substrate within a process chamber and exposing the substrate to a deposition gas that contains a silicon- containing precursor, an oxygen precursor, and a nitrogen precursor. The method further includes exposing the deposition gas to an energy beam derived from a UV-source within the process chamber, and depositing a silicon- containing material on the substrate, such that the silicon-containing material is amorphous and comprises oxygen and nitrogen.
  • a method for forming a silicon material on a substrate which includes positioning a substrate within a process chamber, exposing the substrate to a deposition gas containing a silicon- containing precursor and an oxygen precursor, exposing the deposition gas to an energy beam derived from a UV-source within the process chamber, and depositing a silicon oxide material on the substrate.
  • a method for forming a silicon material on a substrate which includes positioning a substrate within a process chamber, exposing the substrate to a deposition gas containing tetraethoxysilane and an oxygen precursor, exposing the deposition gas to an energy beam derived from a UV-source within the process chamber, and depositing a silicon oxide material on the substrate.
  • the silicon-containing precursor is an alkoxysilane compound.
  • the alkoxysilane compound is tetraethoxysilane (TEOS).
  • TEOS tetraethoxysilane
  • the silicon- containing precursor is a halosilane compound.
  • the halosilane compound may include hexachlorodisilane, tetrachlorosilane, dichlorosilane, derivatives thereof, or combinations thereof.
  • the silicon-containing precursor may include silane, disilane, trisilane, methylsilane, derivatives thereof, or combinations thereof.
  • the oxygen precursor may contain atomic oxygen, oxygen, ozone, water, hydrogen peroxide, radicals thereof, derivatives thereof, or combinations thereof.
  • the nitrogen precursor may contain atomic nitrogen, nitrogen, azide, ammonia, hydrazine, amine compounds, hydrazine compounds, azide compounds, radicals thereof, derivatives thereof, or combinations thereof.
  • Figure 1 is a flow diagram of a process for depositing a silicon nitride material as described by embodiments herein;
  • Figure 2 is a flow diagram of a process for depositing a silicon oxide material as described by embodiments herein;
  • Figure 3 is a flow diagram of a process for depositing a silicon oxynitride material as described by embodiments herein;
  • Figure 4 is a flow diagram of a process for depositing a silicon material as described by embodiments herein;
  • Figures 5A-5B are cross sectional views of a MOSFET transistor having silicon-containing materials deposited according to processes described by embodiments herein;
  • Figure 6 is a cross section of an exemplary bi-polar transistor having silicon-containing materials deposited according to processes described by embodiments herein;
  • Figure 7 is a simplified cross sectional view of one embodiment of a deposition chamber that may be used during a process for depositing silicon- containing materials as described herein;
  • Figure 8 is a sectional view of one embodiment of a flow control ring
  • Figures 9A-9B are top and bottom views of the flow control ring of Figure 8.
  • Figure 10 is a partial sectional view of the lid of the chamber depicted in Figure 7 and one embodiment of a photoexcitation system;
  • Figures 11A-11B illustrate schematics of apparatus for directing an energy beam or flux on a substrate;
  • Figure 12 is an exploded, sectional view of one embodiment of a frame assembly utilized to retain one or more windows in the photoexcitation system of Figure 10;
  • Figures 13A-13B are top and bottom perspective views of a baffle plate.
  • Figure 14 is a sectional view of the lid and photoexcitation system taken along section lines 14-- 14 of Figure 10.
  • Embodiments of the invention provide a process for depositing a silicon-containing material onto a substrate.
  • Silicon-containing materials include silicon oxide, silicon nitride, and silicon oxynitride, as well as silicon materials that may contain germanium, carbon, dopants, or combinations thereof.
  • Many of the embodiments described herein are advantageously performed utilizing temperatures of less than about 55O 0 C.
  • some examples of the processes are described with reference to a single wafer thermal-chemical vapor deposition chamber (e.g., process chamber 1100 illustrated in Figure 7), other processes may be beneficially practiced in other deposition systems, such as a batch chamber.
  • Process chambers which may be used during the deposition processes as described herein include a SINGEN ® Plus chamber and a POLYGEN TM chamber, each available from Applied Materials, Inc., located in Santa Clara, California.
  • An excimer such as a Xe-excimer, may be integrated into theses process chambers and used as an UV-source.
  • a useful Xe-excimer source at 172 nm is the XERADEX ® 20, available from Osram Sylvania, located in Westfield, Indiana.
  • silicon oxide silicon nitride, silicon oxynitride, and silicon materials
  • the process may be adapted to deposit other silicon-containing materials, such as metal suicides and metal silicates.
  • pulsed-CVD atomic layer deposition
  • a silicon precursor and a reactant e.g., another precursor, a reductant, or an oxidizer
  • the substrate is sequentially exposed to a deposition gas containing the silicon precursor and the reactant.
  • the substrate is sequentially exposed to the silicon precursor and the reactant.
  • ALD atomic layer deposition
  • the substrate is sequentially exposed to the silicon precursor and the reactant during an ALD process.
  • Plasma enhanced or thermally enhanced deposition techniques may be used during either ALD or CVD processes.
  • Silicon- containing materials may be deposited on a single substrate or a batch of substrates during the deposition processes described herein.
  • Figures 1-4 illustrate flow chart diagrams of processes 100, 200, 300, and 400 for depositing silicon-containing materials, as described by embodiments herein.
  • Processes 100, 200, 300, and 400 may be performed within process chamber 1100, such as described by examples herein, or by other suitable chamber and equipment.
  • the silicon-containing material may include silicon nitride, silicon oxide, silicon oxynitride, silicon materials (epitaxy, polysilicon, or amorphous), such as silicon, silicon carbide, silicon germanium, silicon germanium carbide, doped variants thereof, derivatives thereof, or combinations thereof.
  • Silicon-containing materials may be deposited on a substrate, such as a silicon nitride material by process 100 ( Figure 1 ), a silicon oxide material by process 200 ( Figure 2), a silicon oxynitride material by process 300 ( Figure 3), or a silicon material by process 400 ( Figure 4) as described by embodiments herein.
  • the silicon-containing materials may be deposited as layers on a substrate to form electronic features, such as a MOSFET transistor ( Figures 5A-5B), a bi-polar transistor ( Figure 6), or other silicon-containing layers, as well as within a photovoltaic cell.
  • Figure 1 depicts a flow diagram of process 100 for depositing a silicon nitride material, as described by embodiments herein.
  • the substrate may be positioned within a process chamber (step 110), optionally exposed to a pretreatment process (step 120), and heated to a predetermined temperature (step 130). Subsequently, a silicon nitride material may be deposited on the substrate (step 140).
  • the substrate may be optionally exposed to post- deposition treatment process (step 150) and the process chamber may be optionally exposed to a chamber clean process (step 160).
  • the substrate may be positioned within a process chamber during step 110.
  • the process chamber may be a single wafer chamber or a batch chamber containing multiple wafers or substrates (e.g., 25, 50, 100, or more).
  • the substrate may be maintained in a fixed position, but preferably, is rotated by a support pedestal.
  • the substrate may be indexed during one or more steps of process 100.
  • Process chamber 1100 may be used during process 100 to deposit silicon nitride materials on substrate 1122 as described by examples herein.
  • substrate 1122 may be rotated on substrate support pedestal 1124 within process chamber 1100 at a rate of up to about 120 rpm (revolutions per minute).
  • substrate 1122 may be positioned on substrate support pedestal 1124 and not rotated during the deposition process.
  • the substrate is optionally exposed to at least one pretreatment process during step 120.
  • the substrate surface may contain native oxides that are removed during a pretreatment process.
  • the substrate may be pretreated with an energy beam generated by direct photoexcitation system to remove the native oxides from the substrate surface prior to depositing a silicon nitride material during step 140.
  • a process gas may be exposed to the substrate during the pretreatment process.
  • the process gas may contain argon, nitrogen, helium, hydrogen, forming gas, or combinations thereof.
  • the pretreatment process may last for a time period within a range from about 2 minutes to about 10 minutes to facilitate native oxide removal during a photoexcitation process.
  • the substrate may be heated during step 120 to a temperature within a range from about 100 0 C to about 800 0 C, preferably, from about 200 0 C to about 600 0 C, and more preferably, from about 300 0 C to about 500 0 C, to facilitate native oxide removal during process 100.
  • Lamp 1170 may provide an energy beam having a photon energy within a range from about 2 eV to about 10 eV.
  • lamp 1170 provides an energy beam of UV radiation having a wavelength within a range from about 126 nm to about 351 nm.
  • Lamp 1170 may be energized for a period sufficient to remove oxides. The energization period is selected based upon the size and geometry of window 1174 (which corresponds to the exposed area of substrate 1122) and the substrate rotation speed. In one embodiment, lamp 1170 is energized for a time period within a range from about 2 minutes to about 10 minutes to facilitate native oxide removal during a photoexcitation process.
  • substrate 1122 may be heated to a temperature within a range from about 100°C to about 800°C during step 120. In another example, substrate 1122 may be heated to a temperature within a range from about 300 0 C to about 500 0 C during step 120, while lamp 1170 provides an energy beam having a photon energy within a range from about 2 eV to about 10 eV for a time period within a range from about 2 minutes to about 5 minutes to facilitate native oxide removal. In one example, the energy beam has a photon energy within a range from about 3.2 eV to about 4.5 eV for about 3 minutes.
  • native oxide removal may be augmented by a photoexcitation process in the presence of a process gas containing an energy delivery gas during a pretreatment process at step 120.
  • the energy delivery gas may be neon, argon, krypton, xenon, argon bromide, argon chloride, krypton bromide, krypton chloride, krypton fluoride, xenon fluorides (e.g., XeFa), xenon chlorides, xenon bromides, fluorine, chlorine, bromine, excimers thereof, radicals thereof, derivatives thereof, or combinations thereof.
  • the process gas may also contain nitrogen gas (N 2 ), hydrogen gas (Hb), forming gas (e.g., N2/H2 or Ar/H 2 ) besides at least one energy delivery gas.
  • substrate 1122 may be exposed to a process gas containing an energy delivery gas by providing the process gas to interior volume 1104 of process chamber 1100 during step 120.
  • the energy delivery gas may be provided through flow control ring 1142 from gas panel 1136.
  • the proximately of the process gas to lamp 1170 compared to substrate 1122 readily excites the energy delivery gas therein. As the energy delivery gas de- excites and moves closer to substrate 1122, the energy is efficiently transferred to the surface of substrate 1122, thereby facilitating the removal of native oxides.
  • native oxide removal may be augmented by a photoexcitation process in the presence of a process gas containing an organic vapor during the pretreatment process at step 120.
  • the substrate may be exposed to the process gas containing a cyclic aromatic hydrocarbon.
  • the cyclic aromatic hydrocarbon may be in the presence of UV radiation.
  • Monocyclic aromatic hydrocarbons and polycyclic aromatic hydrocarbons that are useful during a pretreatment process include quinone, hydroxyquinone (hydroquinone), anthracene, naphthalene, phenanthracene, derivatives thereof, or combinations thereof.
  • the substrate may be exposed to the process gas containing other hydrocarbons, such as unsaturated hydrocarbons, including ethylene, acetylene (ethyne), propylene, alkyl derivatives, halogenated derivates, or combinations thereof.
  • the organic vapor may contain alkane compounds during the pretreatment process at step 120.
  • the UV radiation having a wavelength within a range from about 126 nm to about 351 nm may be generated by a lamp during step 120.
  • polycyclic aromatic hydrocarbons may remove native oxides in the presence of UV radiation by reacting with oxygen atoms within the native oxides.
  • native oxides may be removed by exposing the substrate to quinone or hydroxyquinone while forming derivative products. The derivative product may be removed from the process chamber by a vacuum pumping process.
  • the substrate may be heated to a predetermined temperature during or subsequent to the pretreatment process.
  • the substrate is heated prior to depositing the silicon nitride material at step 140.
  • the substrate may be heated by an embedded heating element within the substrate support, the energy beam (e.g., UV-source), or combinations thereof.
  • the substrate is heated long enough to obtain the predetermined temperature, such as for a time period within a range from about 15 seconds to about 30 minutes, preferably, from about 30 seconds to about 20 minutes, and more preferably, from about 1 minute to about 10 minutes.
  • the substrate may be heated to a temperature within a range from about 200 0 C to about 1 ,000 0 C, preferably, from about 400°C to about 85O 0 C, and more preferably, from about 55O 0 C to about 800 0 C. In another embodiment, the substrate may be heated to a temperature of less than about 550 0 C, preferably, less than about 450 0 C.
  • substrate 1122 may be heated to the predetermined temperature within process chamber 1100.
  • the predetermined temperature may be within a range from about 300°C to about 500 0 C.
  • Substrate 1122 may be heated by applying power from power source 1116 to the resistive heating element (i.e., the heater 1120).
  • a silicon nitride material is deposited on the substrate during a deposition process at step 140.
  • the silicon nitride material may be formed by exposing the substrate to at least one deposition gas during the deposition process.
  • the deposition process is a CVD process having a deposition gas that may contain a silicon precursor and a nitrogen precursor or a precursor containing both silicon and nitrogen sources.
  • the deposition process may be an ALD process having at least two deposition gases, such that, the substrate is sequentially exposed to a silicon precursor and a nitrogen precursor.
  • the deposition process may be a thermal process, a radical process, or a combination thereof.
  • the substrate may be exposed to a process gas in the presence of an energy beam generated by a direct photoexcitation system.
  • Examples of suitable nitrogen precursors for forming silicon nitride materials at step 140 include ammonia (NH 3 ), hydrazine (NaH 4 ), organic amines, organic hydrazines, organic diazines (e.g., methyldiazine ((H 3 C)NNH)), silylazides, silylhydrazines, hydrogen azide (HN 3 ), hydrogen cyanide (HCN), atomic nitrogen (N), nitrogen (N 2 ), derivatives thereof, or combinations thereof.
  • Organic amines as nitrogen precursors include R x NH 3 . Xi where each R is independently an alkyl group or an aryl group and x is 1 , 2, or 3.
  • organic amines examples include trimethylamine ((CH 3 ) 3 N), dimethylamine ((CHs) 2 NH), methylamine ((CH 3 )NH 2 )), triethylamine ((CH 3 CH 2 ) 3 N), diethylamine ((CH 3 CHz) 2 NH), ethylamine ((CH 3 CH 2 )NH 2 )), tertbutylamine (((CHs) 3 C)NH 2 ), derivatives thereof, or combinations thereof.
  • Organic hydrazines as nitrogen precursors include R x N 2 H 4- X, where each R is independently an alkyl group or an aryl group and x is 1 , 2, 3, or 4.
  • organic hydrazines examples include methylhydrazine ((CHs)N 2 Ha), dimethylhydrazine ((CHs) 2 N 2 H 2 ), ethylhydrazine ((CH 3 CH 2 )N 2 H 3 ), diethylhydrazine ((CH 3 CH 2 ) 2 N 2 H 2 ), tertbutylhydrazine (((CHs)SC)N 2 Hs), ditertbutylhydrazine (((CHs) 3 C) 2 N 2 H 2 ), radicals thereof, plasmas thereof, derivatives thereof, or combinations thereof.
  • the nitrogen precursors ideally contain a nitrogen-nitrogen single bond (i.e., N-N single bond) for decomposition of the nitrogen precursor at low temperatures. Additionally, when a silicon precursor and nitrogen precursor are used in the process gas mix, some amount of a nitrogen precursor may be included in the gas mix for additional control over the composition of the deposited layer during deposition. In a preferred example, the nitrogen precursor is ammonia.
  • the nitrogen precursor generally disassociate at a temperature of less than about 500 0 C with a high vapor pressure at room temperature.
  • suitable nitrogen precursors and the respective vapor pressure include methylamine having a vapor pressure of about 353 kPa at 25°C, methylhydrazine having a vapor pressure of about 66 kPa at 25 0 C, and hydrocyanic acid having a vapor pressure of about 98.8 kPa at 25°C (e.g., aqueous hydrogen cyanide solution).
  • Examples of suitable silicon precursors for forming silicon nitride materials at step 140 include aminosilanes, aminodisilanes, silylazides, silylhydrazines, or derivatives thereof.
  • Some specific examples of silicon precursors include bis(tertbutylamino)silane (BTBAS or ( 4 Bu(H)N) 2 SiH 2 ), hexachlorodisilane (HCD or Si 2 CIe), tetrachlorosilane (SiCI 4 ), dichlorosilane (H 2 SiCI 2 ), 1 ,2-diethyl-tetrakis(diethylamino) disilane
  • Silicon precursors that may be used to produce a silicon nitride material by the UV-assisted chemical vapor deposition at sufficiently high deposition rates while at a low temperatures include compounds having one or more Si-N bonds or Si-Cl bonds, such as bis(tertbutylamino)silane (BTBAS or ( 1 Bu(H)N) 2 SiH 2 ) or hexachlorodisilane (HCD or Si 2 CI 6 ).
  • BBAS bis(tertbutylamino)silane
  • HCD hexachlorodisilane
  • HCD hexachlorodisilane
  • the number of Si-Cl groups can be varied relative to the number of Si-N groups.
  • Silicon precursors having preferred bond structures described above have the chemical formulas:
  • R and R' may be one or more functional groups independently selected from the group of a halogen, an organic group having one or more double bonds, an organic group having one or more triple bonds, an aliphatic alkyl group, a cyclical alkyl group, an aromatic group, an organosilyl group, an alkylamino group, or a cyclic group containing N or Si, or combinations thereof.
  • Suitable functional groups on silicon precursors include chloro (-Cl), methyl (-CH 3 ), ethyl (-CH 2 CH 3 ), isopropyl (-CH(CH 3 ) 2 ), tertbutyl (-C(CH 3 J 3 ), trimethylsilyl (-Si(CH 3 ) 3 ), pyrrolidine, or combinations thereof. It is believed that many of the silicon precursors or the nitrogen precursors described herein may decompose or disassociate at a low temperature, such as about 550 0 C or less.
  • Suitable silicon precursors include silylazides R 3- SiN 3 and silylhydrazine class of precursors R 3 SiNRNR 2 , linear and cyclic with any combination of R groups.
  • the R groups may be H or any organic functional group such as methyl, ethyl, propyl, butyl, and the like (C X H Y ).
  • the R groups attached to Si can optionally be another amino group NH2 or NR 2 .
  • One benefit of using a silicon-nitrogen precursor is that silicon and nitrogen are simultaneously delivered while avoiding the presence of chlorine to yield films with good step coverage and minimal pattern dependence (so-called pattern loading) without the undesirable ammonium chloride particle formation problematic to other conventions Si-N film precursors.
  • Examples of specific silylazide compounds include trimethylsilylazide ((CH 3 ) 3 SiN 3 ) (available from United Chemical Technologies, located in Bristol, Pennsylvania) and tris(dimethylamine)silylazide (((CH 3 ) 2 N) 3 SiN 3 ).
  • An example of a specific silylhydrazine compound is 1 ,1-dimethyl-2-dimethylsilylhydrazine ((CH 3 ) 2 HSiNHN(CH 3 ) 2 ).
  • the silicon-nitrogen precursor may be at least one of (R 3 Si) 3 N, (R 3 Si) 2 NN(SiR 3 ) 2 and (R 3 Si)NN(SiR 3 ), wherein each R is independently hydrogen or an alkyl, such as methyl, ethyl, propyl, butyl, phenyl, or combinations thereof.
  • suitable silicon-nitrogen precursor include trisilylamine ((H 3 Si) 3 N), (H 3 Si) 2 NN(SiH 3 ) 2 , (H 3 Si)NN(SiH 3 ), or derivatives thereof.
  • a silicon nitride material may be deposited on substrate 1122 within process chamber 1100 during a deposition process at step 140.
  • substrate 1122 may be exposed to a process gas containing a silicon precursor and a nitrogen precursor during a CVD process.
  • the silicon and nitrogen precursors are generally provided from gas panel 1136 to interior volume 1104 of chamber body 1102 through flow control ring 1142.
  • the nitrogen precursor and the silicon precursor may be introduced at step 140 into process chamber or exposed to substrate 1122 by inlet port 1134 simultaneously, such as during a traditional CVD process or sequentially, such as during an ALD process.
  • the ALD process may expose the substrate to at least two deposition gases, such that, the substrate is sequentially exposed to a silicon precursor and a nitrogen precursor.
  • a silicon precursor and a nitrogen precursor may be provided to process chamber 1100 in separate gas lines. The temperature may be controlled for each gas line.
  • a description of CVD and ALD processes and apparatuses that may be modified (e.g., incorporating a UV radiation source) and chemical precursors that may be useful for depositing silicon nitride materials are further disclosed in commonly assigned U.S. Pat. Nos. 6,677,247, 6,869,838, and 6,825,134, and commonly assigned U.S. Ser. No. 09/964,075, filed September 25, 2001 , and published as US 2003-0059535, U.S. Ser. No. 10/263,555, filed May 16, 2002, and published as US 2003-0215570, U.S. Ser. No. 10/898,547, filed July 23, 2004, and published as US 2006-0019032, U.S. Ser. No.
  • a silicon-containing material such as a silicon nitride material
  • the deposited silicon nitride material exhibits good film qualities such as reflective index and wet etch rate.
  • the silicon nitride material may be deposited at a rate within a range from about 10 A/min to about 500 A/min and is deposited to a thickness within a range from about 10 A to about 1,000 A.
  • Silicon nitride materials may have a chemical formula such as Si x Ny, wherein a nitrogen:silicon atomic ratio (Y/X) is about 1.33 or less, for example, Si 3 N 4 .
  • the materials formed as described herein exhibits low hydrogen content and includes a small amount of carbon doping, which enhances boron retention in PMOS devices.
  • a halogen-free silicon precursor improves the wet etch rate.
  • a carrier gas may be provided during step 140 to control the partial pressure of the nitrogen precursor and the silicon precursor.
  • the total internal pressure of a single wafer process chamber may be at a pressure within a range from about 100 mTorr to about 740 Torr, preferably, from about 250 mTorr to about 100 Torr, and more preferably, from about 500 mTorr to about 50 Torr.
  • the internal pressure of the process chamber is maintained at a pressure of about 10 Torr or less, preferably, about 5 Torr or less, and more preferably, about 1 Torr or less.
  • the carrier gas may be provided to control the partial pressure of the nitrogen precursor or the silicon precursor within a range from about 100 mTorr to about 1 Torr for batch processing systems.
  • suitable carrier gases include nitrogen, hydrogen, argon, helium, forming gas, or combinations thereof.
  • the substrate, the silicon precursor, and/or the nitrogen precursor may be exposed to an energy beam or a flux of energy generated by the photoexcitation system during the deposition process at step 140.
  • the use of the energy beam advantageously increases the deposition rate and improves surface diffusion or mobility of atoms within the silicon nitride material to create active sites for incoming reactive species.
  • the beam has energy within a range from about 3.0 eV to about 9.84 eV.
  • the energy beam may have a wavelength within a range from about 126 nm to about 450 nm.
  • lamp 1170 of direct photoexcitation system 1192 and/or lamp 1184 of remote photoexcitation system 1182 provides an energy beam to supply the excitation energy of at least one of the silicon precursor or the nitrogen precursor.
  • the high deposition rate and the low deposition temperature produce a film having tunable properties with minimal parasitic side reactions.
  • the energy beam or flux may have a photon energy within a range from about 4.5 eV to about 9.84 eV.
  • the substrate surface and the process gases may also be excited by lamps 1170 and 1184.
  • Gas phase excitation and surface reactions are controlled by UV excimer selection.
  • optical excitation of Si 2 He may be achieved by using UV photons of hv > 4.5 eV and hv > 8 eV ( ⁇ ⁇ 155 nm.
  • intermediates of silanes - and NH 3 * (405 nm), NH 2 * (470.7 nm), NH* (336 nm) (with * indicating the compound in an excited state) enhances cross-linking between Si and N which may cause Si-N bond distortion in the SiN network, desirable for increasing film tensile stress.
  • the substrate containing the silicon nitride material (formed in step 140) is exposed to a post-deposition treatment process during step 150.
  • the post-deposition treatment process increases the substrate surface energy after deposition, which advantageously removes volatiles and/or other film contaminants (such as by reducing the hydrogen content) and/or anneals the deposited film.
  • a lower concentration of hydrogen from the deposited material advantageously increases tensile stress of the film.
  • At least one lamp e.g., lamps 1170 or 1184) may alternatively be utilized to energize an energy delivery gas which is exposed to the substrate to increase the surface energy of the substrate after deposition and to remove volatiles and/or other films.
  • an energy delivery gas may be provided to interior volume 1104 of process chamber 1100.
  • suitable energy delivery gases include nitrogen, hydrogen, helium, argon, and combinations thereof.
  • substrate 1122 is treated with an energy beam or flux of energy generated by photoexcitation system 1144 during step 150.
  • lamp 1170 of direct photoexcitation system 1192 provides an energy beam to supply the surface energy of substrate 1122 during step 150.
  • the energy beam or flux may have a photon energy within a range from about 3.53 eV to about 9,84 eV.
  • lamp 1170 may produce an energy beam having a wavelength within a range from about 126 nm to about 351 nm. Generally, lamp 1170 may be energized for a time period within a range from about 1 minute to about 10 minutes to facilitate post deposition treatment by photoexcitation.
  • volatile compounds or contaminants may be removed from the deposited film surface by exposing the substrate to an energy beam having a photon energy within a range from about 3.2 eV to about 4.5 eV is generated by lamp 1170 and/or lamp 1184 is utilized to dissociate Si-H (3.21 eV), N-H (3.69 eV), NH-H (3.86 eV), H 2 N-H (4.47 eV), and Si-N (4.51 eV) radicals within process chamber 1100.
  • excimer lamps such as XeBr* (283 nm/4.41 eV), Br 2 * (289 nm/4.29 eV), XeCI* (308 nm/4.03 eV), I 2 * (342 nm/3.63 eV), XeF* (351 nm/3.53 eV) may be selected to dissociate the Si-H and N-H bonds to remove hydrogen from the SiN network. It is contemplated that the rotational speed of the substrate may be changed, for example, by increasing the rotation speed in step 150 relative to the preceding deposition step.
  • the substrate may be removed from the process chamber and the process chamber is subsequently exposed to a chamber clean process during step 160.
  • the process chamber may be cleaned using a photoexcited cleaning agent.
  • the cleaning agent may be provided from the remote plasma source 1190.
  • the cleaning agent includes fluorine.
  • the cleaning agent may be photoexcited within process chamber 1100 using lamp 1170, or remotely from process chamber 1100 using lamp 1184.
  • lamp 1170 may be used to maintain the excitation level of cleaning agents formed by remote plasma source (RPS) 1190.
  • RPS remote plasma source
  • Process chamber 1100 may be cleaned during a chamber clean process to enhance deposition performance.
  • the chamber clean process may be used to remove contaminants contained on windows 1174 and 1186, thereby minimizing transmission losses of the energy beam or flux traveling through window 1174 or 1186 and maximizing the energy transferred to the gases and surfaces.
  • windows 1174 and 1186 may be cleaned using a photoexcited agent formed from RPS 1190 during the chamber clean process.
  • Windows 1174 and 1186 may be cleaned with greater frequency than process chamber 1100, for example, process chamber 1100 may be cleaned using RPS 1190 after processing a number of substrates while windows 1174 and 1186 are cleaned after processing each substrate.
  • the elemental composition of the silicon nitride material deposited during step 140 may be predetermined by controlling the concentration or flow rate of the chemical precursors, namely the silicon precursor and the nitrogen precursor. Film properties may be tailored for specific applications by controlling the relative concentrations of Si, O, N, C, and H within the silicon nitride material. In one embodiment, the elemental concentrations of silicon, nitrogen, and hydrogen may be tuned by varying the range of the UV energy during or subsequent the deposition process.
  • the film properties include wet etch rate, dry etch rate, stress, dielectric constant, and the like. For example, by reducing the hydrogen content, the deposited material may have a higher tensile stress. In another example, by reducing the carbon content, the deposited material may have a lower electrical resistance.
  • the dissociation of the silicon-source molecule takes place at lower temperatures, thereby enabling lower temperature processing.
  • the functional group e.g., Si- R or Si-Si
  • Si-R or Si-Si is weakly bonded compared to Si-N bond, since the bond enthalpy of Si-N (about 470 kJ/mol) is higher than that of Si-Si (about 327 kJ/mol) or Si-C (about 452 kJ/mol).
  • the nitrogen precursors used in this invention that contain a carbon and hydrogen function group, which react with R or Si-R from N-Si-R or N-Si-Si-R in the silicon precursor, allow the R group to become dissociated and more easily removed than without reacting with nitrogen precursor.
  • the nitrogen precursor provides nitrogen and carbon sources to the silicon nitride material.
  • embodiments of the methods may advantageously facilitate low temperature processing, e.g., at temperatures of less than about 55O 0 C.
  • Silicon nitride materials deposited during process 100 as described herein may be used throughout electronic features/devices due to several physical properties. Silicon nitride materials are electric insulators, as well as barrier materials. The barrier properties inhibit ion diffusion between dissimilar materials or elements when a silicon nitride material is placed therebetween, such as a gate material and an electrode, or between low dielectric constant porous materials and copper. Therefore, a silicon nitride material may be used in barrier layers, protective layers, off-set layers, spacer layers, and capping layers. Another physical property of silicon nitride materials is a high degree of hardness. In some applications, a silicon nitride material may be used as a protective coating for various optical devices as well as tools.
  • silicon nitride materials may be selectively etched relative to silicon oxide, i.e., silicon nitride may be used as an etch stop layer under a silicon oxide dielectric layer to accurately control etch depth without over or under etching. Silicon nitride materials may be formed containing a predetermined concentration of carbon and hydrogen to provide a desirable film stress, such as high tensile stress.
  • silicon nitride materials may be deposited during process 100 as layers on a substrate to form electronic features, such as a MOSFET transistor ( Figures 5A-5B), a bi-polar transistor ( Figure 6), or other silicon- containing layers.
  • silicon nitride materials deposited by process 100 may be used throughout photovoltaic cell applications, such as to form a solar cell.
  • Figure 2 depicts a flow diagram of process 200 for depositing a silicon oxide material, as described by embodiments herein.
  • the substrate may be positioned within a process chamber (step 210), optionally exposed to a pretreatment process (step 220), and heated to a predetermined temperature (step 230). Subsequently, a silicon oxide material may be deposited on the substrate (step 240).
  • the substrate may be optionally exposed to post- deposition treatment process (step 250) and the process chamber may be optionally exposed to a chamber clean process (step 260).
  • the substrate may be positioned within a process chamber during step 210.
  • the process chamber may be a single wafer chamber or a batch chamber containing multiple wafers or substrates (e.g., 25, 50, 100, or more).
  • the substrate may be maintained in a fixed position, but preferably, is rotated by a support pedestal.
  • the substrate may be indexed during one or more steps of process 200.
  • Process chamber 1100 may be used during process 200 to deposit silicon oxide materials on substrate 1122 as described by examples herein.
  • substrate 1122 may be rotated on substrate support pedestal 1124 within process chamber 1100 at a rate of up to about 120 rpm.
  • substrate 1122 may be positioned on substrate support pedestal 1124 and not rotated during the deposition process.
  • the substrate is optionally exposed to at least one pretreatment process during step 220.
  • the substrate surface may contain native oxides that are removed during a pretreatment process.
  • the substrate may be pretreated with an energy beam generated by direct photoexcitation system to remove the native oxides from the substrate surface prior to depositing a silicon oxide material during step 240.
  • a process gas may be exposed to the substrate during the pretreatment process.
  • the process gas may contain argon, nitrogen, helium, hydrogen, forming gas, or combinations thereof.
  • the pretreatment process may last for a time period within a range from about 2 minutes to about 10 minutes to facilitate native oxide removal during a photoexcitation process.
  • the substrate may be heated during step 220 to a temperature within a range from about 100 0 C to about 800 0 C, preferably, from about 200°C to about 600°C, and more preferably, from about 300 0 C to about 500 0 C, to facilitate native oxide removal during process 200.
  • Lamp 1170 may provide an energy beam having a photon energy within a range from about 2 eV to about 10 eV, for example, from about 3.2 eV to about 4.5 eV.
  • lamp 1170 provides an energy beam of UV radiation having a wavelength within a range from about 126 nm to about 351 nm.
  • Lamp 1170 may be energized for a period sufficient to remove oxides. The energization period is selected based upon the size and geometry of window 1174 (which corresponds to the exposed area of substrate 1122) and the substrate rotation speed.
  • lamp 1170 is energized for a time period within a range from about 2 minutes to about 10 minutes to facilitate native oxide removal during a photoexcitation process.
  • substrate 1122 may be heated to a temperature within a range from about 100 0 C to about 800 0 C during step 220.
  • substrate 1122 may be heated to a temperature within a range from about 300°C to about 500°C during step 220, while lamp 1170 provides an energy beam having a photon energy within a range from about 2 eV to about 10 eV for a time period within a range from about 2 minutes to about 5 minutes to facilitate native oxide removal.
  • the energy beam has a photon energy within a range from about 3.2 eV to about 4.5 eV for about 3 minutes.
  • native oxide removal may be augmented by a photoexcitation process in the presence of a process gas containing an energy delivery gas during a pretreatment process at step 220.
  • the energy delivery gas may be neon, argon, krypton, xenon, argon bromide, argon chloride, krypton bromide, krypton chloride, krypton fluoride, xenon fluorides (e.g., XeF 2 ), xenon chlorides, xenon bromides, fluorine, chlorine, bromine, excimers thereof, radicals thereof, derivatives thereof, or combinations thereof.
  • the energy delivery gas may contain ozone, oxygen, hydrogen, water, hydroxyls, radicals thereof, plasma thereof, or combinations thereof.
  • the process gas may also contain nitrogen gas (N 2 ), hydrogen gas (H 2 ), forming gas (e.g., N 2 /H 2 or Ar/H 2 ) besides at least one energy delivery gas.
  • substrate 1122 may be exposed to a process gas containing an energy delivery gas by providing the process gas to interior volume 1104 of process chamber 1100 during step 220.
  • the energy delivery gas may be provided through flow control ring 1142 from gas panel 1136.
  • the proximately of the process gas to lamp 1170 compared to substrate 1122 readily excites the energy delivery gas therein. As the energy delivery gas de- excites and moves closer to substrate 1122, the energy is efficiently transferred to the surface of substrate 1122, thereby facilitating the removal of native oxides.
  • native oxide removal may be augmented by a photoexcitation process in the presence of a process gas containing an organic vapor during the pretreatment process at step 220.
  • the substrate may be exposed to the process gas containing a cyclic aromatic hydrocarbon.
  • the cyclic aromatic hydrocarbon may be in the presence of UV radiation.
  • Monocyclic aromatic hydrocarbons and polycyclic aromatic hydrocarbons that are useful during a pretreatment process include quinone, hydroxyquinone (hydroquinone), anthracene, naphthalene, phenanthracene, derivatives thereof, or combinations thereof.
  • the substrate may be exposed to the process gas containing other hydrocarbons, such as unsaturated hydrocarbons, including ethylene, acetylene (ethyne), propylene, alkyl derivatives, halogenated derivates, or combinations thereof.
  • the organic vapor may contain alkane compounds during the pretreatment process at step 220.
  • the UV radiation having a wavelength within a range from about 126 nm to about 351 nm may be generated by a lamp during step 220.
  • polycyclic aromatic hydrocarbons may remove native oxides in the presence of UV radiation by reacting with oxygen atoms within the native oxides.
  • native oxides may be removed by exposing the substrate to quinone or hydroxyquinone while forming derivative products. The derivative product may be removed from the process chamber by a vacuum pumping process.
  • the substrate may be heated to a predetermined temperature during or subsequent to the pretreatment process.
  • the substrate is heated prior to depositing the silicon oxide material at step 240.
  • the substrate may be heated by an embedded heating element within the substrate support, the energy beam (e.g., UV-source), or combinations thereof.
  • the substrate is heated long enough to obtain the predetermined temperature, such as for a time period within a range from about 15 seconds to about 30 minutes, preferably, from about 30 seconds to about 20 minutes, and more preferably, from about 1 minute to about 10 minutes.
  • the substrate may be heated to a temperature within a range from about 200 0 C to about 1 ,000 0 C, preferably, from about 400 0 C to about 85O 0 C, and more preferably, from about 500°C to about 900°C. In another embodiment, the substrate may be heated to a temperature of less than about 550 0 C, preferably, less than about 450°C.
  • substrate 1122 may be heated to the predetermined temperature within process chamber 1100.
  • the predetermined temperature may be within a range from about 300 0 C to about 500 0 C.
  • Substrate 1122 may be heated by applying power from power source 1116 to the resistive heating element (i.e., the heater 1120).
  • a silicon oxide material is deposited on the substrate during a deposition process at step 240.
  • the silicon oxide material may be formed by exposing the substrate to at least one deposition gas during the deposition process.
  • the deposition process is a CVD process having a deposition gas that may contain a silicon precursor and an oxygen precursor or a precursor containing both silicon and oxygen sources.
  • the deposition process may be an ALD process having at least two deposition gases, such that, the substrate is sequentially exposed to a silicon precursor and an oxygen precursor.
  • the deposition process may be a thermal process, a radical process, or a combination thereof.
  • the substrate may be exposed to a process gas in the presence of an energy beam generated by a direct photoexcitation system.
  • Examples of suitable oxygen precursors for forming silicon oxide materials during step 240 include atomic oxygen (O), oxygen (O2), ozone (O 3 ), water (H 2 O), hydrogen peroxide (H 2 O 2 ), organic peroxides, alcohols, nitrous oxide (N 2 O), nitric oxide (NO), nitrogen dioxide (NO2), dinitrogen pentoxide (N 2 O 5 ), plasmas thereof, radicals thereof, derivatives thereof, or combinations thereof.
  • an oxygen precursor may be formed by combining ozone and water to provide a strong oxidizing agent.
  • the oxygen precursor generally contains hydroxyl radicals (OH) which have strong oxidizing power.
  • the ozone concentration may vary relative to the water concentration.
  • a molar ratio of ozone to water ratio may be within a range from about 0.01 to about 30, preferably, from about 0.03 to about 3, and more preferably, from about 0.1 to about 1.
  • an energy beam derived from a UV source may be exposed to oxygen or an oxygen/water mixture to form an oxygen precursor containing ozone.
  • the energy delivery gas and/or the atmosphere within the chamber during the photoexcitation step includes oxygen and/or ozone.
  • Examples of suitable silicon precursors for forming silicon oxide materials during step 240 include silanes, alkylsilanes, halosilanes, alkoxysilanes, aminosilanes, aminodisilanes, silylazides, silylhydrazines, or derivatives thereof.
  • silicon precursors include silane (SiH 4 ), disilane (Si 2 H 6 ), trisilane (Si 3 H 8 ), methylsilane (CH 3 SiH 3 ), bis(tertbutylamino)silane (BTBAS or ( 1 Bu(H)N) 2 SiH 2 ), tetraethoxysilane ((EtO) 4 Si or TEOS), hexachlorodisilane (HCD or Si 2 CI 6 ), tetrachlorosilane (SiCI 4 ), dichlorosilane (H 2 SiCI 2 ), 1 ,2-diethyl-tetrakis(diethylamino) disilane ((CH 2 CH 3 ((CH 3 CH 2 ) 2 N) 2 Si) 2 ), 1 ,2-dichloro-tetrakis(diethylamino) disilane ((CK(CH 3 CH 2 ) S N) 2 Si) 2 ), hex
  • the silicon precursors for forming silicon oxide materials during step 240 include the same silicon precursors as described at step 140 during process 100.
  • an alkoxysilane compound is used as the silicon precursors for forming silicon oxide materials during step 240.
  • alkoxysilane compounds that may be used as silicon precursors include tetraethoxysilane ((EtO) 4 Si or TEOS), tetramethoxysilane ((MeO) 4 Si), tetrapropoxysilane ((PrO) 4 Si), tetraisopropoxysilane (( 1 PrO) 4 Si), tetrabutoxysilane ((BuO) 4 Si), triethoxysilane ((EtO) 3 SiH), di ⁇ thoxysilane ((EtO) 2 SiH 2 ), diethoxydimethylsiiane ((EtO) 2 SiMe 2 ), diethoxydiethylsilane ((EtO) 2 SiEt 2 ), dimethoxydiethoxsilane ((MeO) 2 Si(OEt) 2 ), derivatives thereof, or combinations thereof.
  • an alkoxysilane compound e.g., TEOS
  • TEOS
  • a silicon oxide material may be deposited on substrate 1122 within process chamber 1100 during a deposition process at step 240.
  • substrate 1122 may be exposed to a process gas containing a silicon precursor and an oxygen precursor during a CVD process.
  • the silicon and oxygen precursors are generally provided from gas panel 1136 to interior volume 1104 of chamber body 1102 through flow control ring 1142.
  • the oxygen precursor and the silicon precursor may be introduced into process chamber or exposed to substrate 1122 by inlet port 1134 simultaneously, such as during a traditional CVD process or sequentially, such as during an ALD process.
  • the ALD process may expose the substrate to at least two deposition gases, such that, the substrate is sequentially exposed to a silicon precursor and an oxygen precursor.
  • one gas line 1140 is shown disposed between gas panel 1136 and inlet port 1134, it is contemplated that the silicon precursor and the oxygen precursor are provided to process chamber 1100 in separate gas lines. The temperature may be controlled for each gas line.
  • a silicon-containing material such as a silicon oxide material
  • the silicon oxide material may be deposited at a rate within a range from about 10 A/min to about 500 A/min and is deposited to a thickness within a range from about 10 A to about 1 ,000 A.
  • Silicon oxide materials may have a chemical formula such as Si x Oy, wherein an oxygen:silicon atomic ratio (Y/X) is about 2 or less, for example, SiO 2 .
  • the materials formed as described herein exhibits low hydrogen concentration and includes a small amount of carbon doping, which enhances boron retention in PMOS devices.
  • a halogen-free silicon precursor improves the wet etch rate.
  • a carrier gas may be provided during step 240 to control the partial pressure of the oxygen precursor and the silicon precursor.
  • the total internal pressure of a single wafer process chamber may be at a pressure within a range from about 100 mTorr to about 740 Torr, preferably, from about 250 mTorr to about 100 Torr, and more preferably, from about 500 mTorr to about 50 Torr.
  • the internal pressure of the process chamber is maintained at a pressure of about 10 Torr or less, preferably, about 5 Torr or less, and more preferably, about 1 Torr or less.
  • the carrier gas may be provided to control the partial pressure of the nitrogen precursor or the silicon precursor within a range from about 100 mTorr to about 1 Torr for batch processing systems.
  • suitable carrier gases include nitrogen, hydrogen, argon, helium, forming gas, or combinations thereof.
  • the substrate, the silicon precursor, and/or the oxygen precursor may be exposed to an energy beam or a flux of energy generated by the photoexcitation system during the deposition process at step 240.
  • the use of the energy beam advantageously increases the deposition rate and improves surface diffusion or mobility of atoms within the silicon oxygen material to create active sites for incoming reactive species.
  • the beam has energy within a range from about 3.0 eV to about 9.84 eV.
  • the energy beam may have a wavelength within a range from about 126 nm to about 450 nm.
  • lamp 1170 of direct photoexcitation system 1192 and/or lamp 1184 of remote photoexcitation system 1182 provides an energy beam to supply the excitation energy of at least one of the silicon precursor or the oxygen precursor.
  • the high deposition rate and the low deposition temperature produce a film having tunable properties with minimal parasitic side reactions.
  • the energy beam or flux may have a photon energy within a range from about 4.5 eV to about 9.84 eV.
  • the substrate surface and the process gases may also be excited by lamps 1170 and 1184.
  • the substrate containing the silicon oxide material (formed in step 240) is exposed to a post-deposition treatment process during step 250.
  • the post-deposition treatment process increases the substrate surface energy after deposition, which advantageously removes volatiles and/or other film contaminants (such as by reducing the hydrogen content) and/or anneals the deposited film.
  • a lower concentration of hydrogen from the deposited material advantageously increases tensile stress of the film.
  • At least one lamp e.g., lamps 1170 or 1184) may alternatively be utilized to energize an energy delivery gas which is exposed to the substrate to increase the surface energy of the substrate after deposition and to remove volatiles and/or other films.
  • an energy delivery gas may be provided to interior volume 1104 of process chamber 1100.
  • suitable energy delivery gases include nitrogen, hydrogen, helium, argon, ozone, oxygen, hydrogen, water, hydroxyls, radicals thereof, plasma thereof, or combinations thereof.
  • substrate 1122 is treated with an energy beam or flux of energy generated by photoexcitation system 1144 during step 250.
  • lamp 1170 of direct photoexcitation system 1192 provides an energy beam to supply the surface energy of substrate 1122 during step 250.
  • the energy beam or flux may have a photon energy within a range from about 3.53 eV to about 9.84 eV.
  • lamp 1170 may produce an energy beam having a wavelength within a range from about 126 nm to about 351 nm. Generally, lamp 1170 may be energized for a time period within a range from about 1 minute to about 10 minutes to facilitate post deposition treatment by photoexcitation.
  • volatile compounds or contaminants may be removed from the deposited film surface by exposing the substrate to an energy beam having a photon energy within a range from about 3.2 eV to about 4.5 eV is generated by lamp 1170 and/or lamp 1184 is utilized to dissociate silicon precursors and oxygen precursors within process chamber 1100.
  • excimer lamps such as XeBr* (283 nm/4.41 eV), Br 2 * (289 nm/4.29 eV), XeCI* (308 nm/4.03 eV), I 2 * (342 nm/3.63 eV), XeF* (351 nm/3.53 eV) may be selected to remove hydrogen from the SiO x network.
  • the rotational speed of substrate 1122 may be changed, for example, by increasing the rotation speed in step 250 relative to the preceding deposition step.
  • the substrate may be removed from the process chamber and the process chamber is subsequently exposed to a chamber clean process during step 260.
  • the process chamber may be cleaned using a photoexcited cleaning agent.
  • the cleaning agent may be provided from the remote plasma source 1190.
  • the cleaning agent includes fluorine.
  • Examples provide that the cleaning agent may be photoexcited within process chamber 1100 using lamp 1170, or remotely from process chamber 1100 using lamp 1184. In one example, lamp 1170 may be used to maintain the excitation level of cleaning agents formed by RPS 1190.
  • Process chamber 1100 may be cleaned during a chamber clean process to enhance deposition performance.
  • the chamber clean process may be used to remove contaminants contained on windows 1174 and 1186, thereby minimizing transmission losses of the energy beam or flux traveling through window 1174 or 1186 and maximizing the energy transferred to the gases and surfaces.
  • windows 1174 and 1186 may be cleaned using a photoexcited agent formed from RPS 1190 during the chamber clean process.
  • Windows 1174 and 1186 may be cleaned with greater frequency than process chamber 1100, for example, process chamber 1100 may be cleaned using RPS 1190 after processing a number of substrates while windows 1174 and 1186 are cleaned after processing each substrate.
  • the elemental composition of the silicon oxide material deposited during step 240 may be predetermined by controlling the concentration or flow rate of the chemical precursors, namely the silicon precursor and the oxygen precursor. Film properties may be tailored for specific applications by controlling the relative concentrations of Si, O, N, C, and H within the silicon oxide material. In one embodiment, the elemental concentrations of silicon, nitrogen, and hydrogen may be tuned by varying the range of the UV energy during or subsequent the deposition process.
  • the film properties include wet etch rate, dry etch rate, stress, dielectric constant, and the like. For example, by reducing the hydrogen content, the deposited material may have a higher tensile stress. In another example, by reducing the carbon content, the deposited material may have a lower electrical resistance.
  • Silicon oxide materials deposited utilizing process 200 may be used throughout electronic features/devices due to several physical properties.
  • the silicon oxide materials may be deposited as layers on a substrate to form electronic features, such as a MOSFET transistor ( Figures 5A-5B), a bi-polar transistor ( Figure 6), or other silicon-containing layers.
  • silicon oxide materials deposited by process 200 may be used throughout photovoltaic cell applications, such as to form a solar cell.
  • FIG 3 depicts a flow diagram of process 300 for depositing a silicon oxynitride material, as described by embodiments herein.
  • the substrate may be positioned within a process chamber (step 310), optionally exposed to a pretreatment process (step 320), and heated to a predetermined temperature (step 330). Subsequently, a silicon oxynitride materia! may be deposited on the substrate (step 340).
  • the substrate may be optionally exposed to post- deposition treatment process (step 350) and the process chamber may be optionally exposed to a chamber clean process (step 360).
  • the substrate may be positioned within a process chamber during step 310.
  • the process chamber may be a single wafer chamber or a batch chamber containing multiple wafers or substrates (e.g., 25, 50, 100, or more).
  • the substrate may be maintained in a fixed position, but preferably, is rotated by a support pedestal.
  • the substrate may be indexed during one or more steps of process 300.
  • Process chamber 1100 may be used during process 300 to deposit silicon oxynitride materials on substrate 1122 as described by examples herein.
  • substrate 1122 may be rotated on substrate support pedestal 1124 within process chamber 1100 at a rate of up to about 120 rpm.
  • substrate 1122 may be positioned on substrate support pedestal 1124 and not rotated during the deposition process.
  • the substrate is optionally exposed to at least one pretreatment process during step 320.
  • the substrate surface may contain native oxides that are removed during a pretreatment process.
  • the substrate may be pretreated with an energy beam generated by direct photoexcitation system to remove the native oxides from the substrate surface prior to depositing a silicon oxynitride material during step 340.
  • a process gas may be exposed to the substrate during the pretreatment process.
  • the process gas may contain argon, nitrogen, helium, hydrogen, forming gas, or combinations thereof.
  • the pretreatment process may last for a time period within a range from about 2 minutes to about 10 minutes to facilitate native oxide removal during a photoexcitation process.
  • the substrate may be heated during step 320 to a temperature within a range from about 100 0 C to about 800 0 C, preferably, from about 200 0 C to about 600 0 C, and more preferably, from about 300 0 C to about 500 0 C, to facilitate native oxide removal during process 300.
  • Lamp 1170 may provide an energy beam having a photon energy within a range from about 2 eV to about 10 eV, for example, from about 3.2 eV to about 4.5 eV.
  • lamp 1170 provides an energy beam of UV radiation having a wavelength within a range from about 126 nm to about 351 nm.
  • Lamp 1170 may be energized for a period sufficient to remove oxides. The energization period is selected based upon the size and geometry of window 1174 (which corresponds to the exposed area of substrate 1122) and the substrate rotation speed.
  • lamp 1170 is energized for a time period within a range from about 2 minutes to about 10 minutes to facilitate native oxide removal during a photoexcitation process.
  • substrate 1122 may be heated to a temperature within a range from about 100°C to about 800 0 C during step 320.
  • substrate 1122 may be heated to a temperature within a range from about 300°C to about 500°C during step 320, while lamp 1170 provides an energy beam having a photon energy within a range from about 2 eV to about 10 eV for a time period within a range from about 2 minutes to about 5 minutes to facilitate native oxide removal.
  • the energy beam has a photon energy within a range from about 3.2 eV to about 4.5 eV for about 3 minutes.
  • native oxide removal may be augmented by a photoexcitation process in the presence of a process gas containing an energy delivery gas during a pretreatment process at step 320.
  • the energy delivery gas may be neon, argon, krypton, xenon, argon bromide, argon chloride, krypton bromide, krypton chloride, krypton fluoride, xenon fluorides (e.g., XeF 2 ), xenon chlorides, xenon bromides, fluorine, chlorine, bromine, excimers thereof, radicals thereof, derivatives thereof, or combinations thereof.
  • the energy delivery gas may contain ozone, oxygen, hydrogen, water, hydroxyls, radicals thereof, plasma thereof, or combinations thereof.
  • the process gas may also contain nitrogen gas (N 2 ), hydrogen gas (H 2 ), forming gas (e.g., N 2 /H 2 or Ar/H 2 ) besides at least one energy delivery gas.
  • substrate 1122 may be exposed to a process gas containing an energy delivery gas by providing the process gas to interior volume 1104 of process chamber 1100 during step 320.
  • the energy delivery gas may be provided through flow control ring 1142 from gas panel 1136.
  • the proximately of the process gas to lamp 1170 compared to substrate 1122 readily excites the energy delivery gas therein. As the energy delivery gas de- excites and moves closer to substrate 1122, the energy is efficiently transferred to the surface of substrate 1122, thereby facilitating the removal of native oxides.
  • native oxide removal may be augmented by a photoexcitation process in the presence of a process gas containing an organic vapor during the pretreatment process at step 320.
  • the substrate may be exposed to the process gas containing a cyclic aromatic hydrocarbon.
  • the cyclic aromatic hydrocarbon may be in the presence of UV radiation.
  • Monocyclic aromatic hydrocarbons and polycyclic aromatic hydrocarbons that are useful during a pretreatment process include quinone, hydroxyquinone (hydroquinone), anthracene, naphthalene, phenanthracene, derivatives thereof, or combinations thereof.
  • the substrate may be exposed to the process gas containing other hydrocarbons, such as unsaturated hydrocarbons, including ethylene, acetylene (ethyne), propylene, alkyl derivatives, halogenated derivates, or combinations thereof.
  • the organic vapor may contain alkane compounds during the pretreatment process at step 320.
  • the UV radiation having a wavelength within a range from about 126 nm to about 351 nm may be generated by a lamp during step 320.
  • polycyclic aromatic hydrocarbons may remove native oxides in the presence of UV radiation by reacting with oxygen atoms within the native oxides.
  • native oxides may be removed by exposing the substrate to quinone or hydroxyquinone while forming derivative products. The derivative product may be removed from the process chamber by a vacuum pumping process.
  • the substrate may be heated to a predetermined temperature during or subsequent to the pretreatment process.
  • the substrate is heated prior to depositing the silicon oxynitride material at step 340.
  • the substrate may be heated by an embedded heating element within the substrate support, the energy beam (e.g., UV-source), or combinations thereof.
  • the substrate is heated long enough to obtain the predetermined temperature, such as for a time period within a range from about 15 seconds to about 30 minutes, preferably, from about 30 seconds to about 20 minutes, and more preferably, from about 1 minute to about 10 minutes.
  • the substrate may be heated to a temperature within a range from about 200 0 C to about 1 ,000 0 C, preferably, from about 400°C to about 85O 0 C, and more preferably, from about 550 0 C to about 800 0 C. In another embodiment, the substrate may be heated to a temperature of less than about 550 0 C, preferably, less than about 450 0 C. [00112] In one example, substrate 1122 may be heated to the predetermined temperature within process chamber 1100. The predetermined temperature may be within a range from about 300 0 C to about 500 0 C. Substrate 1122 may be heated by applying power from power source 1116 to the resistive heating element (i.e., the heater 1120).
  • a silicon oxynitride material is deposited on the substrate during a deposition process at step 340.
  • the silicon oxynitride material may be formed by exposing the substrate to at least one deposition gas during the deposition process.
  • the deposition process is a CVD process having a deposition gas that may contain a silicon precursor and an oxygen precursor or a precursor containing both silicon and oxygen sources.
  • the deposition process may be an ALD process having at least two deposition gases, such that, the substrate is sequentially exposed to a silicon precursor and an oxygen precursor.
  • the deposition process may be a thermal process, a radical process, or a combination thereof.
  • the substrate may be exposed to a process gas in the presence of an energy beam generated by a direct photoexcitation system.
  • Examples of suitable oxygen precursors for forming silicon oxynitride materials during step 340 include atomic oxygen (O), oxygen (O 2 ), ozone (O 3 ), water (H 2 O), hydrogen peroxide (H 2 O 2 ), organic peroxides, alcohols, nitrous oxide (N 2 O), nitric oxide (NO), nitrogen dioxide (NO2), dinitrogen pentoxide (N 2 O 5 ), plasmas thereof, radicals thereof, derivatives thereof, or combinations thereof.
  • an oxygen precursor may be formed by combining ozone and water to provide a strong oxidizing agent.
  • the oxygen precursor generally contains hydroxyl radicals (OH) which have strong oxidizing power.
  • the ozone concentration may vary relative to the water concentration.
  • a molar ratio of ozone to water ratio may be within a range from about 0.01 to about 30, preferably, from about 0.03 to about 3, and more preferably, from about 0.1 to about 1.
  • an energy beam derived from a UV source may be exposed to oxygen or an oxygen/water mixture to form an oxygen precursor containing ozone.
  • the energy delivery gas and/or the atmosphere within the chamber during the photoexcitation step includes oxygen and/or ozone.
  • Examples of suitable nitrogen precursors for forming silicon oxynitride materials during step 340 include ammonia (NH 3 ), hydrazine (N 2 H 4 ), organic amines, organic hydrazines, organic diazines (e.g., methyldiazine ((HsC)NNH)), silylazides, silylhydrazines, hydrogen azide (HN 3 ), hydrogen cyanide (HCN), atomic nitrogen (N), nitrogen (Na), derivatives thereof, or combinations thereof.
  • Organic amines as nitrogen precursors include R X NH 3-X , where each R is independently an alkyl group or an aryl group and x is 1 , 2, or 3.
  • organic amines examples include trimethylamine ((CH 3 ) 3 N), dimethylamine ((CH 3 ) 2 NH), methylamine ((CH 3 )NH 2 )), triethylamine ((CH 3 CH 2 ) 3 N), diethylamine ((CH 3 CH 2 ) 2 NH), ethylamine ((CH 3 CH 2 )NH 2 )), tertbutylamine (((CHs) 3 C)NH 2 ), derivatives thereof, or combinations thereof.
  • Organic hydrazines as nitrogen precursors include R x N 2 H 4-X , where each R is independently an alkyl group or an aryl group and x is 1 , 2, 3, or 4.
  • organic hydrazines examples include methylhydrazine ((CH 3 )N 2 H 3 ), dimethylhydrazine ((CHs) 2 N 2 H 2 ), ethylhydrazine ((CH 3 CH 2 )N 2 H 3 ), diethylhydrazine ((CH 3 CH 2 ) 2 N 2 H 2 ), tertbutylhydrazine (((CH 3 )sC)N 2 H 3 ), ditertbutylhydrazine (((CHs) 3 C) 2 N 2 H 2 ), radicals thereof, plasmas thereof, derivatives thereof, or combinations thereof.
  • the nitrogen precursors ideally contain a nitrogen-nitrogen single bond (i.e., N-N single bond) for decomposition of the nitrogen precursor at low temperatures. Additionally, when a silicon precursor and nitrogen precursor are used in the process gas mix, some amount of a nitrogen precursor may be included in the gas mix for additional control over the composition of the deposited layer during deposition. In a preferred example, the nitrogen precursor is ammonia.
  • the nitrogen precursor generally disassociate at a temperature of less than about 500 0 C with a high vapor pressure at room temperature.
  • suitable nitrogen precursors and the respective vapor pressure include methylamine (vapor pressure of about 353 kPa at 25°C), methylhydrazine (vapor pressure of about 66 kPa at 25°C), and hydrocyanic acid (e.g., aqueous hydrogen cyanide solution) (vapor pressure of about 98.8 kPa at 25 0 C).
  • methylamine vapor pressure of about 353 kPa at 25°C
  • methylhydrazine vapor pressure of about 66 kPa at 25°C
  • hydrocyanic acid e.g., aqueous hydrogen cyanide solution
  • Examples of suitable silicon precursors for forming silicon oxynitride materials during step 340 include silanes, alkylsilanes, halosilanes, alkoxysilanes, aminosilanes, aminodisilanes, silylazides, silylhydrazines, or derivatives thereof.
  • silicon precursors include silane (SiH 4 ), disilane (Si 2 H 6 ), trisilane (Si 3 H 8 ), methylsilane (CH 3 SiH 3 ), tetraethoxysilane ((EtO) 4 Si or TEOS), bis(tertbutylamino)silane (BTBAS or ( 1 Bu(H)N) 2 SiH 2 ), hexachlorodisilane (HCD or Si 2 CI 6 ), tetrachlorosilane (SiCI 4 ), dichlorosilane (H 2 SiCI 2 ), 1 ,2-diethyl-tetrakis(diethylamino) disilane ((CH 2 CH 3 ((CH 3 CH 2 ) 2 N) 2 Si) 2 ), 1 ,2-dichloro-tetrakis(diethylamino) disilane ((CI((CH 3 CH 2 ) 2 N) 2 Si) 2 ),
  • the silicon precursors for forming silicon oxynitride materials during step 340 include aminodisilanes, silylazides, or silylhydrazines having the chemical formulas:
  • R and R' may be one or more functional groups independently selected from the group of a halogen, an organic group having one or more double bonds, an organic group having one or more triple bonds, an aliphatic alkyl group, a cyclical alkyl group, an aromatic group, an organosilyl group, an alkylamino group, or a cyclic group containing N or Si, or combinations thereof.
  • Suitable functional groups on silicon precursors include chloro (-Cl), methyl (-CH 3 ), ethyl (-CH 2 CH 3 ), isopropyl (-CH(CHs) 2 ), tertbutyl (-C(CH 3 ) 3 ), trimethylsilyl (-Si(CH 3 ) 3 ), pyrrolidine, or combinations thereof.
  • Suitable silicon precursors for forming silicon oxynitride materials include silylazides R 3 -SiN 3 and silylhydrazine class of precursors R 3 SiNRNR 2 , linear and cyclic with any combination of R groups.
  • the R groups may be H or any organic functional group such as methyl, ethyl, propyl, butyl, and the like (O ⁇ H ⁇ ).
  • the R groups attached to Si can optionally be another amino group NH 2 or NR 2 .
  • One benefit of using a silicon-nitrogen precursor is that silicon and nitrogen are simultaneously delivered while avoiding the presence of chlorine to yield films with good step coverage and minimal pattern dependence (so-called pattern loading) without the undesirable ammonium chloride particle formation problematic to other precursors.
  • Examples of specific silylazide compounds include trimethylsilylazide ((CH 3 ) 3 SiN 3 ) (available from United Chemical Technologies, located in Bristol, Pennsylvania) and tris(dimethylamine)silylazide (((CH 3 ) 2 N) 3 SiN 3 ).
  • An example of a specific silylhydrazine compound is 1 ,1-dimethyl-2-dimethylsilylhydrazine ((CH 3 ) 2 HSiNHN(CH 3 ) 2 ).
  • the silicon-nitrogen precursor may be at least one of (R 3 Si) 3 N, (R 3 Si) 2 NN(SiR 3 ) 2 and (R 3 Si)NN(SiR 3 ), wherein each R is independently hydrogen or an alkyl, such as methyl, ethyl, propyl, butyl, phenyl, or combinations thereof.
  • suitable silicon-nitrogen precursor include trisilylamine ((H 3 Si) 3 N), (H 3 Si) 2 NN(SiH 3 ) 2 , (H 3 Si)NN(SiH 3 ), or derivatives thereof.
  • an alkoxysilane compound is used as the silicon precursors for forming silicon oxynitride materials during step 340.
  • alkoxysilane compounds that may be used as silicon precursors include tetraethoxysilane ((EtO) 4 Si or TEOS), tetramethoxysilane ((MeO) 4 Si), tetrapropoxysilane ((PrO) 4 Si), tetraisopropoxysilane (( 1 PrO) 4 Si), tetrabutoxysilane ((BuO) 4 Si), triethoxysilane ((EtO) 3 SiH), diethoxysilane ((EtO) 2 SiH 2 ), diethoxydimethylsilane ((EtO) 2 SiMe 2 ), diethoxydiethylsiiane ((EtO) 2 SiEt 2 ), dimethoxydiethoxsilane ((MeO) 2 Si(OEt) 2 ), derivatives thereof, or combinations thereof.
  • an alkoxysilane compound e.g., TEOS
  • TEOS
  • the silicon precursor, the oxygen precursor, and the nitrogen precursor may be combined in the process chamber or exposed to substrate 1122 by inlet port 1134 simultaneously, such as during a traditional CVD process or sequentially, such as during an ALD process.
  • the ALD process may expose the substrate to the deposition gases, such that, the substrate is sequentially exposed to the silicon precursor, the oxygen precursor, and the nitrogen precursor to form the silicon oxynitride material.
  • one gas line 1140 is shown disposed between gas panel 1136 and inlet port 1134, it is contemplated that the silicon precursor, the oxygen precursor, and the nitrogen precursor are provided to process chamber 1100 in separate gas lines. The temperature may be controlled for each gas line.
  • a silicon oxynitride material may be deposited on substrate 1122 within process chamber 1100 during a deposition process at step 340.
  • substrate 1122 may be exposed to a process gas containing a silicon precursor and an oxygen precursor during a CVD process to form a silicon oxide material that is subsequently exposed to a nitridization process to form a silicon oxynitride material.
  • the silicon and oxygen precursors are generally provided from gas panel 1136 to interior volume 1104 of chamber body 1102 through flow control ring 1142.
  • a silicon oxide material may be deposited on a substrate and exposed to a nitridation process that physically incorporates nitrogen atoms into the silicon oxide material to form a silicon oxynitride material.
  • the nitridation process may include decoupled plasma nitridation (DPN), remote plasma nitridation, hot-wired induced atomic-N, and nitrogen incorporation during dielectric deposition (e.g., during CVD process).
  • DPN decoupled plasma nitridation
  • remote plasma nitridation hot-wired induced atomic-N
  • nitrogen incorporation during dielectric deposition e.g., during CVD process.
  • radical nitrogen ions are formed by the UV photoexcitation as described herein.
  • the nitridation process may be performed within a DPN chamber, such as the CENTURA ® DPN chamber, available from Applied Materials, Inc., located in Santa Clara, California.
  • a description of CVD and ALD processes and apparatuses that may be modified (e.g., incorporating a UV radiation source) and chemical precursors that may be useful for depositing silicon oxynitride materials are further disclosed in commonly assigned U.S. Pat. Nos. 6,869,838 and 6,825,134, and commonly assigned U.S. Ser. No. 09/964,075, filed September 25, 2001 , and published as US 2003-0059535, U.S. Ser. No. 10/624,763, filed July 21 , 2003, and published as US 2004-0018738, U.S. Ser. No. 10/794,707, filed March 4, 2004, and published as US 2004-0175961 , and U.S. Ser. No. 10/688,797, filed October 17, 2003, and published as US 2004-0224089, which are all herein incorporated by reference in their entirety.
  • a silicon oxynitride material may be formed by exposing the substrate to the silicon precursor, the oxygen precursor, and the nitrogen precursor are combined within a process chamber.
  • the silicon oxynitride material may be deposited at a rate within a range from about 10 A/min to about 500 A/min and is deposited to a thickness within a range from about 10 A to about 1 ,000 A.
  • Silicon oxynitride materials may have a chemical formula such as SiO x Ny, wherein X is within a range from about 0.01 to about 1.99 and Y is within a range from about 0.01 to about 1.32.
  • the oxygen/nitrogen atomic ratio may be about 1 and the silicon oxynitride may have a chemical formula of about SiOo. ⁇ No.s-
  • the oxygen/nitrogen atomic ratio is greater than 1 and the silicon oxynitride may have a chemical formula of about SiO 16 No 1S .
  • the oxygen/nitrogen atomic ratio is less than 1 and the silicon oxynitride may have a chemical formula of about SiOo. ⁇ Ni.o.
  • an alkoxysilane compound e.g., TEOS
  • TEOS TEOS
  • a carrier gas may be provided during step 340 to control the partial pressure of the nitrogen precursor, the oxygen precursor, and the silicon precursor.
  • the total internal pressure of a single wafer process chamber may be at a pressure within a range from about 100 mTorr to about 740 Torr, preferably, from about 250 mTorr to about 100 Torr, and more preferably, from about 500 mTorr to about 50 Torr.
  • the internal pressure of the process chamber is maintained at a pressure of about 10 Torr or less, preferably, about 5 Torr or less, and more preferably, about 1 Torr or less.
  • the carrier gas may be provided to control the partial pressure of the nitrogen precursor or the silicon precursor within a range from about 100 mTorr to about 1 Torr for batch processing systems.
  • suitable carrier gases include nitrogen, hydrogen, argon, helium, forming gas, or combinations thereof.
  • the substrate, the silicon precursor, the oxygen precursor, and/or the nitrogen precursor may be exposed to an energy beam or a flux of energy generated by the photoexcitation system during the deposition process at step 340.
  • the use of the energy beam advantageously increases the deposition rate and improves surface diffusion or mobility of atoms within the silicon oxynitride material to create active sites for incoming reactive species.
  • the energy beam has a photon energy within a range from about 3.0 eV to about 9.84 eV.
  • the energy beam may have a wavelength within a range from about 126 nm to about 450 nm.
  • lamp 1170 of direct photoexcitation system 1192 and/or lamp 1184 of remote photoexcitation system 1182 provides an energy beam to supply the excitation energy of at least one of the silicon precursor, the oxygen precursor, or the nitrogen precursor.
  • the high deposition rate and the low deposition temperature produce a film having tunable properties with minimal parasitic side reactions.
  • the energy beam or flux may have a photon energy within a range from about 4.5 eV to about 9.84 eV.
  • the substrate surface and the process gases may also be excited by lamps 1170 and 1184.
  • the substrate containing the silicon oxynitride material (formed in step 340) is exposed to a post-deposition treatment process during step 350.
  • the post-deposition treatment process increases the substrate surface energy after deposition, which advantageously removes volatiles and/or other film contaminants (such as by reducing the hydrogen content) and/or anneals the deposited film.
  • a lower concentration of hydrogen from the deposited material advantageously increases tensile stress of the film.
  • At least one lamp e.g., lamps 1170 or 1184) may alternatively be utilized to energize an energy delivery gas which is exposed to the substrate to increase the surface energy of the substrate after deposition and to remove volatiles and/or other films.
  • lamp 1170 of direct photoexcitation system 1192 and/or lamp 1184 of remote photoexcitation system 1182 provides an energy beam to supply the excitation energy of at least one of the silicon precursor and/or the nitrogen precursors, which advantageously increases the deposition rate without increasing the overall deposition temperature.
  • the high rate, low temperature deposition reaction produces a film having tunable properties with minimal parasitic side reactions.
  • the energy beam or flux has a photon energy within a range from about 4.5 eV to about 9.84 eV.
  • the surface of the substrate may also be excited by the lamp in addition to the process gases being energized.
  • Gas phase excitation and surface reactions will be controlled by UV excimer selection.
  • optical excitation of Si 2 H 6 may be achieved by using UV photons of hv > 4.5 eV and hv > 8 eV ( ⁇ ⁇ 155 nm), respectively.
  • intermediates of silanes - and NH 3 * (405 nm), NH 2 * (470.7 nm), NH* (336 nm) enhances cross-linking bonds within the SiO x Ny network, desirable for increasing film tensile stress.
  • the substrate containing the silicon oxynitride material (formed in step 340) is exposed to a post-deposition treatment process during step 350.
  • the post-deposition treatment process increase the substrate surface energy after deposition, which advantageously removes volatiles and/or other film contaminants (such as by reducing the hydrogen content) and/or to anneal the deposited film.
  • the removal of hydrogen from the silicon oxynitride material from within the film advantageously increases film tensile stress.
  • At least one lamp e.g., lamps 1170 or 1184 may alternatively be utilized to energize an energy delivery gas which is bought in contact with the substrate to increase the surface energy of the substrate after deposition and remove volatiles and/or other films.
  • an energy delivery gas may be provided to interior volume 1104 of process chamber 1100.
  • suitable energy delivery gases include nitrogen, hydrogen, helium, argon, ozone, oxygen, hydrogen, water, hydroxyls, radicals thereof, plasma thereof, or combinations thereof.
  • substrate 1122 is treated with an energy beam or flux of energy generated by photoexcitation system 1144 during step 350.
  • lamp 1170 of direct photoexcitation system 1192 provides an energy beam to supply the surface energy of substrate 1122 during step 350.
  • the energy beam or flux may have a photon energy within a range from about 3.53 eV to about 9.84 eV.
  • lamp 1170 may produce an energy beam having a wavelength within a range from about 126 nm to about 351 nm. Generally, lamp 1170 may be energized for a time period within a range from about 1 minute to about 10 minutes to facilitate post deposition treatment by photoexcitation.
  • volatile compounds or contaminants may be removed from the deposited film surface by exposing the substrate to an energy beam having a photon energy within a range from about 3.2 eV to about 4.5 eV is generated by lamp 1170 and/or lamp 1184 is utilized to dissociate silicon precursors, nitrogen precursors, and oxygen precursors within process chamber 1100.
  • excimer lamps such as XeBr* (283 nm/4.41 eV), Br 2 * (289 nm/4.29 eV), XeCI* (308 nm/4.03 eV), I 2 * (342 nm/3.63 eV), XeF* (351 nm/3.53 eV) may be selected to remove hydrogen from the SiO x N y network. It is contemplated that the rotational speed of substrate 1122 may be changed, for example, by increasing the rotation speed in step 350 relative to the preceding deposition step.
  • the substrate may be removed from the process chamber and the process chamber is subsequently exposed to a chamber clean process during step 360.
  • the process chamber may be cleaned using a photoexcited cleaning agent.
  • the cleaning agent may be provided from the remote plasma source 1190.
  • the cleaning agent includes fluorine.
  • the cleaning agent may be photoexcited within process chamber 1100 using lamp 1170, or remotely from process chamber 1100 using lamp 1184.
  • lamp 1170 may be used to maintain the excitation level of cleaning agents formed by RPS 1190.
  • Process chamber 1100 may be cleaned during a chamber clean process to enhance deposition performance.
  • the chamber clean process may be used to remove contaminants contained on windows 1174 and 1186, thereby minimizing transmission losses of the energy beam or flux traveling through window 1174 or 1186 and maximizing the energy transferred to the gases and surfaces.
  • windows 1174 and 1186 may be cleaned using a photoexcited agent formed from RPS 1190 during the chamber clean process.
  • Windows 1174 and 1186 may be cleaned with greater frequency than process chamber 1100, for example, process chamber 1100 may be cleaned using RPS 1190 after processing a number of substrates while windows 1174 and 1186 are cleaned after processing each substrate.
  • the elemental composition of the silicon oxynitride material deposited during step 340 may be predetermined by controlling the concentration or flow rate of the chemical precursors, namely the silicon precursor, the oxygen precursor, and the nitrogen precursor. Film properties may be tailored for specific applications by controlling the relative concentrations of Si, O, N, C, and H within the silicon oxynitride material. In one embodiment, the elemental concentrations of silicon, nitrogen, and hydrogen may be tuned by varying the range of the UV energy during or subsequent the deposition process. The film properties include wet etch rate, dry etch rate, stress, dielectric constant, and the like. For example, by reducing the hydrogen content, the deposited material may have a higher tensile stress. In another example, by reducing the carbon content, the deposited material may have a lower electrical resistance.
  • the dissociation of the silicon-nitrogen precursor takes place at lower temperatures, thereby enabling lower temperature processing.
  • the nitrogen precursors used herein may contain a carbon and hydrogen function group, which react with R or Si-R from N-Si-R or N-Si-Si-R in the silicon precursor, allow the R group to become dissociated and more easily removed than without reacting with nitrogen precursor.
  • the nitrogen precursor provides nitrogen and carbon sources to the silicon oxynitride material.
  • embodiments of the methods may advantageously facilitate low temperature processing, e.g., at temperatures of less than about 55O 0 C.
  • Silicon oxynitride materials deposited utilizing process 300 may be used throughout electronic features/devices due to several physical properties.
  • the silicon oxynitride materials may be deposited as layers on a substrate to form electronic features, such as a MOSFET transistor ( Figures 5A-5B), a bipolar transistor ( Figure 6), or other silicon-containing layers.
  • silicon oxynitride materials deposited by process 300 may be used throughout photovoltaic cell applications, such as to form a solar cell.
  • Figure 4 depicts a flow diagram of process 400 for depositing a silicon material (e.g., epitaxy, crystalline, microcrystalline, polysilicon, or amorphous), as described by embodiments herein.
  • the substrate may be positioned within a process chamber (step 410), optionally exposed to a pretreatment process (step 420), and heated to a predetermined temperature (step 430).
  • a silicon material may be deposited on the substrate (step 440).
  • the substrate may be optionally exposed to post-deposition treatment process (step 450) and the process chamber may be optionally exposed to a chamber clean process (step 460).
  • the substrate may be positioned within a process chamber during step 410.
  • the process chamber may be a single wafer chamber or a batch chamber containing multiple wafers or substrates (e.g., 25, 50, 100, or more).
  • the substrate may be maintained in a fixed position, but preferably, is rotated by a support pedestal.
  • the substrate may be indexed during one or more steps of process 400.
  • Process chamber 1100 may be used during process 400 to deposit silicon materials on substrate 1122 as described by examples herein.
  • substrate 1122 may be rotated on substrate support pedestal 1124 within process chamber 1100 at a rate of up to about 120 rpm.
  • substrate 1122 may be positioned on substrate support pedestal 1124 and not rotated during the deposition process.
  • the substrate is optionally exposed to at least one pretreatment process during step 420.
  • the substrate surface may contain native oxides that are removed during a pretreatment process.
  • the substrate may be pretreated with an energy beam generated by direct photoexcitation system to remove the native oxides from the substrate surface prior to depositing a silicon material during step 440.
  • a process gas may be exposed to the substrate during the pretreatment process.
  • the process gas may contain argon, nitrogen, helium, hydrogen, forming gas, or combinations thereof.
  • the pretreatment process may last for a time period within a range from about 2 minutes to about 10 minutes to facilitate native oxide removal during a photoexcitation process.
  • the substrate may be heated during step 420 to a temperature within a range from about 100 0 C to about 800 0 C, preferably, from about 200°C to about 600°C, and more preferably, from about 300°C to about 500 0 C, to facilitate native oxide removal during process 400.
  • Lamp 1170 may provide an energy beam having a photon energy within a range from about 2 eV to about 10 eV, for example, from about 3.2 eV to about 4.5 eV for about 3 minutes.
  • lamp 1170 provides an energy beam of UV radiation having a wavelength within a range from about 126 nm to about 351 nm.
  • Lamp 1170 may be energized for a period sufficient to remove oxides. The energization period is selected based upon the size and geometry of window 1174 (which corresponds to the exposed area of substrate 1122) and the substrate rotation speed.
  • lamp 1170 is energized for a time period within a range from about 2 minutes to about 10 minutes to facilitate native oxide removal during a photoexcitation process.
  • substrate 1122 may be heated to a temperature within a range from about 100 0 C to about 800 0 C during step 420.
  • substrate 1122 may be heated to a temperature within a range from about 300 0 C to about 500 0 C during step 420, while lamp 1170 provides an energy beam having a photon energy within a range from about 2 eV to about 10 eV for a time period within a range from about 2 minutes to about 5 minutes to facilitate native oxide removal.
  • the energy beam has a photon energy within a range from about 3.2 eV to about 4.5 eV for about 3 minutes.
  • native oxide removal may be augmented by a photoexcitation process in the presence of a process gas containing an energy delivery gas during a pretreatment process at step 420.
  • the energy delivery gas may be neon, argon, krypton, xenon, argon bromide, argon chloride, krypton bromide, krypton chloride, krypton fluoride, xenon fluorides (e.g., XeF 2 ), xenon chlorides, xenon bromides, fluorine, chlorine, bromine, excimers thereof, radicals thereof, derivatives thereof, or combinations thereof.
  • the process gas may also contain nitrogen gas (N 2 ), hydrogen gas (H2), forming gas (e.g., N 2 /H2 or Ar/hb) besides at least one energy delivery gas.
  • substrate 1122 may be exposed to a process gas containing an energy delivery gas by providing the process gas to interior volume 1104 of process chamber 1100 during step 420.
  • the energy delivery gas may be provided through flow control ring 1142 from gas panel 1136.
  • the proximately of the process gas to lamp 1170 compared to substrate 1122 readily excites the energy delivery gas therein. As the energy delivery gas de- excites and moves closer to substrate 1122, the energy is efficiently transferred to the surface of substrate 1122, thereby facilitating the removal of native oxides.
  • native oxide removal may be augmented by a photoexcitation process in the presence of a process gas containing an organic vapor during the pretreatment process at step 420.
  • the substrate may be exposed to the process gas containing a cyclic aromatic hydrocarbon.
  • the cyclic aromatic hydrocarbon may be in the presence of UV radiation.
  • Monocyclic aromatic hydrocarbons and polycyclic aromatic hydrocarbons that are useful during a pretreatment process include quinone, hydroxyquinone (hydroquinone), anthracene, naphthalene, phenanthracene, derivatives thereof, or combinations thereof.
  • the substrate may be exposed to the process gas containing other hydrocarbons, such as unsaturated hydrocarbons, including ethylene, acetylene (ethyne), propylene, alkyl derivatives, halogenated derivates, or combinations thereof.
  • the organic vapor may contain alkane compounds during the pretreatment process at step 420.
  • the UV radiation having a wavelength within a range from about 126 nm to about 351 nm may be generated by a lamp during step 420.
  • polycyclic aromatic hydrocarbons may remove native oxides in the presence of UV radiation by reacting with oxygen atoms within the native oxides.
  • native oxides may be removed by exposing the substrate to quinone or hydroxyquinone while forming derivative products. The derivative product may be removed from the process chamber by a vacuum pumping process.
  • the substrate may be heated to a predetermined temperature during or subsequent to the pretreatment process.
  • the substrate is heated prior to depositing the silicon material at step 440.
  • the substrate may be heated by an embedded heating element within the substrate support, the energy beam (e.g., UV-source), or combinations thereof.
  • the substrate is heated long enough to obtain the predetermined temperature, such as for a time period within a range from about 15 seconds to about 30 minutes, preferably, from about 30 seconds to about 20 minutes, and more preferably, from about 1 minute to about 10 minutes.
  • the substrate may be heated to a temperature within a range from about 200 0 C to about 1 ,000 0 C, preferably, from about 400 0 C to about 85O 0 C, and more preferably, from about 55O 0 C to about 800°C. In another embodiment, the substrate may be heated to a temperature of less than about 550 0 C, preferably, less than about 45O 0 C. In one example, substrate 1122 may be heated to the predetermined temperature within process chamber 1100. The predetermined temperature may be within a range from about 300 0 C to about 500 0 C. Substrate 1122 may be heated by applying power from power source 1116 to the resistive heating element (i.e., the heater 1120).
  • a silicon material is deposited on the substrate during a deposition process at step 440.
  • the silicon material may be formed by exposing the substrate to at least one deposition gas during the deposition process.
  • the silicon material may be deposited on the substrate surface, selectively or non-selectively (e.g., blanket), as an epitaxy layer, a crystalline layer, a microcrystalline layer, a polysilicon layer, an amorphous layer, dopant variants thereof, or combinations thereof.
  • the substrate is exposed to a deposition process gas containing a silicon precursor during a CVD process while using a UV energy source to non-selectively deposit an amorphous silicon material on the substrate surface.
  • the substrate is exposed to a deposition process gas containing a silicon precursor and an etchant during a CVD process while using a UV energy source to selectively deposit an epitaxial silicon material on the substrate surface.
  • the substrate is exposed to a deposition process gas containing a silicon precursor and a reducing agent during a CVD process while using a UV energy source to non- selectively deposit an amorphous silicon material on the substrate surface.
  • the substrate is exposed to a deposition process gas containing a silicon precursor and a UV energy source during a CVD process to deposit a crystalline silicon material, a microcrystalline silicon material, or a polycrystalline silicon material on the substrate surface.
  • the deposition process may be an ALD process having at least two deposition gases, such that, the substrate is sequentially exposed to a silicon precursor another reagent, such as a purge gas, an etchant, a reducing agent, a dopant reagent, or combinations thereof.
  • the deposition process may be a thermal process, a radical process, or a combination thereof.
  • the substrate may be exposed to a process gas in the presence of an energy beam generated by a direct photoexcitation system.
  • a process utilizes UV-assisted to epitaxially grow or deposit a layer of silicon material on a substrate surface.
  • the deposition gas used during the process contains a silicon precursor and may also contain at least one secondary elemental source, such as a germanium source and/or a carbon source.
  • the germanium source may be added to the process chamber with the silicon precursor, etchant, reagent, or carrier gas to form the silicon material. Therefore, the silicon material may contain silicon, SiGe, SiC, SiGeC, doped variants thereof, or combinations thereof.
  • Germanium and/or carbon may be added to the silicon material by including germanium source (e.g., germane) or a carbon source (e.g., methylsilane) during the deposition process.
  • the silicon material may also contain dopants by including a boron source (e.g., diborane), an arsenic source (e.g., arsine), or a phosphorous source (e.g., phosphine) during or after the deposition process.
  • the dopant may be included within the silicon precursor, etchant, reductant, or carrier gas to form a silicon material.
  • the dopant may be added to the silicon material by exposing the substrate to an ion implantation process during or subsequent to the deposition process.
  • Examples of suitable reducing agents for forming silicon materials at step 440 include atomic hydrogen (H), hydrogen (H 2 ), ammonia (NHa), hydrazine (N 2 H 4 ), silane (SiH 4 ), disilane (Si 2 H 6 ), alkylsilanes, diborane (B 2 He), alkylboranes, radicals thereof, plasmas thereof, derivatives thereof, or combinations thereof.
  • etchants for forming silicon materials at step 440 include chlorine gas (Cl 2 ), hydrogen chloride (HCI), tetrachlorosilane (SiCU), fluorine (F 2 ), hydrogen fluoride (HF), chlorine trifluoride (CIF 3 ), nitrogen trifluoride (NF3), radicals thereof, plasmas thereof, derivatives thereof, or combinations thereof.
  • Examples of suitable silicon precursors for forming silicon materials at step 440 include silanes, halogenated silanes, alkylsilanes, aminosilanes, aminodisilanes, silylazides, silylhydrazines, or derivatives thereof.
  • Silanes include silane (SiH 4 ) and higher silanes with the empirical formula Si x H (2X+2) , such as disilane (Si 2 He), trisilane (Si 3 Hs), and tetrasilane (Si 4 Hi O ), as well as others.
  • R methyl, ethyl, propyl or butyl, such as methylsilane ((CHs)SiH 3 ), dimethylsilane ((CH 3 ) 2 SiH 2 ), ethylsilane ((CH 3 CH 2 )SiH 3 ), methyldisilane ((CH 3 )S
  • Alkylsilanes have been found to be advantageous silicon sources as well as carbon sources in embodiments which incorporate carbon in the deposited silicon materials.
  • Other specific examples of silicon precursors include bis(tertbutylamino)silane (BTBAS or ( 4 Bu(H)N) 2 SiH 2 ), 1,2-diethyl- tetrakis(diethylamino) disilane ((CH 2 CH 3 ((CH 3 CH 2 ) 2 N) 2 Si) 2 ), 1 ,2-dichloro- tetrakis(diethylamino) disilane ((CI((CH 3 CH 2 ) 2 N) 2 Si) 2 ), hexakis(N-pyrrolidinio) disilane (((C 4 HgN) 3 )Si) 2 ), 1 ,1 ,2,2-tetrachloro-bis(di(trimethylsilyl)amino) disilane, ((CI 2 ((CH 3 ) 3 Si)2N)
  • the silicon precursors for forming silicon materials at step 440 include aminodisilanes, silylazides, or silylhydrazines having the chemical formulas:
  • R and R' may be one or more functional groups independently selected from the group of a halogen, an organic group having one or more double bonds, an organic group having one or more triple bonds, an aliphatic alkyl group, a cyclical alkyl group, an aromatic group, an organosilyl group, an alkylamino group, or a cyclic group containing N or Si, or combinations thereof.
  • Suitable functional groups on silicon precursors include chloro (-Cl), methyl (-CH 3 ), ethyl (-CH 2 CH 3 ), isopropyl (-CH(CHs) 2 ), tertbutyl (-C(CH 3 ) 3 ), trimethylsilyl (-Si(CH 3 ) 3 ), pyrrolidine, or combinations thereof. It is believed that many of the silicon precursors described herein may decompose or disassociate at a low temperature, such as about 55O 0 C or less.
  • Suitable silicon precursors include silylazides R 3- SiN 3 and silylhydrazine class of precursors R 3 SiNRNR 2 , linear and cyclic with any combination of R groups.
  • the R groups may be H or any organic functional group such as methyl, ethyl, propyl, butyl, and the like (C x H ⁇ ).
  • the R groups attached to Si can optionally be another amino group NH 2 or NR 2 .
  • Examples of specific silylazide compounds include trimethylsilylazide ((CH 3 ) 3 SiN 3 ) (available from United Chemical Technologies, located in Bristol, Pennsylvania) and tris(dimethylamine)silylazide (((CH 3 ) 2 N) 3 SiN 3 ).
  • the silicon precursor may be at least one of (R 3 Si) 3 N, (R 3 Si) 2 NN(SiR 3 ) 2 and (R 3 Si)NN(SiR 3 ), wherein each R is independently hydrogen or an alkyl, such as methyl, ethyl, propyl, butyl, phenyl, or combinations thereof.
  • suitable silicon precursors include trisilylamine ((H 3 Si) 3 N), (H 3 Si) 2 NN(SiH 3 ) 2 , (H 3 Si)NN(SiH 3 ), or derivatives thereof.
  • a silicon material may be deposited on substrate 1122 within process chamber 1100 during a deposition process at step 440.
  • substrate 1122 may be exposed to a process gas containing a silicon precursor and an oxygen precursor during a CVD process.
  • the silicon and oxygen precursors are generally provided from gas panel 1136 to interior volume 1104 of chamber body 1102 through flow control ring 1142.
  • the silicon precursor and at least another reagent may be introduced into process chamber or exposed to substrate 1122 by inlet port 1134 simultaneously, such as during a traditional CVD process or sequentially, such as during an ALD process.
  • the ALD process may expose the substrate to at least two deposition gases, such that, the substrate is sequentially exposed to the silicon precursor and the other reagent (e.g., a purge gas, an etchant, a reducing agent, a dopant reagent, or combinations thereof).
  • a purge gas, an etchant, a reducing agent, a dopant reagent, or combinations thereof e.g., one gas line 1140 is shown disposed between gas panel 1136 and inlet port 1134, it is contemplated that the silicon precursor and the other reagents are provided to process chamber 1100 in separate gas lines. The temperature may be controlled for each gas line.
  • a description of CVD and ALD processes and apparatuses that may be modified (e.g., incorporating a UV radiation source) and chemical precursors that may be useful for depositing silicon materials (e.g., epitaxy, amorphous, or polycrystalline) may be disclosed in commonly assigned U.S. Pat. Nos. 6,803,297, 6,839,507, 6,897,131 , and commonly assigned U.S. Ser. No. 10/683,937, filed October 10, 2003, and published as US 2005-0079691 , U.S. Ser. No. 10/845,984, filed May 14, 2004, and published as US 2005-0076692, U.S. Ser. No.
  • the processes for depositing silicon materials may be conducted on fabrication equipment used for ALE, CVD, or ALD processes.
  • a system that may be used to etch or deposit the silicon materials as described herein include the EPI CENTURA ® system or the POLY GEN ® system, both available from Applied Materials, Inc., located in Santa Clara, California.
  • a process chamber useful to etch and deposit as described herein is further disclosed in commonly assigned U.S. Pat. No. 6,562,720, which is incorporated herein by reference in its entirety for the purpose of describing the apparatus.
  • Other enabling apparatuses include batch furnaces and high-temperature furnaces.
  • a silicon-containing material such as a silicon material
  • the silicon material may be deposited at a rate within a range from about 10 A/min to about 500 A/min and is deposited to a thickness within a range from about 10 A to about 1 ,000 A.
  • silicon material should be construed to include a composition within a layer or a film containing at least silicon and may contain germanium, carbon, boron, arsenic, phosphorous gallium, and/or aluminum. Other elements, such as metals, oxygen, nitrogen, halogens, or hydrogen may be incorporated within a silicon material usually with concentrations of about part per million (ppm). Compounds, alloys, or dopant derivatives of silicon materials may be represented by an abbreviation, such as Si for silicon, SiGe for silicon germanium, SiC for silicon carbon, and SiGeC for silicon germanium carbon.
  • Silicon materials or layers may be deposited or formed, selectively or non-selectively, as an epitaxy material or layer, a crystalline material or layer, a microcrystalline material or layer, a polysilicon material or layer, or an amorphous material or layer.
  • the deposition gas used during step 440 may contain a silicon precursor and at least one secondary elemental source, such as a germanium source and/or a carbon source.
  • the germanium source may be added to the process chamber with the silicon source and carrier gas to form a silicon material, such as a silicon germanium material.
  • the germanium source is usually provided into the process chamber at a rate in the range from about 0.1 seem to about 20 seem, preferably from about 0.5 seem to about 10 seem, and more preferably from about 1 seem to about 5 seem, for example, about 2 seem.
  • Germanium sources useful to deposit silicon materials include germane (GeH 4 ), higher germanes and organogermanes.
  • Higher germanes include compounds with the empirical formula Ge x H( 2 ⁇ +2), such as digermane (Ge 2 He), trigermane (Ge 3 He) and tetragermane (Ge 4 Hi 0 ), as well as others.
  • Organogermanes include compounds such as methylgermane ((CHa)GeH 3 ), dimethylgermane ((CH 3 ) 2 GeH 2 ), ethylgermane ((CH 3 CH 2 )GeH 3 ), methyldigermane ((CH 3 )Ge 2 H 5 ), dimethyldigermane ((CH 3 ) 2 Ge 2 H 4 ) and hexamethyldigermane ((CH 3 ) 6 Ge 2 ).
  • Germanes and organogermane compounds have been found to be advantageous germanium sources and carbon sources in embodiments while incorporating germanium and carbon into the deposited silicon materials, namely SiGe and SiGeC compounds.
  • the germanium concentration in the epitaxial layer is in the range from about 1 at% to about 30 at%, for example, about 20 at%.
  • the germanium concentration may be graded within an epitaxial layer, preferably graded with a higher germanium concentration in the lower portion of the epitaxial layer than in the upper portion of the epitaxial layer.
  • a carbon source may be added during step 440 to the process chamber with the silicon source and carrier gas to form a silicon material, such as a silicon carbon material.
  • a carbon source is usually provided into the process chamber at a rate in the range from about 0.1 seem to about 20 seem, preferably from about 0.5 seem to about 10 seem, and more preferably from about 1 seem to about 5 seem, for example, about 2 seem.
  • Carbon sources useful to deposit silicon materials include organosilanes, alkyls, alkenes and alkynes of ethyl, propyl and butyl.
  • Such carbon sources include methylsilane (CH 3 SiH 3 ), dimethylsilane ((CH 3 ) 2 SiH 2 ), ethylsilane (CH 3 CH 2 SiH 3 ), methane (CH 4 ), ethylene (C 2 H 4 ), ethyne (C 2 H 2 ), propane (C 3 Hs), propene (C 3 H 6 ), butyne (C 4 H 6 ), as well as others.
  • the carbon concentration of an epitaxial layer is in the range from about 200 ppm to about 5 at%, preferably from about 1 at% to about 3 at%, for example 1.5 at%.
  • the carbon concentration may be graded within an epitaxial layer, preferably graded with a higher carbon concentration in the lower portion of the epitaxial layer than in the upper portion of the epitaxial layer.
  • a germanium source and a carbon source may both be added during step 440 into the process chamber with the silicon source and carrier gas to form a silicon material, such as a silicon germanium carbon material.
  • the deposition gas used during step 440 may further include at least one dopant compound to provide a source of elemental dopant, such as boron, arsenic, phosphorous, gallium or aluminum.
  • Dopants provide the deposited silicon materials with various conductive characteristics, such as directional electron flow in a controlled and desired pathway required by the electronic device. Films of the silicon materials are doped with particular dopants to achieve the desired conductive characteristic.
  • the silicon material is doped p-type, such as by using diborane to add boron at a concentration in the range from about 10 15 atoms/cm 3 to about 10 21 atoms/cm 3 .
  • the p-type dopant has a concentration of at least 5x10 19 atoms/cm 3 .
  • the p-type dopant is in the range from about 1 ⁇ 10 20 atoms/cm 3 to about 2.5 ⁇ 10 21 atoms/cm 3 .
  • the silicon material is doped n-type, such as with phosphorous and/or arsenic to a concentration in the range from about 10 15 atoms/cm 3 to about 10 21 atoms/cm 3 .
  • a dopant source is usually provided into the process chamber during step 440 at a rate in the range from about 0.1 seem to about 20 seem, preferably from about 0.5 seem to about 10 seem, and more preferably from about 1 seem to about 5 seem, for example, about 2 seem.
  • Alkylphosphines include trimethylphosphine ((CH 3 ) 3 P), dimethylphosphine ((CH 3 ) 2 PH), triethylphosphine ((CH 3 CH 2 ) 3 P) and diethylphosphine ((CH 3 CH 2 ) 2 PH).
  • Examples of aluminum and gallium dopant sources include trimethylaluminum (Me 3 AI), triethylaluminum (Et 3 AI), dimethylaluminumchloride (Me 2 AICI), aluminum chloride (AICI 3 ), trimethylgallium (Me 3 Ga), triethylgallium (Et 3 Ga), dimethylgalliumchloride (Me 2 GaCI), gallium chloride (GaCI 3 ), or derivatives thereof.
  • a patterned substrate is loaded in to a process chamber and exposed to a deposition gas during a selective epitaxial process.
  • Patterned substrates are substrates that include electronic features formed into or onto the substrate surface.
  • the patterned substrate usually contains monocrystalline surfaces and at least one secondary surface that is non- monocrystalline, such as polycrystalline or amorphous surfaces.
  • Monocrystalline surfaces include the bare crystalline substrate or a deposited single crystal layer usually made from a material such as silicon, silicon germanium or silicon carbon. Crystalline, microcrystalline, polycrystalline, or amorphous surfaces may include dielectric materials, such as oxides or nitrides, specifically silicon oxide or silicon nitride, as well as amorphous silicon surfaces.
  • a carrier gas may be provided during step 440 to control the partial pressure of the reagent gas and the silicon precursor.
  • the total internal pressure of a single wafer process chamber may be at a pressure within a range from about 100 mTorr to about 740 Torr, preferably, from about 250 mTorr to about 100 Torr, and more preferably, from about 500 mTorr to about 50 Torr.
  • the internal pressure of the process chamber is maintained at a pressure of about 10 Torr or less, preferably, about 5 Torr or less, and more preferably, about 1 Torr or less.
  • the carrier gas may be provided to control the partial pressure of the nitrogen precursor or the silicon precursor within a range from about 100 mTorr to about 1 Torr for batch processing systems.
  • suitable carrier gases include nitrogen, hydrogen, argon, helium, forming gas, or combinations thereof.
  • the substrate, the silicon precursor, and/or reagent gas may be exposed to an energy beam or a flux of energy generated by the photoexcitation system during the deposition process at step 440.
  • the use of the energy beam advantageously increases the deposition rate and improves surface diffusion or mobility of atoms within the silicon-containing material to create active sites for incoming reactive species.
  • the energy beam has photon energy within a range from about 3.0 eV to about 9.84 eV.
  • the energy beam may have a wavelength within a range from about 126 nm to about 450 nm.
  • a carrier gas may be provided at step 440 to control the partial pressure of the reagent gas and/or the silicon precursor from a few mTorr to a few hundred Torr, and to control the total process pressure within a range from about 100 mTorr to about 740 Torr in single wafer chambers. In another embodiment, the internal pressure of the process chamber is maintained within a range from about 10 Torr to about 740 Torr.
  • the carrier gas may be provided to control the partial pressure of the reagent gas or the silicon precursor within a range from about 100 mTorr to about 1 Torr for batch processing systems. Examples of suitable carrier gases include nitrogen, hydrogen, argon, helium, forming gas, or combinations thereof.
  • lamp 1170 of direct photoexcitation system 1192 and/or lamp 1184 of remote photoexcitation system 1182 provides an energy beam to supply the excitation energy of at least one of the silicon precursor or the reagent gas during step 440.
  • the high deposition rate and the low deposition temperature produce a film having tunable properties with minimal parasitic side reactions.
  • the energy beam or flux may have a photon energy within a range from about 4.5 eV to about 9.84 eV.
  • the substrate surface and the process gases may also be excited by lamps 1170 and 1184.
  • the substrate containing the silicon material (formed in step 440) is exposed to a post-deposition treatment process during step 450.
  • the post-deposition treatment process increases the substrate surface energy after deposition, which advantageously removes volatiles and/or other film contaminants (such as by reducing the hydrogen content) and/or anneals the deposited film.
  • a lower concentration of hydrogen from the deposited material advantageously increases tensile stress of the film.
  • At least one lamp e.g., lamps 1170 or 1184) may alternatively be utilized to energize an energy delivery gas which is exposed to the substrate to increase the surface energy of the substrate after deposition and to remove volatiles and/or other films.
  • an energy delivery gas may be provided to interior volume 1104 of process chamber 1100.
  • suitable energy delivery gases include nitrogen, hydrogen, helium, argon, and combinations thereof.
  • substrate 1122 is treated with an energy beam or flux of energy generated by photoexcitation system 1144 during step 450.
  • lamp 1170 of direct photoexcitation system 1192 provides an energy beam to supply the surface energy of substrate 1122 during step 350.
  • the energy beam or flux may have a photon energy within a range from about 3.53 eV to about 9.84 eV.
  • lamp 1170 may produce an energy beam having a wavelength within a range from about 126 nm to about 351 nm.
  • lamp 1170 may be energized for a time period within a range from about 1 minute to about 10 minutes to facilitate post deposition treatment by photoexcitation.
  • volatile compounds or contaminants may be removed from the deposited film surface by exposing the substrate to an energy beam having a photon energy within a range from about 3.2 eV to about 4.5 eV is generated by lamp 1170 and/or lamp 1184 is utilized to dissociate silicon precursors and the reagents within process chamber 1100.
  • excimer lamps such as XeBr* (283 nm/4.41 eV), Br 2 * (289 nm/4.29 eV), XeCI* (308 nm/4.03 eV), I 2 * (342 nm/3.63 eV), XeF* (351 nm/3.53 eV) may be selected to remove hydrogen from the SiO x Ny network. It is contemplated that the rotational speed of substrate 1122 may be changed, for example, by increasing the rotation speed in step 450 relative to the preceding deposition step.
  • the substrate may be removed from the process chamber and the process chamber is subsequently exposed to a chamber clean process during step 460.
  • the process chamber may be cleaned using a photoexcited cleaning agent.
  • the cleaning agent may be provided from the remote plasma source 1190.
  • the cleaning agent includes fluorine. Examples provide that the cleaning agent may be photoexcited within process chamber 1100 using lamp 1170, or remotely from process chamber 1100 using lamp 1184. In one example, lamp 1170 may be used to maintain the excitation level of cleaning agents formed by RPS 1190.
  • Process chamber 1100 may be cleaned during a chamber clean process to enhance deposition performance.
  • the chamber clean process may be used to remove contaminants contained on windows 1174 and 1186, thereby minimizing transmission losses of the energy beam or flux traveling through window 1174 or 1186 and maximizing the energy transferred to the gases and surfaces.
  • windows 1174 and 1186 may be cleaned using a photoexcited agent formed from RPS 1190 during the chamber clean process.
  • Windows 1174 and 1186 may be cleaned with greater frequency than process chamber 1100, for example, process chamber 1100 may be cleaned using RPS 1190 after processing a number of substrates while windows 1174 and 1186 are cleaned after processing each substrate.
  • the elemental composition of the silicon materials deposited during step 440 may be predetermined by controlling the concentration or flow rate of the chemical precursors, namely the silicon precursor and the reagent gas. Film properties may be tailored for specific applications by controlling the relative concentrations of Si, O, N, H, C, Ge, B, P, and As within the silicon material. In one embodiment, the elemental concentrations of silicon, nitrogen, and hydrogen may be tuned by varying the range of the UV energy during or subsequent the deposition process. The film properties include wet etch rate, dry etch rate, stress, dielectric constant, and the like. For example, by reducing the hydrogen content, the deposited material may have a higher tensile stress. In another example, by reducing the carbon content, the deposited material may have a lower electrical resistance.
  • Silicon materials deposited by process 400 may be used throughout electronic features/devices due to several physical properties.
  • the silicon materials may be deposited as layers on a substrate to form electronic features, such as a MOSFET transistor ( Figures 5A-5B), a bi-polar transistor ( Figure 6), or other silicon-containing layers.
  • silicon materials deposited by process 400 may be used throughout photovoltaic cell applications, such as to form a solar cell.
  • Embodiments, as described herein, provide processes that may be utilized to deposit silicon-containing materials during fabrication processes for Metal Oxide Semiconductor Field Effect Transistor (MOSFET) and bipolar transistors, such as Bipolar device fabrication (e.g., base, emitter, collector, emitter contact), BiCMOS device fabrication (e.g., base, emitter, collector, emitter contact) and CMOS device fabrication (e.g., channel, source/drain, source/drain extension, elevated source/drain, substrate, strained silicon, silicon on insulator and contact plug).
  • Other embodiments provide processes that may be utilized during gate fabrication processes, base contact fabrication processes, collector contact fabrication processes, emitter contact fabrication processes or elevated source/drain fabrication processes.
  • silicon-containing materials may be deposited as various layers in MOSFET and bipolar transistors as depicted in Figures 5A- 5B and 6.
  • the silicon-containing materials include silicon oxide, silicon nitride, silicon oxynitride, silicon (e.g., epitaxy, polysilicon, or amorphous), or other silicon-containing materials (e.g., SiGe, SiC, SiGeC, or doped variants thereof).
  • Figure 5A shows silicon-containing materials deposited within a MOSFET containing both recessed and elevated source/drains.
  • Source/drain layer 512 is formed by ion implantation of substrate layer 510.
  • substrate layer 510 is doped n-type while source/drain layer 512 is doped p- type material.
  • Silicon-containing layers 513 and 514 may be selectively and epitaxially grown on source/drain layer 512 or directly on substrate layer 510 by processes described herein. Silicon-containing layers 513 and 514 may contain silicon, silicon germanium, silicon carbon, silicon germanium carbon, dopant variants thereof, derivatives thereof, or combinations thereof.
  • Gate barrier layer 518 bridges segmented silicon-containing layer 513.
  • gate barrier layer 518 may contain silicon oxide, silicon oxynitride, hafnium oxide, hafnium oxynitride, hafnium silicate, hafnium silicon oxynitride, derivatives thereof, or combinations thereof.
  • a spacer 516 which is usually an isolation material such as a nitride/oxide/nitride stack (e.g., Si 3 N 4 /Si ⁇ 2 /Si 3 N 4 ).
  • spacer 516 may be a homogeneous layer of a silicon nitride material, such as silicon nitride or silicon oxynitride deposited by the various methods described herein.
  • Gate electrode layer 522 may have a spacer 516 and off-set layers 520 disposed on either side. Off-set layers 520 may be composed of silicon nitride, silicon oxide, or silicon oxynitride deposited by the various processes described herein.
  • FIG. 5B shows etch stop layer 524 for source/drain and gate contact aperture etch deposited over a MOSFET.
  • Etch stop layer 524 may be composed of a silicon nitride material, such as silicon nitride, deposited by the various methods described herein.
  • Pre-metal dielectric layer 526 e.g., silicon oxide is deposited on etch stop layer 524 and contains contact apertures 528 formed thereon.
  • Figure 6 depicts substrate 600 having silicon- containing materials as several layers within a bipolar transistor using various processes as described in embodiments herein.
  • Silicon-containing compound layer 634 is deposited on n-type collector layer 632 previously deposited on substrate layer 630.
  • the transistor further includes isolation layer 633 (e.g., SiO 2 , SiO x Ny or Si 3 N 4 ), contact layer 636 (e.g., heavily doped poly-Si), off-set layer 638 (e.g., Si 3 N 4 ), and isolation layer 640 (e.g., SiO 2 , SiO x N y or Si 3 N 4 ).
  • isolation layer 633 e.g., SiO 2 , SiO x Ny or Si 3 N 4
  • contact layer 636 e.g., heavily doped poly-Si
  • off-set layer 638 e.g., Si 3 N 4
  • isolation layer 640 e.g., SiO 2 , SiO x N y or Si 3 N 4
  • Isolation layers 633, isolation layer 640, and off-set layer 638 may be independently deposited as a silicon nitride material, such as silicon oxynitride, silicon carbon nitride, and/or silicon nitride deposited by the various processes described herein.
  • the isolation layers 633 and 640 are silicon oxynitride and off-set layer 638 is silicon nitride.
  • a method for depositing a silicon-containing layer, such as silicon nitride, using photoexcitation has been provided.
  • the method described above is suitable for device fabrication having small critical dimensions requiring low thermal budgets due to the use of deposition temperatures less than about 550 0 C, which advantageously facilitates robust circuit fabrication using sub 90 nm technology.
  • a “substrate” e.g., substrate 1122 or “substrate surface” as used herein refers to any substrate or material surface formed on a substrate upon which processes may be performed by embodiments described herein.
  • a substrate or a substrate surface may contain materials such as silicon, silicon-containing materials, silicon oxide, strained silicon, silicon on insulator (SOI), silicon nitride, doped silicon, silicon germanium, silicon germanium carbon, germanium, silicon carbon, gallium arsenide, glass, sapphire, fluorine-doped silicate glass (FSG), or carbon-doped silicon oxides, such as SiO x Cy, for example, BLACK DIAMOND ® low-k dielectric, available from Applied Materials, Inc., located in Santa Clara, California.
  • a substrate surface may also include dielectric materials such as silicon dioxide, silicon nitride, silicon oxynitride and/or carbon doped silicon oxides.
  • Substrates may have various dimensions, such as 200 mm or 300 mm diameter round wafers, as well as, rectangular or square panes. Embodiments of the processes described herein form or deposit silicon-containing materials on many substrates and substrate surfaces. Substrates on which embodiments of the invention may be useful include, but are not limited to semiconductor wafers, such as crystalline silicon (e.g., Si ⁇ 100> or Si ⁇ 111>), silicon oxide, strained silicon, silicon germanium, doped or undoped polysilicon, doped or undoped silicon wafers silicon nitride and patterned or non-patterned wafers.
  • semiconductor wafers such as crystalline silicon (e.g., Si ⁇ 100> or Si ⁇ 111>), silicon oxide, strained silicon, silicon germanium, doped or undoped polysilicon, doped or undoped silicon wafers silicon n
  • substrate 1122 may be pretreated prior to the deposition of a silicon-containing material by a polishing process, an etching process, a reducing process, an oxidizing process, a halogenation process, a hydroxylation process, an annealing process, a plasma process, a UV process, or combination thereof.
  • silicon-containing materials, compounds, films, or layers should be construed to include a composition containing at least silicon and may contain germanium, carbon, boron, arsenic, phosphorous gallium and/or aluminum. Other elements, such as metals, halogens or hydrogen may be incorporated within a silicon-containing material, compound, film or layer, usually with concentrations of about part per million (ppm).
  • Compounds or alloys of silicon-containing materials may be represented by an abbreviation, such as Si for silicon, SiGe, for silicon germanium, SiC for silicon carbon and SiGeC for silicon germanium carbon. The abbreviations do not represent chemical equations with stoichiometrical relationships, nor represent any particular reduction/oxidation state of the silicon-containing materials. Silicon-containing materials, compounds, films or layers may include substrates or substrate surfaces.
  • process chamber 1100 includes a chamber body 1102 coupled to pumping system 1138, a controller 1146, at least one photoexcitation system 1144 and a gas panel 1136.
  • Chamber body 1102 has walls 1106, a bottom 1108, and a lid 1110 that define an internal volume 1104.
  • the walls 1106 of the process body 1102 may be thermally regulated.
  • a plurality of conduits 1112 are disposed in the walls 1106 and are configured to circulate a heat transfer fluid that regulates the temperature of chamber body 1102.
  • the walls 1106 additionally include a substrate access port 1128 configured to facilitate entry and egress of a workpiece, such as substrate 1122, from process chamber 1100.
  • Substrate support pedestal 1124 is disposed in internal volume 1104 of chamber body 1102 and supports substrate 1122 during processing.
  • Substrate support pedestal 1124 includes heater 1120 configured to regulate the temperature of substrate 1122 and/or heat interior volume 1104 of process chamber 1100.
  • heater 1120 is a resistive heating element coupled to a power source 1116 and is capable of heating the substrate to a temperature of about 55O 0 C or higher.
  • Pedestal lift assembly 1130 is coupled to substrate support pedestal 1124 and is configured to control the elevation of substrate support pedestal 1124 between an elevated processing position (as shown in Figure 7) and a lowered position that facilitates access to substrate 1122 disposed on substrate support pedestal 1124 through the substrate access port 1128.
  • the pedestal lift assembly 1130 is sealingly coupled to bottom 1108 of chamber body 1102 by a flexible bellows 1132.
  • the pedestal lift assembly 1130 may be configured to rotate substrate support pedestal 1124 during processing.
  • Pedestal lift assembly 1130 and similar assemblies that may be used by embodiments described herein is disclosed in commonly assigned U.S. Serial No. 11/147,938, entitled “Rotating Substrate Support and the Methods of Use,” and filed June 8, 2005, which is herein incorporated by reference in its entirety.
  • the pedestal lift assembly 1130 may be configured to rotate substrate support ' pedestal 1124 continuously at a constant rate, rotate substrate support pedestal 1124 continuously at different rates, or to index substrate support pedestal 1124.
  • Gas panel 1136 is coupled to process chamber 1100 and is configured to provide process precursors and chemicals, in liquid and/or gaseous form, and other gases to interior volume 1104 of chamber body 1102.
  • gas panel 1136 is coupled by gas line 1140, which is used to transfer process chemical or mixed process gases or vapors from liquid injector generated from a selected liquid chemical source, to inlet port 1134 formed in lid 1110 of chamber body 1102. It is contemplated that inlet port 1134 may be formed through one or more other locations of chamber body 1102.
  • Flow control ring 1142 is disposed in the process body 1102 and is coupled to port 134.
  • Flow control ring 1142 is configured to direct gas flow 1180 (represented by arrows) of process gas across substrate 1122 supported on substrate support pedestal 1124.
  • Flow control ring 1142 is additionally configured to maintain a flow of purge gas, provided to a portion of interior volume 1104 defined below substrate support pedestal 1124 from purge gas source 1154, flowing upwards around the lower edge of substrate support pedestal 1124, and thereby preventing deposition gases from entering the region below substrate support pedestal 1124.
  • Gases exiting flow control ring 1142 are generally collected in pumping channel 1156 prior to removal from process chamber 1100 through pumping port 1126 by pumping system 1138.
  • Pumping system 1138 generally includes a throttle valve and one or more pumps arranged to control the pressure within internal volume 1104 of process chamber 1100.
  • Flow control ring 1142 is further detailed below with reference to Figures 8 and 9A-B.
  • Lift pins 1114 are provided to separate substrate 1122 from the upper surface of substrate support pedestal 1124 to facilitate substrate hand-off with a robot (not shown) entering the chamber body through access port 1128.
  • lift plate 1118 is disposed below substrate support pedestal 1124 and arranged such that as substrate support pedestal 1124 is lowered, lift pins 1114 come in contact with lift plate 1118 before substrate support pedestal 1124 has completed its downward travel.
  • Lift plate 1118 supports lift pins 1114 as substrate support pedestal 1124 continues downward, causing lift pins 1114 to extend from the upper surface of the pedestal.
  • the position of lift plate 1118 and/or the length of lift pins 1114 are configured such that substrate 1122 becomes spaced-apart from substrate support pedestal 1124 and generally aligned with access port 1128 when substrate support pedestal 1124 is in the lowered position.
  • Photoexcitation system 1144 is positioned to provide energy to at least one of the process gases or the surface of substrate 1122.
  • photoexcitation system 1144 includes at least one of remote photoexcitation system 1182 or a direct photoexcitation system 1192.
  • process chamber 1100 may optionally be configured with a single photoexcitation system (i.e., either the system 1182 or 1192).
  • the energy from photoexcitation system 1144 may be utilized in a number of ways.
  • the energy may be utilized to remove native oxides from the surface of substrate 1122 prior to deposition, to increase the energy of the process gases, thus, increasing deposition rates while reducing deposition temperatures, and to increase the energy level of the deposited materials, thereby increasing deposition rates, allowing greater mobility of atoms within the film and assisting in the reduction of hydrogen or other volatile materials within the film.
  • direct photoexcitation system 1192 generally includes at least one lamp 1170 positioned to deliver a beam or flux of energy to substrate surface.
  • the flux of energy can be delivered in a continuous mode or in a pulsed mode.
  • Lamp 1170 may additionally be utilized to energize process and/or cleaning gases.
  • Direct photoexcitation system 1192 is positioned above one or more windows 1174 disposed in lid 1110, such that energy emitted from photoexcitation system 1144 may be directed into internal volume 1104 of process chamber 1100.
  • Power source 1172 is coupled to lamp 1170 and selectively controls the energy emitted from the lamp within a range from about 1 eV to about 10 eV, and at a frequency within a range from about 100 nm to about 480 nm.
  • lamp 1170 is an excimer lamp.
  • lamp 1170 may include one or more lamps that generate energy at different wavelengths. Thus, different lamps may be energized at different times during a process to produce a desired energy level. Lamps 1170 may also be pulsed to produce the desired energy level. The energy at different wavelengths may be produced simultaneously, or at different times during processing.
  • Window 1174 is generally sealed to lid 1110 in a manner that prevents vacuum leakage.
  • Window 1174 is fabricated from a material transmissive to the energy emitted from lamp 1170 while being substantially compatible with process chemistries.
  • window 1174 is fabricated from sapphire or magnesium fluoride.
  • baffle plate 1160 is provided below lid 1110 to direct a blanket of purge gas between window 1174 and substrate 1122 disposed on substrate support pedestal 1124.
  • Baffle plate 1160 includes an aperture that is aligned with window 1174 to allow the beam or flux of energy from lamp 1170 to impinge upon the substrate and/or gases within internal volume 1104 of process chamber 1100.
  • a shutter plate can be added below or above window 1174 that can be open or shut to achieve a pulsing of the beam on the surface of the substrate.
  • Purge gas source 1178 is coupled to purge gas inlet 1164 formed through process chamber 1100 and provides a purge gas to the region between lid 1110 and baffle plate 1160. Additional details of direct photoexcitation system 1192, lid 1110, window 1174 and baffle plate 1160 are described further below with reference to Figures 10-14.
  • FIGS 8 and 9A-9B are sectional and bottom views of one embodiment of flow control ring 1142.
  • Flow control ring 1142 has outer side 1202, inner wall 1204, top 1206, and bottom 1208.
  • Flow control ring 1142 may be fabricated from a material compatible with the process conditions, such as aluminum, anodized aluminum, steel, stainless steel, or derivatives thereof.
  • flow control ring 1142 is shown fabricated from main body 1244 having insert 1246 coupled thereto. It is contemplated that flow control ring 1142 may alternatively be fabricated as a since piece member, be assembled into a unitary body, or contain multiple sections held together within process chamber 1100.
  • Three plenums are defined within flow control ring 1142.
  • An upper portion 1240 of flow control ring 1142 includes inlet plenum 1210 and outlet plenum 1212.
  • Inlet plenum 1210 is separated from outlet plenum 1212 by wall 1230.
  • wall 1230 spaces inlet plenum 1210 and outlet plenum 1212 to opposite sides of flow control ring 1142.
  • Pumping plenum 1214 is disposed in lower portion 1242 of flow control ring 1142 and is separated from the plenums 1210 and 1212 by interior wall 1228. Pumping plenum 1214 is substantially annular, circumscribing inner wall 1204 of flow control ring 1142.
  • One or more inlet ports 1216 are formed through inner wall 1204 of flow control ring 1142.
  • a plurality of inlet ports 1216 are formed through inside diameter wall 1232 of insert 1246 that forms part of inner wall 1204 of flow control ring 1142.
  • Inlet ports 1216 fluidly couple inlet plenum 1210 to internal volume 1104 of process chamber 1100.
  • gases provided through inlet port 1134 formed in lid 1110 may be delivered from gas panel 1136 to interior volume 1104 of process chamber 1100 through flow control ring 1142.
  • Outlet plenum 1212 is formed in flow control ring 1142 opposite inlet plenum 1210.
  • One or more paths of gas flow 1180 pass through upper outlet port 1218 positioned within inner wall 1204 of flow control ring 1142 to allow gases within interior volume 1104 of process chamber 1100 to enter outlet plenum 1212.
  • Upper outlet port 1218 may be a hole, slot, aperture, or other flow conduit suitable for allowing a gas flow to enter flow control ring 1142.
  • upper outlet port 1218 is an annular notch formed in inner wall 1204 at top 1206 of flow control ring 1142.
  • Figure 8 depicts gas flow 1180 of the process gas entering interior volume 1104 through inlet ports 1216 are drawn back into outlet plenum 1212 through upper outlet port 1218, thereby creating a cross-flow (e.g., flow from one edge to the edge on the opposing side of the substrate) of process gases laterally across substrate 1122 in a non-radial manner.
  • the size, size variation, geometry and distribution of inlet ports 1216 and upper outlet port 1218 are selected to obtain desired gas flow distribution across the surface of substrate 1122.
  • Unused process gas and reaction by-products flow are routed from outlet plenum 1212 to pumping plenum 1214 through at least one transfer hole 1220 formed through the interior wall 1228. Again, the size, number of holes and geometry of transfer hole 1220 provide control of gas flow 1180. In the embodiment depicted in Figures 8 and 9A-9B, transfer hole 1220 is an annular slot.
  • a plurality of lower outlet ports 1226 are formed through inner wall 1204 to allow purge gas (entering process chamber 1100 below substrate support pedestal 1124, as shown in Figure 7) to enter pumping plenum 1214. Gases entering pumping plenum 1214 through lower outlet ports 1226 and transfer hole 1220 are drawn into pumping channel 1156 and exhausted from process chamber 1100 by pumping system 1138.
  • the size, size variation, geometry, multiplicity, and distribution of transfer hole 1220, lower outlet ports 1226, or other exhaust ports are selected to obtain desired gas flow into pumping plenum 1214, which assists in tuning the flow of process gases across the surface of the substrate and preventing process gases from contaminating the region below substrate support pedestal 1124.
  • FIG 14 is a sectional view of one embodiment of direct photoexcitation system 1192 mounted on lid 1110.
  • Direct photoexcitation system 1192 generally includes housing 1402 that retains lamp 1170 in an internal cavity 1404 and mounting frame 1406 that secures a plurality of windows 1174.
  • Housing 1402 may be fabricated from aluminum or other suitable material and is coupled to lid 1110 in a leak-tight manner.
  • mounting frame 1406 is sealed to the lid by a first o-ring, while housing 1402 is sealed to mounting frame 1406 by a second o-ring. It is contemplated that either o-ring may be replaced by a gasket or other sealing material.
  • Mounting frame 1406 and housing 1402 may be secured to the lid by a fastener or other suitable method.
  • Interior walls 1408 of housing 1402 defining cavity 1404 are coated with a reflective material to minimize parasitic absorption of energy generated by lamp 1170, thereby increasing the amount of energy directed through window 1174.
  • interior walls 1408 are coated with nickel as a reflective material.
  • Interior walls 1408 are shaped to enhance the direction of light or photons through window 1174.
  • a flux or energy beam 1410 (represented by arrows) produced by lamp 1170 is directed substantially normal to the surface of substrate 1122 (shown in phantom). It is contemplated that it may be desirable to direct energy beam 1410 at other angles of incidence to the substrate.
  • reflector 1510 positioned proximate lamp 1170 may be configured to direct energy beam 1410 at an acute angle relative to substrate 1122.
  • optics 1520 such as a collimator lens 1522 and prism 1524, may be utilized to set the angle of incidence of energy beam 1410.
  • a microactuator may be coupled to the prism 1524 to select the angle of incidence within a range from about 45° to about 90°, as shown by the dashed arrows.
  • shutter 1550 may be utilized to pulse or selectively allow energy beam 1410 to enter internal volume 1104 of process chamber 1100 when desired.
  • direct photoexcitation system 1192 may be temperature controlled.
  • the purge gas source 1178 may be coupled to cavity 1404 of housing 1402 by inlet passage 1412.
  • Inlet passage 1412 injects a heat transfer fluid, such as nitrogen to remove heat generated by lamp 1170 from housing 1402.
  • the heat transfer fluid is removed from cavity 1404 through outlet passage 1414.
  • Thermocouple 1416 is positioned to provide controller 1146 with a metric indicative of the temperature of the heat transfer fluid, window, lamp or other portion of direct photoexcitation system 1192 so that the temperature of the lamp and/or seals of direct photoexcitation system 1192 may be maintained within operating temperature ranges.
  • thermocouple 1416 utilizing temperature information provided by thermocouple 1416, at least one of the power provided to lamp 1170, the temperature and/or the flow rate of the heat transfer fluid circulated through housing 1402 may be adjusted to maintain lamp 1170 from overheating or exceeding the operational temperature of window 1174.
  • Figure 12 depicts an exploded view of one embodiment of frame assembly 1600 utilized to couple window 1174 to mounting frame 1406.
  • Mounting frame 1406 includes flange 1612 having gland 1630 formed therein that accepts a seal utilized to provide the leak-tight seal between mounting frame 1406 and lid 1110 as described above.
  • Frame assembly 1600 generally includes window insert mount 1602 and window frame 1604.
  • Window insert mount 1602 is disposed in pocket 1614 framed in mounting frame 1406.
  • Window insert mount 1602 includes flange 1620 and base 1624.
  • Flange 1620 of window insert mount 1602 extends outward from base 1624 and includes a gland 1622.
  • Mount seal 1606, such as an o-ring, is disposed in gland 1622 and provides a seal between window insert mount 1602 and base 1616 of mounting frame 1406. Compression of mount seal 1606 is maintained by fasteners (not shown) coupling window insert mount 1602 to mounting frame 1406.
  • Base 1624 is generally an elongated rectangle that includes a plurality of apertures 1626 for allowing passage of the energy beam or flux through the frame assembly.
  • base 1624 is disposed in rectangular aperture 1618 formed in base 1616 of mounting frame 1406.
  • One or more lamps are secured between window insert mount 1602 and window frame 1604.
  • four windows 1174 are clamped between window insert mount 1602 and window frame 1604.
  • Upper window seal 1608 is disposed in gland 1632 formed in window frame 1604 and provides a seal between window 1174 and window frame 1604.
  • a lower window seal 1610 is disposed in a gland 1628 formed in window insert mount 1602 and provides a seal between window 1174 and window insert mount 1602. Compression of the upper and lower window seals 1608, 1610 is maintained by fasteners (not shown) coupling window frame 1604 to window insert mount 1602.
  • apertures 1634 formed through window frame 1604 and apertures 1626 formed through window insert mount 1602 align with window 1174 and aperture 1162 of baffle plate 1160 to allow the beam or flux of energy generated by lamp 1170 to enter the chamber.
  • direct photoexcitation system 1192 may include one or more sensors that provide a metric indicative of lamp performance. This metric advantageously allows processors to selectively control process attributes to obtain films having desired properties and deposition rates.
  • Figure 14 is a sectional view of direct photoexcitation system 1192 taken along section line 14-14 of Figure 10 illustrating sensors utilized to provide metric indicative of lamp performance.
  • first sensor 1802 is disposed through housing 1402 and extends between the lamps 1170, through the frame assembly 1600 and into interior volume 1104 of process chamber 1100.
  • First sensor 1802 may utilize a compression fitting 1808 or suitable seal to prevent gas leakage from housing 1402.
  • First sensor 1802 is generally capable of providing a metric indicative of the energy incident on substrate 1122.
  • first sensor 1802 is a flux sensor.
  • One suitable flux sensor that may be adapted to benefit from the invention is available from Hamamatsu Corporation, located in Hamamatsu City, Japan.
  • the energy levels measured are indicative of the actual energy reaching the substrate, and accounts for parasitic energy losses such as energy absorbed by window 1174 and gases within housing 1402 and process chamber 1100.
  • lamp 1170 (or other processing attribute) may be adjusted in- situ to obtain and/or maintain a desired film characteristic.
  • Second sensor 1804 may be utilized to detect energy levels in housing 1402. Second sensor 1804 is sealed to housing 1402 as described above with reference to first sensor 1802. Second sensor 1804 is generally capable of providing a metric indicative of the energy generated by lamp 1170 within housing 1402. In one embodiment, second sensor 1804 is a flux sensor. Information obtained from first sensor 1802 may be compared with the information obtained from second sensor 1804 to determine parasitic energy losses as the energy generated by the lamp acts upon objects, such as the window, gases and the substrate, positioned within the chamber. Through design experiments, for example by comparing data from the sensors with and without process gas flows, the energy incident on substrate 1122 and absorbed by the process gases may be determined and utilized to control film properties during deposition.
  • curtain 1418 of inert gas is provided across lower surface 1420 of window 1174 to further maintain the performance of direct photoexcitation system 1192.
  • Curtain 1418 is created by flowing nitrogen (or other inert gas) into purge plenum 1430 defined between body 1440 of baffle plate 1160 and lid 1110.
  • purge plenum 1430 is bounded by lip 1434 extending from body 1440 to lid 1110 and weir 1423.
  • Body 1440 provides a physical separation between lid 1110 and the process gases flowing into process chamber 1100.
  • Body 1440 typically has no openings or apertures between first side 1710 of body 1440 disposed over inlet ports 1216 and aperture 1162 to prevent process gases from contacting window 1174.
  • Lip 1434 extends further from body 1440 than weir 1423. Thus, gases flowed into purge plenum 1430 are substantially confined in purge plenum 1430 and forced over orifice 1436 defined between weir 1423 and lid 1110 along flow path 1708 (represented by arrow).
  • Lip 1434 includes a release port 1702 formed on a second side 1712 of baffle plate 1160 opposite first side 1710 that allows the purge gases to escape from behind baffle plate 1160 and enter flow control ring 1142 through the outlet plenum.
  • the release port 1702 is a notch formed in the distal end of lip 1434.
  • Orifice 1436 extends parallel to and spaced apart from window 1174.
  • the pressure drop across orifice 1436, along with the pressure within the interior volume and purge plenum 1430, are selected to control the flow of curtain 1418 in the direction substantially parallel to gas flow 1180 of the process gas while maintaining substantially uniform flow across weir 1423 so that window 1174 is protected by curtain 1418.
  • the velocity of curtain 1418 is substantially matched to the process gas flow to minimize turbulent mixing of the gases that may bring some process gases in contact with window 1174.
  • a plurality of stand-offs or bosses 1704, 1706 extend from baffle plate 1160.
  • the first set of bosses 1704 extend from weir 1432, while the second set of bosses 706 extend from body 1440 between the region of body 1440 defined between aperture 1162 and second side 1712.
  • Bosses 1704 additionally provide a structure through which flow path 1708 is formed.
  • Flow path 1708 extends through baffle plate 1160 and accommodates fasteners (not shown) utilized to secure baffle plate 1160 to lid 1110.
  • each flow path 1708 through bosses 1704, 1706 is counter-bored or countersunk on the pedestal side of baffle plate 1160 to recess the head of the fastener.
  • remote photoexcitation system 1182 may be disposed between gas panel 1136 and inlet port 1134. Remote photoexcitation system 1182 may be utilized to energize the gases entering process chamber 1100 from gas panel 1136. The energized gases may be utilized for treating the substrate, cleaning the chamber, promoting a film deposition and/or controlling characteristics of the deposited film.
  • remote photoexcitation system 1182 includes lamp 1184 disposed in housing 1194.
  • Lamp 1184 is coupled to the power source 1172, or other suitable source.
  • Lamp 1184 generally produces energy within a range from about 1 eV to about 10 eV, and at a frequency within a range from about 100 nm to about 480 nm.
  • lamp 1184 is an excimer lamp.
  • lamp 1184 may include one or more lamps that generate energy at different wavelengths. Thus, different lamps may be energized at different times during a process to produce a desired energy level.
  • one lamp may be utilized to energize a selected gas and/or surface while another lamp may be utilized to energize a different gas and/or achieve a desired effect on the deposited film.
  • a first wavelength may be utilized to energize a first precursor or a surface, followed by a second wavelength utilized to energize a second precursor or the surface.
  • monolayer deposition may be achieved.
  • Other examples suitable for monolayer deposition through atomic and/or cyclic deposition techniques includes, but is not limited to, photoenergization of only one of the two precursors, photoenergization of a purge gas flowed into the chamber between injection of at least one of or after both of the precursors, photoenergization of the substrate surface between injection of at least one of or after both of the precursors, or combinations thereof among others process sequences.
  • Gas from gas panel 1136 flowing through passage 1188 formed in housing 1194 may optionally be separated from lamp 1184 by window 1186.
  • Window 1186 may be fabricated from a suitable transmissive material, such as magnesium fluoride.
  • Remote plasma source (RPS) 1190 may be coupled to process chamber 1100.
  • RPS 1190 generally provides a reactive cleaning agent, such as disassociated fluorine, that removes deposition and other process byproducts from the chamber components.
  • RPS 1190 is coupled to inlet port 1134 such that the inlet side of flow control ring 1142 is cleaned.
  • RPS 1190 may be coupled to purge gas inlet 1164 so that the cleaning agent may more effectively clean window 1174.
  • fluorine or other suitable cleaning agent may be provided to the purge gas inlet 1164 from gas panel 1136 to clean window 1174.
  • the cleaning agent whether provided from the RPS 1190 or gas panel 1136, may be energized by lamp 1170 to increase the energy state of the gases proximate window 1174. It is also contemplated that a cleaning agent may be energized by remote photoexcitation system 1182 and delivered into process chamber 1100 through inlet port 1134.
  • Controller 1146 is coupled to the various components of process chamber 1100 to facilitate control of a silicon nitride deposition process as described below.
  • Controller 1146 generally includes central processing unit (CPU) 1150, memory 1148, and support circuits 1152.
  • CPU 1150 may be one of any form of computer processor that can be used in an industrial setting for controlling various chambers and sub processors.
  • Memory 1148, or computer readable medium may be one or more of readily available memory, such as random access memories (RAM), read-only memory (ROM), floppy disk, hard drive, flash memory, or any other form of digital storage, local or remote.
  • Support circuits 1152 are coupled to CPU 1150 for supporting the processor in a conventional manner.
  • Support circuits 1152 include cache, power supplies, clock circuits, input/output circuitry and subsystems, and the like.
  • a process for example, a deposition process for depositing the silicon-containing material in step 1900 described below, is generally stored in memory 1148, typically as a software routine.
  • the software routine may also be stored and/or executed by a second CPU (not shown) that is remotely located from the hardware being controlled by CPU 1150.
  • the deposition process of the present invention is described as being implemented as a software routine, some of the method steps that are disclosed therein may be performed in hardware as well as by the software controller. As such, the invention may be implemented in software as executed upon a system computer, in hardware as an application specific integrated circuit or other type of hardware implementation, or a combination of software and hardware.

Abstract

Embodiments of the invention generally provide a method for depositing films using a UV source during a photoexcitation process. The films are deposited on a substrate and contain a material, such as silicon (e.g., epitaxy, crystalline, microcrystalline, polysilicon, or amorphous), silicon oxide, silicon nitride, silicon oxynitride, or other silicon-containing materials. The photoexcitation process may expose the substrate and/or gases to an energy beam or flux prior to, during, or subsequent the deposition process. Therefore, the photoexcitation process may be used to pre-treat or post-treat the substrate or material, to deposit the silicon-containing material, and to enhance chamber cleaning processes. Attributes of the method that are enhanced by the UV photoexcitation process include removing native oxides prior to deposition, removing volatiles from deposited films, increasing surface energy of the deposited films, increasing the excitation energy of precursors, reducing deposition time, and reducing deposition temperature.

Description

METHOD FOR FORMING SILICON-CONTAINING MATERIALS DURING A PHOTOEXCITATION DEPOSITION PROCESS
BACKGROUND OF THE INVENTION Field of the Invention
[0001] Embodiments of the invention generally relate to a method for depositing silicon-containing materials, and more particularly, embodiments of the invention relate to chemical vapor deposition processes utilizing photoexcitation techniques to deposit silicon based dielectric materials, such as silicon oxide, silicon nitride, silicon oxynitride, and silicon.
Description of the Related Art
[0002] Thermal chemical vapor deposition (CVD) of silicon-containing materials, such as silicon nitride, is a front end process used during the manufacture of semiconductor devices. For example, in a thermal CVD process for depositing silicon nitride, thermal energy is utilized for decomposing one or more feedstock chemicals, which includes a silicon precursor, to make a thin film of a silicon nitride on a substrate surface. Conventional thermal CVD processes of silicon-containing materials are typically performed in a batch furnace or a single wafer deposition chamber operating at elevated temperatures typically in excess of 5500C. As device geometries shrink to enable faster integrated circuits, the thermal budget for deposited films must be reduced in order to obtain satisfactory processing results, good production yield and robust device performance. Although some thermal CVD processes for silicon-containing materials having deposition temperatures of less than 5500C have been proposed, the processes usually lack suitable production worthiness for large scale semiconductor device fabrication. Atomic layer deposition (ALD) processes have also been developed for depositing silicon-containing materials, such as silicon nitride and silicon oxide. While ALD processes have enabled a reduction in processing temperatures of about 5500C, film growth rates are usually extremely slow and therefore cost prohibiting for commercial fabrication. [0003] Thus, there is a need for an improved method of depositing silicon- containing materials, such as silicon, silicon oxide, silicon nitride, and silicon oxynitride, at a temperature of less than about 5500C while maintaining a high growth rate.
SUMMARY OF THE INVENTION
[0004] Embodiments of the invention generally provide a method for depositing films using a UV source during a photoexcitation process. The films are deposited on a substrate and usually contain a material, such as silicon oxide, silicon nitride, silicon oxynitride, silicon (e.g., epitaxy, crystalline, microcrystalline, polysilicon, or amorphous), or other silicon-containing materials (e.g., SiGe, SiC, SiGeC, or doped variants thereof). The photoexcitation process may expose the substrate and/or gases to an energy beam or flux prior to, during, or subsequent a deposition process. Therefore, the photoexcitation process may be used to pre-treat or post-treat the substrate, to deposit the silicon-containing material, and to enhance chamber cleaning processes. Attributes of the method that are enhanced by the UV photoexcitation process include removing native oxides prior to deposition, removing volatiles from deposited films, increasing surface energy, increasing the excitation energy of precursors, reducing deposition time, and reducing deposition temperature.
[0005] In one embodiment, a method for forming a silicon material on a substrate is provided which includes positioning a substrate within a process chamber, exposing the substrate to a deposition gas containing an aminosilane precursor, an oxygen precursor, and a nitrogen precursor, exposing the deposition gas to an energy beam derived from a UV-source within the process chamber, and depositing a silicon-containing material on the substrate, such that the silicon-containing material may be amorphous and contain oxygen and nitrogen.
[0006] In one example, the substrate may be exposed to the energy beam during a pretreatment process prior to depositing the silicon-containing material. The native oxides may be removed from the substrate during the pretreatment process. In another example, the substrate may be exposed to the energy beam during a post-treatment process after depositing the silicon-containing material. During the pretreatment process or the post-treatment process, the energy beam may have a photon energy within a range from about 2 eV to about 10 eV, for example, from about 3.2 eV to about 4.5 eV. Also, the energy delivery gas may be passed through the energy beam during the pretreatment process or the post-treatment process. The energy delivery gas may be neon, argon, krypton, xenon, argon bromide, argon chloride, krypton bromide, krypton chloride, krypton fluoride, xenon fluorides (e.g., XeF2), xenon chlorides, xenon bromides, fluorine, chlorine, bromine, excimers thereof, radicals thereof, derivatives thereof, or combinations thereof. In one example, the energy delivery gas further contains nitrogen gas or hydrogen gas.
[0007] In some of the examples, the aminosilane precursor may be bis- tertbutylaminosilane, trisilylamine, hexakis(ethylamino)disilane, or derivatives thereof. The oxygen precursor may include atomic oxygen, oxygen, ozone, water, hydrogen peroxide, radicals thereof, derivatives thereof, or combinations thereof. The nitrogen precursor may include atomic nitrogen, nitrogen, azide, ammonia, hydrazine, amine compounds, hydrazine compounds, azide compounds, radicals thereof, derivatives thereof, or combinations thereof.
[0008] In another embodiment, a method for forming a silicon material on a substrate is provided which includes positioning a substrate containing a monocrystalline silicon-containing surface and a second surface within a process chamber, exposing the substrate to an energy beam derived from a UV-source during a pretreatment process, and exposing the substrate to a deposition gas containing an aminosilane and the energy beam during a deposition process. The method further provides depositing a silicon-containing material epitaxially and selectively over the monocrystalline silicon-containing surface while maintaining the second surface free of the silicon-containing material. [0009] In one example, the monocrystalline silicon-containing surface may contain at least one element, such as germanium, carbon, boron, arsenic, phosphoric, or combinations thereof. In another example, the second surface contains at least one material, such as polycrystalline silicon, amorphous silicon, silicon oxide, silicon nitride, silicon oxynitride, a metal, a metal silicate, or derivatives thereof. Examples provide that the deposition gas may further contain an etchant. The etchant may contain chlorine gas, hydrogen chloride, tetrachlorosilane, derivatives thereof, or combinations thereof.
[0010] In another embodiment, a method for forming a silicon material on a substrate is provided which includes positioning a substrate containing a native oxide layer within a process chamber and exposing the substrate to an energy beam derived from a UV-source to remove the native oxide layer during a pretreatment process. The method further provides exposing the substrate to a deposition gas containing an aminosilane and the energy beam during a deposition process, depositing a polycrystalline silicon-containing material on the substrate, and exposing the substrate to the energy beam during a post- treatment process after depositing the polycrystalline silicon-containing material.
[0011] In another embodiment, a method for forming a silicon material on a substrate is provided which includes positioning a substrate containing a native oxide layer within a process chamber, exposing the substrate to an energy beam derived from a UV-source to remove the native oxide layer during a pretreatment process, and depositing a silicon oxide material on the substrate during a deposition process. The method further provides that during the deposition process, the substrate may be exposed to a deposition gas containing an aminosilane and an oxygen precursor, and deposition gas is exposed to the energy beam within the process chamber. The oxygen precursor may contain atomic oxygen, oxygen, ozone, water, hydrogen peroxide, radicals thereof, derivatives thereof, or combinations thereof. [0012] In another embodiment, a method for forming a silicon material on a substrate is provided which includes positioning a substrate within a process chamber, depositing a silicon oxide material on the substrate during a deposition process, and exposing the substrate to the energy beam after depositing the silicon oxide material during a post-treatment process. In another embodiment, the substrate is exposed to an energy beam derived from a UV-source during a pretreatment process prior to depositing the silicon oxide material. The deposition process provides exposing the substrate to a deposition gas containing an aminosilane and an oxygen precursor, and exposing the deposition gas to the energy beam.
[0013] In one example, a silicon oxide material containing nitrogen may be formed by providing a nitrogen precursor within the deposition gas. The nitrogen precursor may contain atomic nitrogen, nitrogen, azide, ammonia, hydrazine, amine compounds, hydrazine compounds, azide compounds, radicals thereof, derivatives thereof, or combinations thereof. In other examples, the substrate may be exposed to a nitridation process after depositing a silicon oxide material on the substrate.
[0014] In another embodiment, a method for forming a silicon material on a substrate is provided which includes positioning a substrate within a process chamber and exposing the substrate to a deposition gas that contains a silicon- containing precursor, an oxygen precursor, and a nitrogen precursor. The method further includes exposing the deposition gas to an energy beam derived from a UV-source within the process chamber, and depositing a silicon- containing material on the substrate, such that the silicon-containing material is amorphous and comprises oxygen and nitrogen.
[0015] In another embodiment, a method for forming a silicon material on a substrate is provided which includes positioning a substrate within a process chamber, exposing the substrate to a deposition gas containing a silicon- containing precursor and an oxygen precursor, exposing the deposition gas to an energy beam derived from a UV-source within the process chamber, and depositing a silicon oxide material on the substrate. In another embodiment, a method for forming a silicon material on a substrate is provided which includes positioning a substrate within a process chamber, exposing the substrate to a deposition gas containing tetraethoxysilane and an oxygen precursor, exposing the deposition gas to an energy beam derived from a UV-source within the process chamber, and depositing a silicon oxide material on the substrate.
[0016] In one example, the silicon-containing precursor is an alkoxysilane compound. The alkoxysilane compound may have the chemical formula of (RO)nSiR'(4_n), wherein n = 1 , 2, 3, or 4, each R, independently, may be methyl, ethyl, propyl, or butyl, and each R', independently, may be hydrogen, a halogen group, methyl, ethyl, propyl, or butyl. In a specific example, the alkoxysilane compound is tetraethoxysilane (TEOS). In another example, the silicon- containing precursor is a halosilane compound. The halosilane compound may include hexachlorodisilane, tetrachlorosilane, dichlorosilane, derivatives thereof, or combinations thereof. In other example, the silicon-containing precursor may include silane, disilane, trisilane, methylsilane, derivatives thereof, or combinations thereof. The oxygen precursor may contain atomic oxygen, oxygen, ozone, water, hydrogen peroxide, radicals thereof, derivatives thereof, or combinations thereof. The nitrogen precursor may contain atomic nitrogen, nitrogen, azide, ammonia, hydrazine, amine compounds, hydrazine compounds, azide compounds, radicals thereof, derivatives thereof, or combinations thereof.
BRIEF DESCRIPTION OF THE DRAWINGS
[0017] So that the manner in which the above recited features of the invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
[0018] Figure 1 is a flow diagram of a process for depositing a silicon nitride material as described by embodiments herein;
[0019] Figure 2 is a flow diagram of a process for depositing a silicon oxide material as described by embodiments herein;
[0020] Figure 3 is a flow diagram of a process for depositing a silicon oxynitride material as described by embodiments herein;
[0021] Figure 4 is a flow diagram of a process for depositing a silicon material as described by embodiments herein;
[0022] Figures 5A-5B are cross sectional views of a MOSFET transistor having silicon-containing materials deposited according to processes described by embodiments herein;
[0023] Figure 6 is a cross section of an exemplary bi-polar transistor having silicon-containing materials deposited according to processes described by embodiments herein;
[0024] Figure 7 is a simplified cross sectional view of one embodiment of a deposition chamber that may be used during a process for depositing silicon- containing materials as described herein;
[0025] Figure 8 is a sectional view of one embodiment of a flow control ring;
[0026] Figures 9A-9B are top and bottom views of the flow control ring of Figure 8;
[0027] Figure 10 is a partial sectional view of the lid of the chamber depicted in Figure 7 and one embodiment of a photoexcitation system; [0028] Figures 11A-11B illustrate schematics of apparatus for directing an energy beam or flux on a substrate;
[0029] Figure 12 is an exploded, sectional view of one embodiment of a frame assembly utilized to retain one or more windows in the photoexcitation system of Figure 10;
[0030] Figures 13A-13B are top and bottom perspective views of a baffle plate; and
[0031] Figure 14 is a sectional view of the lid and photoexcitation system taken along section lines 14-- 14 of Figure 10.
[0032] To facilitate understanding, identical reference numerals have been used, wherever possible, to designate identical elements that are common to the figures. It is contemplated that elements and/or process steps of one embodiment may be beneficially incorporated in other embodiments without additional recitation.
DETAILED DESCRIPTION
[0033] Embodiments of the invention provide a process for depositing a silicon-containing material onto a substrate. Silicon-containing materials include silicon oxide, silicon nitride, and silicon oxynitride, as well as silicon materials that may contain germanium, carbon, dopants, or combinations thereof. Many of the embodiments described herein are advantageously performed utilizing temperatures of less than about 55O0C. Although some examples of the processes are described with reference to a single wafer thermal-chemical vapor deposition chamber (e.g., process chamber 1100 illustrated in Figure 7), other processes may be beneficially practiced in other deposition systems, such as a batch chamber. Process chambers which may be used during the deposition processes as described herein include a SINGEN® Plus chamber and a POLYGEN ™ chamber, each available from Applied Materials, Inc., located in Santa Clara, California. An excimer, such as a Xe-excimer, may be integrated into theses process chambers and used as an UV-source. In one example, a useful Xe-excimer source at 172 nm is the XERADEX® 20, available from Osram Sylvania, located in Westfield, Indiana. Moreover, although deposition processes for silicon oxide, silicon nitride, silicon oxynitride, and silicon materials are described below, it is contemplated that the process may be adapted to deposit other silicon-containing materials, such as metal suicides and metal silicates.
[0034] Besides conventional CVD, other useful processes for depositing silicon-containing materials during photoexcitation processes or UV-assisted processes include pulsed-CVD and atomic layer deposition (ALD). During a pulsed-CVD process, a silicon precursor and a reactant (e.g., another precursor, a reductant, or an oxidizer) are co-flowed and pulsed into the process chamber. In one embodiment, the substrate is sequentially exposed to a deposition gas containing the silicon precursor and the reactant. In another embodiment, the substrate is sequentially exposed to the silicon precursor and the reactant. During an ALD process, the silicon precursor and the reactant are sequentially pulsed into the process chamber. In one embodiment, the substrate is sequentially exposed to the silicon precursor and the reactant during an ALD process. Plasma enhanced or thermally enhanced deposition techniques may be used during either ALD or CVD processes. Silicon- containing materials may be deposited on a single substrate or a batch of substrates during the deposition processes described herein.
Processes for depositing Silicon-containing Materials
[0035] Figures 1-4 illustrate flow chart diagrams of processes 100, 200, 300, and 400 for depositing silicon-containing materials, as described by embodiments herein. Processes 100, 200, 300, and 400 may be performed within process chamber 1100, such as described by examples herein, or by other suitable chamber and equipment. The silicon-containing material may include silicon nitride, silicon oxide, silicon oxynitride, silicon materials (epitaxy, polysilicon, or amorphous), such as silicon, silicon carbide, silicon germanium, silicon germanium carbide, doped variants thereof, derivatives thereof, or combinations thereof. Silicon-containing materials may be deposited on a substrate, such as a silicon nitride material by process 100 (Figure 1 ), a silicon oxide material by process 200 (Figure 2), a silicon oxynitride material by process 300 (Figure 3), or a silicon material by process 400 (Figure 4) as described by embodiments herein. The silicon-containing materials may be deposited as layers on a substrate to form electronic features, such as a MOSFET transistor (Figures 5A-5B), a bi-polar transistor (Figure 6), or other silicon-containing layers, as well as within a photovoltaic cell.
Silicon Nitride Materials
[0036] Figure 1 depicts a flow diagram of process 100 for depositing a silicon nitride material, as described by embodiments herein. The substrate may be positioned within a process chamber (step 110), optionally exposed to a pretreatment process (step 120), and heated to a predetermined temperature (step 130). Subsequently, a silicon nitride material may be deposited on the substrate (step 140). The substrate may be optionally exposed to post- deposition treatment process (step 150) and the process chamber may be optionally exposed to a chamber clean process (step 160).
[0037] The substrate may be positioned within a process chamber during step 110. The process chamber may be a single wafer chamber or a batch chamber containing multiple wafers or substrates (e.g., 25, 50, 100, or more). The substrate may be maintained in a fixed position, but preferably, is rotated by a support pedestal. Optionally, the substrate may be indexed during one or more steps of process 100.
[0038] Process chamber 1100, depicted in Figure 7, may be used during process 100 to deposit silicon nitride materials on substrate 1122 as described by examples herein. In one example, substrate 1122 may be rotated on substrate support pedestal 1124 within process chamber 1100 at a rate of up to about 120 rpm (revolutions per minute). Alternatively, substrate 1122 may be positioned on substrate support pedestal 1124 and not rotated during the deposition process.
[0039] In one embodiment, the substrate is optionally exposed to at least one pretreatment process during step 120. The substrate surface may contain native oxides that are removed during a pretreatment process. The substrate may be pretreated with an energy beam generated by direct photoexcitation system to remove the native oxides from the substrate surface prior to depositing a silicon nitride material during step 140. A process gas may be exposed to the substrate during the pretreatment process. The process gas may contain argon, nitrogen, helium, hydrogen, forming gas, or combinations thereof. The pretreatment process may last for a time period within a range from about 2 minutes to about 10 minutes to facilitate native oxide removal during a photoexcitation process. Also, the substrate may be heated during step 120 to a temperature within a range from about 1000C to about 8000C, preferably, from about 2000C to about 6000C, and more preferably, from about 3000C to about 5000C, to facilitate native oxide removal during process 100.
[0040] Examples provide that substrate 1122 may be exposed to an energy beam produced by lamp 1170 during step 120. Lamp 1170 may provide an energy beam having a photon energy within a range from about 2 eV to about 10 eV. In another example, lamp 1170 provides an energy beam of UV radiation having a wavelength within a range from about 126 nm to about 351 nm. Lamp 1170 may be energized for a period sufficient to remove oxides. The energization period is selected based upon the size and geometry of window 1174 (which corresponds to the exposed area of substrate 1122) and the substrate rotation speed. In one embodiment, lamp 1170 is energized for a time period within a range from about 2 minutes to about 10 minutes to facilitate native oxide removal during a photoexcitation process. In one example, substrate 1122 may be heated to a temperature within a range from about 100°C to about 800°C during step 120. In another example, substrate 1122 may be heated to a temperature within a range from about 3000C to about 5000C during step 120, while lamp 1170 provides an energy beam having a photon energy within a range from about 2 eV to about 10 eV for a time period within a range from about 2 minutes to about 5 minutes to facilitate native oxide removal. In one example, the energy beam has a photon energy within a range from about 3.2 eV to about 4.5 eV for about 3 minutes.
[0041] In another embodiment, native oxide removal may be augmented by a photoexcitation process in the presence of a process gas containing an energy delivery gas during a pretreatment process at step 120. The energy delivery gas may be neon, argon, krypton, xenon, argon bromide, argon chloride, krypton bromide, krypton chloride, krypton fluoride, xenon fluorides (e.g., XeFa), xenon chlorides, xenon bromides, fluorine, chlorine, bromine, excimers thereof, radicals thereof, derivatives thereof, or combinations thereof. In some embodiments, the process gas may also contain nitrogen gas (N2), hydrogen gas (Hb), forming gas (e.g., N2/H2 or Ar/H2) besides at least one energy delivery gas.
[0042] In one example, substrate 1122 may be exposed to a process gas containing an energy delivery gas by providing the process gas to interior volume 1104 of process chamber 1100 during step 120. The energy delivery gas may be provided through flow control ring 1142 from gas panel 1136. The proximately of the process gas to lamp 1170 compared to substrate 1122 readily excites the energy delivery gas therein. As the energy delivery gas de- excites and moves closer to substrate 1122, the energy is efficiently transferred to the surface of substrate 1122, thereby facilitating the removal of native oxides.
[0043] In another embodiment, native oxide removal may be augmented by a photoexcitation process in the presence of a process gas containing an organic vapor during the pretreatment process at step 120. In one example, the substrate may be exposed to the process gas containing a cyclic aromatic hydrocarbon. The cyclic aromatic hydrocarbon may be in the presence of UV radiation. Monocyclic aromatic hydrocarbons and polycyclic aromatic hydrocarbons that are useful during a pretreatment process include quinone, hydroxyquinone (hydroquinone), anthracene, naphthalene, phenanthracene, derivatives thereof, or combinations thereof. In another example, the substrate may be exposed to the process gas containing other hydrocarbons, such as unsaturated hydrocarbons, including ethylene, acetylene (ethyne), propylene, alkyl derivatives, halogenated derivates, or combinations thereof. In another example, the organic vapor may contain alkane compounds during the pretreatment process at step 120.
[0044] In one example, the UV radiation having a wavelength within a range from about 126 nm to about 351 nm may be generated by a lamp during step 120. In another embodiment, polycyclic aromatic hydrocarbons may remove native oxides in the presence of UV radiation by reacting with oxygen atoms within the native oxides. In another embodiment, native oxides may be removed by exposing the substrate to quinone or hydroxyquinone while forming derivative products. The derivative product may be removed from the process chamber by a vacuum pumping process.
[0045] At step 130, the substrate may be heated to a predetermined temperature during or subsequent to the pretreatment process. The substrate is heated prior to depositing the silicon nitride material at step 140. The substrate may be heated by an embedded heating element within the substrate support, the energy beam (e.g., UV-source), or combinations thereof. Generally, the substrate is heated long enough to obtain the predetermined temperature, such as for a time period within a range from about 15 seconds to about 30 minutes, preferably, from about 30 seconds to about 20 minutes, and more preferably, from about 1 minute to about 10 minutes. In one embodiment, the substrate may be heated to a temperature within a range from about 2000C to about 1 ,0000C, preferably, from about 400°C to about 85O0C, and more preferably, from about 55O0C to about 8000C. In another embodiment, the substrate may be heated to a temperature of less than about 5500C, preferably, less than about 4500C.
[0046] In one example, substrate 1122 may be heated to the predetermined temperature within process chamber 1100. The predetermined temperature may be within a range from about 300°C to about 5000C. Substrate 1122 may be heated by applying power from power source 1116 to the resistive heating element (i.e., the heater 1120).
[0047] In one embodiment, a silicon nitride material is deposited on the substrate during a deposition process at step 140. The silicon nitride material may be formed by exposing the substrate to at least one deposition gas during the deposition process. In one example, the deposition process is a CVD process having a deposition gas that may contain a silicon precursor and a nitrogen precursor or a precursor containing both silicon and nitrogen sources. Alternatively, the deposition process may be an ALD process having at least two deposition gases, such that, the substrate is sequentially exposed to a silicon precursor and a nitrogen precursor. The deposition process may be a thermal process, a radical process, or a combination thereof. For example, the substrate may be exposed to a process gas in the presence of an energy beam generated by a direct photoexcitation system.
[0048] Examples of suitable nitrogen precursors for forming silicon nitride materials at step 140 include ammonia (NH3), hydrazine (NaH4), organic amines, organic hydrazines, organic diazines (e.g., methyldiazine ((H3C)NNH)), silylazides, silylhydrazines, hydrogen azide (HN3), hydrogen cyanide (HCN), atomic nitrogen (N), nitrogen (N2), derivatives thereof, or combinations thereof. Organic amines as nitrogen precursors include RxNH3.Xi where each R is independently an alkyl group or an aryl group and x is 1 , 2, or 3. Examples of organic amines include trimethylamine ((CH3)3N), dimethylamine ((CHs)2NH), methylamine ((CH3)NH2)), triethylamine ((CH3CH2)3N), diethylamine ((CH3CHz)2NH), ethylamine ((CH3CH2)NH2)), tertbutylamine (((CHs)3C)NH2), derivatives thereof, or combinations thereof. Organic hydrazines as nitrogen precursors include RxN2H4-X, where each R is independently an alkyl group or an aryl group and x is 1 , 2, 3, or 4. Examples of organic hydrazines include methylhydrazine ((CHs)N2Ha), dimethylhydrazine ((CHs)2N2H2), ethylhydrazine ((CH3CH2)N2H3), diethylhydrazine ((CH3CH2)2N2H2), tertbutylhydrazine (((CHs)SC)N2Hs), ditertbutylhydrazine (((CHs)3C)2N2H2), radicals thereof, plasmas thereof, derivatives thereof, or combinations thereof.
[0049] In one embodiment, the nitrogen precursors ideally contain a nitrogen-nitrogen single bond (i.e., N-N single bond) for decomposition of the nitrogen precursor at low temperatures. Additionally, when a silicon precursor and nitrogen precursor are used in the process gas mix, some amount of a nitrogen precursor may be included in the gas mix for additional control over the composition of the deposited layer during deposition. In a preferred example, the nitrogen precursor is ammonia. The nitrogen precursor generally disassociate at a temperature of less than about 5000C with a high vapor pressure at room temperature. Examples of suitable nitrogen precursors and the respective vapor pressure include methylamine having a vapor pressure of about 353 kPa at 25°C, methylhydrazine having a vapor pressure of about 66 kPa at 250C, and hydrocyanic acid having a vapor pressure of about 98.8 kPa at 25°C (e.g., aqueous hydrogen cyanide solution).
[0050] Examples of suitable silicon precursors for forming silicon nitride materials at step 140 include aminosilanes, aminodisilanes, silylazides, silylhydrazines, or derivatives thereof. Some specific examples of silicon precursors include bis(tertbutylamino)silane (BTBAS or (4Bu(H)N)2SiH2), hexachlorodisilane (HCD or Si2CIe), tetrachlorosilane (SiCI4), dichlorosilane (H2SiCI2), 1 ,2-diethyl-tetrakis(diethylamino) disilane
((CH2CH3((CH3CH2)2N)2Si)2), 1 ,2-dichloro-tetrakis(diethylamino) disilane ((CI((CH3CH2)2N)2Si)2), hexakis(N-pyrrolidinio) disilane (((C4H9N)3)Si)2), 1 ,1 ,2,2- tetrachloro-bis(di(trimethylsilyl)amino) disilane, ((CI2((CH3)3Si)2N)Si)2), 1 ,1 ,2,2- tetrachloro-bis(diisopropylamino) disilane, ((CI2((C3H7)2N)Si)2), 1 ,2- dimethyltetrakis(diethylamino) disilane ((CH3(CH3CH2N)2Si)2), tris(dimethylamino)silane azide (((CH3)2N)3SiN3), tris(methylamino)silane azide (((CH3)(H)N)3SiN3), 2,2-dimethylhydrazine-dimethylsilane
((CHs)2(H)Si)(H)NN(CHs)2), trisilylamine ((SiHs)3N or TSA), and hexakis(θthylamino)disilane (((EtHN)3Si)2), radicals thereof, plasmas thereof, derivatives thereof, or combinations thereof.
[0051] Silicon precursors that may be used to produce a silicon nitride material by the UV-assisted chemical vapor deposition at sufficiently high deposition rates while at a low temperatures include compounds having one or more Si-N bonds or Si-Cl bonds, such as bis(tertbutylamino)silane (BTBAS or (1Bu(H)N)2SiH2) or hexachlorodisilane (HCD or Si2CI6). Combination of a Si-Cl functional group (bond) and a Si-N functional group (bond) has been observed to improved step coverage and microloading especially for the ever decreasing temperatures at suitable deposition rates. The number of Si-Cl groups can be varied relative to the number of Si-N groups.
[0052] Silicon precursors having preferred bond structures described above have the chemical formulas:
(I) R2NSi(R'2)Si(R'2)NR2 (aminodisilanes),
(II) R3SiN3 (silylazides), or
(III) R'3SiNRNR2 (silylhydrazines).
[0053] In the above chemical formulas, R and R' may be one or more functional groups independently selected from the group of a halogen, an organic group having one or more double bonds, an organic group having one or more triple bonds, an aliphatic alkyl group, a cyclical alkyl group, an aromatic group, an organosilyl group, an alkylamino group, or a cyclic group containing N or Si, or combinations thereof. Examples of suitable functional groups on silicon precursors include chloro (-Cl), methyl (-CH3), ethyl (-CH2CH3), isopropyl (-CH(CH3)2), tertbutyl (-C(CH3J3), trimethylsilyl (-Si(CH3)3), pyrrolidine, or combinations thereof. It is believed that many of the silicon precursors or the nitrogen precursors described herein may decompose or disassociate at a low temperature, such as about 5500C or less.
[0054] Other examples of suitable silicon precursors include silylazides R3- SiN3 and silylhydrazine class of precursors R3SiNRNR2, linear and cyclic with any combination of R groups. The R groups may be H or any organic functional group such as methyl, ethyl, propyl, butyl, and the like (CXHY). The R groups attached to Si can optionally be another amino group NH2 or NR2. One benefit of using a silicon-nitrogen precursor is that silicon and nitrogen are simultaneously delivered while avoiding the presence of chlorine to yield films with good step coverage and minimal pattern dependence (so-called pattern loading) without the undesirable ammonium chloride particle formation problematic to other conventions Si-N film precursors. Examples of specific silylazide compounds include trimethylsilylazide ((CH3)3SiN3) (available from United Chemical Technologies, located in Bristol, Pennsylvania) and tris(dimethylamine)silylazide (((CH3)2N)3SiN3). An example of a specific silylhydrazine compound is 1 ,1-dimethyl-2-dimethylsilylhydrazine ((CH3)2HSiNHN(CH3)2). In another embodiment, the silicon-nitrogen precursor may be at least one of (R3Si)3N, (R3Si)2NN(SiR3)2 and (R3Si)NN(SiR3), wherein each R is independently hydrogen or an alkyl, such as methyl, ethyl, propyl, butyl, phenyl, or combinations thereof. Examples of suitable silicon-nitrogen precursor include trisilylamine ((H3Si)3N), (H3Si)2NN(SiH3)2, (H3Si)NN(SiH3), or derivatives thereof.
[0055] In one example, a silicon nitride material may be deposited on substrate 1122 within process chamber 1100 during a deposition process at step 140. In one embodiment, substrate 1122 may be exposed to a process gas containing a silicon precursor and a nitrogen precursor during a CVD process. The silicon and nitrogen precursors are generally provided from gas panel 1136 to interior volume 1104 of chamber body 1102 through flow control ring 1142. [0056] In one embodiment, the nitrogen precursor and the silicon precursor may be introduced at step 140 into process chamber or exposed to substrate 1122 by inlet port 1134 simultaneously, such as during a traditional CVD process or sequentially, such as during an ALD process. The ALD process may expose the substrate to at least two deposition gases, such that, the substrate is sequentially exposed to a silicon precursor and a nitrogen precursor. Although one gas line 1140 is shown disposed between gas panel 1136 and inlet port 1134, it is contemplated that the silicon precursor and the nitrogen precursor are provided to process chamber 1100 in separate gas lines. The temperature may be controlled for each gas line.
[0057] A description of CVD and ALD processes and apparatuses that may be modified (e.g., incorporating a UV radiation source) and chemical precursors that may be useful for depositing silicon nitride materials are further disclosed in commonly assigned U.S. Pat. Nos. 6,677,247, 6,869,838, and 6,825,134, and commonly assigned U.S. Ser. No. 09/964,075, filed September 25, 2001 , and published as US 2003-0059535, U.S. Ser. No. 10/263,555, filed May 16, 2002, and published as US 2003-0215570, U.S. Ser. No. 10/898,547, filed July 23, 2004, and published as US 2006-0019032, U.S. Ser. No. 11/155,646, filed July 17, 2005, U.S. Ser. No. 10/970,317, filed October 20, 2004, and published as US 2006-0084283, and U.S. Ser. No. 10/688,797, filed October 17, 2003, and published as US 2004-0224089, which are all herein incorporated by reference in their entirety.
[0058] As the silicon precursor and the nitrogen precursor may be combined in the process chamber, a silicon-containing material, such as a silicon nitride material, is formed on the substrate surface. The deposited silicon nitride material exhibits good film qualities such as reflective index and wet etch rate. In one embodiment, the silicon nitride material may be deposited at a rate within a range from about 10 A/min to about 500 A/min and is deposited to a thickness within a range from about 10 A to about 1,000 A. Silicon nitride materials may have a chemical formula such as SixNy, wherein a nitrogen:silicon atomic ratio (Y/X) is about 1.33 or less, for example, Si3N4. In one embodiment, the materials formed as described herein exhibits low hydrogen content and includes a small amount of carbon doping, which enhances boron retention in PMOS devices. In another embodiment, a halogen-free silicon precursor improves the wet etch rate.
[0059] A carrier gas may be provided during step 140 to control the partial pressure of the nitrogen precursor and the silicon precursor. The total internal pressure of a single wafer process chamber may be at a pressure within a range from about 100 mTorr to about 740 Torr, preferably, from about 250 mTorr to about 100 Torr, and more preferably, from about 500 mTorr to about 50 Torr. In one example, the internal pressure of the process chamber is maintained at a pressure of about 10 Torr or less, preferably, about 5 Torr or less, and more preferably, about 1 Torr or less. In some embodiments, the carrier gas may be provided to control the partial pressure of the nitrogen precursor or the silicon precursor within a range from about 100 mTorr to about 1 Torr for batch processing systems. Examples of suitable carrier gases include nitrogen, hydrogen, argon, helium, forming gas, or combinations thereof.
[0060] The substrate, the silicon precursor, and/or the nitrogen precursor may be exposed to an energy beam or a flux of energy generated by the photoexcitation system during the deposition process at step 140. The use of the energy beam advantageously increases the deposition rate and improves surface diffusion or mobility of atoms within the silicon nitride material to create active sites for incoming reactive species. In one embodiment, the beam has energy within a range from about 3.0 eV to about 9.84 eV. Also, the energy beam may have a wavelength within a range from about 126 nm to about 450 nm.
[0061] In one example, lamp 1170 of direct photoexcitation system 1192 and/or lamp 1184 of remote photoexcitation system 1182 provides an energy beam to supply the excitation energy of at least one of the silicon precursor or the nitrogen precursor. The high deposition rate and the low deposition temperature produce a film having tunable properties with minimal parasitic side reactions. In one embodiment, the energy beam or flux may have a photon energy within a range from about 4.5 eV to about 9.84 eV. The substrate surface and the process gases may also be excited by lamps 1170 and 1184.
[0062] Gas phase excitation and surface reactions are controlled by UV excimer selection. For example, optical excitation of Si2He may be achieved by using UV photons of hv > 4.5 eV and hv > 8 eV (λ < 155 nm. Accordingly, intermediates of silanes - and NH3* (405 nm), NH2* (470.7 nm), NH* (336 nm) (with * indicating the compound in an excited state) enhances cross-linking between Si and N which may cause Si-N bond distortion in the SiN network, desirable for increasing film tensile stress.
[0063] In another embodiment, the substrate containing the silicon nitride material (formed in step 140) is exposed to a post-deposition treatment process during step 150. The post-deposition treatment process increases the substrate surface energy after deposition, which advantageously removes volatiles and/or other film contaminants (such as by reducing the hydrogen content) and/or anneals the deposited film. A lower concentration of hydrogen from the deposited material advantageously increases tensile stress of the film. At least one lamp (e.g., lamps 1170 or 1184) may alternatively be utilized to energize an energy delivery gas which is exposed to the substrate to increase the surface energy of the substrate after deposition and to remove volatiles and/or other films.
[0064] Optionally, at step 150, an energy delivery gas may be provided to interior volume 1104 of process chamber 1100. Examples of suitable energy delivery gases include nitrogen, hydrogen, helium, argon, and combinations thereof. Examples provide that substrate 1122 is treated with an energy beam or flux of energy generated by photoexcitation system 1144 during step 150. In one example, lamp 1170 of direct photoexcitation system 1192 provides an energy beam to supply the surface energy of substrate 1122 during step 150. In another example for annealing the silicon nitride material, the energy beam or flux may have a photon energy within a range from about 3.53 eV to about 9,84 eV. Also, lamp 1170 may produce an energy beam having a wavelength within a range from about 126 nm to about 351 nm. Generally, lamp 1170 may be energized for a time period within a range from about 1 minute to about 10 minutes to facilitate post deposition treatment by photoexcitation.
[0065] In one example, volatile compounds or contaminants may be removed from the deposited film surface by exposing the substrate to an energy beam having a photon energy within a range from about 3.2 eV to about 4.5 eV is generated by lamp 1170 and/or lamp 1184 is utilized to dissociate Si-H (3.21 eV), N-H (3.69 eV), NH-H (3.86 eV), H2N-H (4.47 eV), and Si-N (4.51 eV) radicals within process chamber 1100. Thus, excimer lamps, such as XeBr* (283 nm/4.41 eV), Br2* (289 nm/4.29 eV), XeCI* (308 nm/4.03 eV), I2* (342 nm/3.63 eV), XeF* (351 nm/3.53 eV) may be selected to dissociate the Si-H and N-H bonds to remove hydrogen from the SiN network. It is contemplated that the rotational speed of the substrate may be changed, for example, by increasing the rotation speed in step 150 relative to the preceding deposition step.
[0066] In another embodiment, the substrate may be removed from the process chamber and the process chamber is subsequently exposed to a chamber clean process during step 160. The process chamber may be cleaned using a photoexcited cleaning agent. Alternatively, the cleaning agent may be provided from the remote plasma source 1190. In one embodiment, the cleaning agent includes fluorine.
[0067] Examples provide that the cleaning agent may be photoexcited within process chamber 1100 using lamp 1170, or remotely from process chamber 1100 using lamp 1184. In one example, lamp 1170 may be used to maintain the excitation level of cleaning agents formed by remote plasma source (RPS) 1190.
[0068] Process chamber 1100 may be cleaned during a chamber clean process to enhance deposition performance. For example, the chamber clean process may be used to remove contaminants contained on windows 1174 and 1186, thereby minimizing transmission losses of the energy beam or flux traveling through window 1174 or 1186 and maximizing the energy transferred to the gases and surfaces. It is also contemplated that windows 1174 and 1186 may be cleaned using a photoexcited agent formed from RPS 1190 during the chamber clean process. Windows 1174 and 1186 may be cleaned with greater frequency than process chamber 1100, for example, process chamber 1100 may be cleaned using RPS 1190 after processing a number of substrates while windows 1174 and 1186 are cleaned after processing each substrate.
[0069] The elemental composition of the silicon nitride material deposited during step 140 may be predetermined by controlling the concentration or flow rate of the chemical precursors, namely the silicon precursor and the nitrogen precursor. Film properties may be tailored for specific applications by controlling the relative concentrations of Si, O, N, C, and H within the silicon nitride material. In one embodiment, the elemental concentrations of silicon, nitrogen, and hydrogen may be tuned by varying the range of the UV energy during or subsequent the deposition process. The film properties include wet etch rate, dry etch rate, stress, dielectric constant, and the like. For example, by reducing the hydrogen content, the deposited material may have a higher tensile stress. In another example, by reducing the carbon content, the deposited material may have a lower electrical resistance.
[0070] Additionally, when using N-Si-R or N-Si-Si-R type of precursors, the dissociation of the silicon-source molecule takes place at lower temperatures, thereby enabling lower temperature processing. The functional group (e.g., Si- R or Si-Si) is weakly bonded compared to Si-N bond, since the bond enthalpy of Si-N (about 470 kJ/mol) is higher than that of Si-Si (about 327 kJ/mol) or Si-C (about 452 kJ/mol). Furthermore, the nitrogen precursors used in this invention that contain a carbon and hydrogen function group, which react with R or Si-R from N-Si-R or N-Si-Si-R in the silicon precursor, allow the R group to become dissociated and more easily removed than without reacting with nitrogen precursor. The nitrogen precursor provides nitrogen and carbon sources to the silicon nitride material. Thus, embodiments of the methods may advantageously facilitate low temperature processing, e.g., at temperatures of less than about 55O0C.
[0071] Silicon nitride materials deposited during process 100 as described herein may be used throughout electronic features/devices due to several physical properties. Silicon nitride materials are electric insulators, as well as barrier materials. The barrier properties inhibit ion diffusion between dissimilar materials or elements when a silicon nitride material is placed therebetween, such as a gate material and an electrode, or between low dielectric constant porous materials and copper. Therefore, a silicon nitride material may be used in barrier layers, protective layers, off-set layers, spacer layers, and capping layers. Another physical property of silicon nitride materials is a high degree of hardness. In some applications, a silicon nitride material may be used as a protective coating for various optical devices as well as tools. Also, silicon nitride materials may be selectively etched relative to silicon oxide, i.e., silicon nitride may be used as an etch stop layer under a silicon oxide dielectric layer to accurately control etch depth without over or under etching. Silicon nitride materials may be formed containing a predetermined concentration of carbon and hydrogen to provide a desirable film stress, such as high tensile stress. In one embodiment, silicon nitride materials may be deposited during process 100 as layers on a substrate to form electronic features, such as a MOSFET transistor (Figures 5A-5B), a bi-polar transistor (Figure 6), or other silicon- containing layers. In another embodiment, silicon nitride materials deposited by process 100 may be used throughout photovoltaic cell applications, such as to form a solar cell.
Silicon Oxide Materials
[0072] Figure 2 depicts a flow diagram of process 200 for depositing a silicon oxide material, as described by embodiments herein. The substrate may be positioned within a process chamber (step 210), optionally exposed to a pretreatment process (step 220), and heated to a predetermined temperature (step 230). Subsequently, a silicon oxide material may be deposited on the substrate (step 240). The substrate may be optionally exposed to post- deposition treatment process (step 250) and the process chamber may be optionally exposed to a chamber clean process (step 260).
[0073] The substrate may be positioned within a process chamber during step 210. The process chamber may be a single wafer chamber or a batch chamber containing multiple wafers or substrates (e.g., 25, 50, 100, or more). The substrate may be maintained in a fixed position, but preferably, is rotated by a support pedestal. Optionally, the substrate may be indexed during one or more steps of process 200.
[0074] Process chamber 1100, depicted in Figure 7, may be used during process 200 to deposit silicon oxide materials on substrate 1122 as described by examples herein. In one example, substrate 1122 may be rotated on substrate support pedestal 1124 within process chamber 1100 at a rate of up to about 120 rpm. Alternatively, substrate 1122 may be positioned on substrate support pedestal 1124 and not rotated during the deposition process.
[0075] In one embodiment, the substrate is optionally exposed to at least one pretreatment process during step 220. The substrate surface may contain native oxides that are removed during a pretreatment process. The substrate may be pretreated with an energy beam generated by direct photoexcitation system to remove the native oxides from the substrate surface prior to depositing a silicon oxide material during step 240. A process gas may be exposed to the substrate during the pretreatment process. In one embodiment, the process gas may contain argon, nitrogen, helium, hydrogen, forming gas, or combinations thereof. The pretreatment process may last for a time period within a range from about 2 minutes to about 10 minutes to facilitate native oxide removal during a photoexcitation process. Also, the substrate may be heated during step 220 to a temperature within a range from about 1000C to about 8000C, preferably, from about 200°C to about 600°C, and more preferably, from about 3000C to about 5000C, to facilitate native oxide removal during process 200.
[0076] Examples provide that substrate 1122 may be exposed to an energy beam produced by lamp 1170 during step 220. Lamp 1170 may provide an energy beam having a photon energy within a range from about 2 eV to about 10 eV, for example, from about 3.2 eV to about 4.5 eV. In another example, lamp 1170 provides an energy beam of UV radiation having a wavelength within a range from about 126 nm to about 351 nm. Lamp 1170 may be energized for a period sufficient to remove oxides. The energization period is selected based upon the size and geometry of window 1174 (which corresponds to the exposed area of substrate 1122) and the substrate rotation speed. In one embodiment, lamp 1170 is energized for a time period within a range from about 2 minutes to about 10 minutes to facilitate native oxide removal during a photoexcitation process. In one example, substrate 1122 may be heated to a temperature within a range from about 1000C to about 8000C during step 220. In another example, substrate 1122 may be heated to a temperature within a range from about 300°C to about 500°C during step 220, while lamp 1170 provides an energy beam having a photon energy within a range from about 2 eV to about 10 eV for a time period within a range from about 2 minutes to about 5 minutes to facilitate native oxide removal. In one example, the energy beam has a photon energy within a range from about 3.2 eV to about 4.5 eV for about 3 minutes. [0077] In another embodiment, native oxide removal may be augmented by a photoexcitation process in the presence of a process gas containing an energy delivery gas during a pretreatment process at step 220. The energy delivery gas may be neon, argon, krypton, xenon, argon bromide, argon chloride, krypton bromide, krypton chloride, krypton fluoride, xenon fluorides (e.g., XeF2), xenon chlorides, xenon bromides, fluorine, chlorine, bromine, excimers thereof, radicals thereof, derivatives thereof, or combinations thereof. In another embodiment, the energy delivery gas may contain ozone, oxygen, hydrogen, water, hydroxyls, radicals thereof, plasma thereof, or combinations thereof. In some embodiments, the process gas may also contain nitrogen gas (N2), hydrogen gas (H2), forming gas (e.g., N2/H2 or Ar/H2) besides at least one energy delivery gas.
[0078] In one example, substrate 1122 may be exposed to a process gas containing an energy delivery gas by providing the process gas to interior volume 1104 of process chamber 1100 during step 220. The energy delivery gas may be provided through flow control ring 1142 from gas panel 1136. The proximately of the process gas to lamp 1170 compared to substrate 1122 readily excites the energy delivery gas therein. As the energy delivery gas de- excites and moves closer to substrate 1122, the energy is efficiently transferred to the surface of substrate 1122, thereby facilitating the removal of native oxides.
[0079] In another embodiment, native oxide removal may be augmented by a photoexcitation process in the presence of a process gas containing an organic vapor during the pretreatment process at step 220. In one example, the substrate may be exposed to the process gas containing a cyclic aromatic hydrocarbon. The cyclic aromatic hydrocarbon may be in the presence of UV radiation. Monocyclic aromatic hydrocarbons and polycyclic aromatic hydrocarbons that are useful during a pretreatment process include quinone, hydroxyquinone (hydroquinone), anthracene, naphthalene, phenanthracene, derivatives thereof, or combinations thereof. In another example, the substrate may be exposed to the process gas containing other hydrocarbons, such as unsaturated hydrocarbons, including ethylene, acetylene (ethyne), propylene, alkyl derivatives, halogenated derivates, or combinations thereof. In another example, the organic vapor may contain alkane compounds during the pretreatment process at step 220.
[0080] In one example, the UV radiation having a wavelength within a range from about 126 nm to about 351 nm may be generated by a lamp during step 220. In another embodiment, polycyclic aromatic hydrocarbons may remove native oxides in the presence of UV radiation by reacting with oxygen atoms within the native oxides. In another embodiment, native oxides may be removed by exposing the substrate to quinone or hydroxyquinone while forming derivative products. The derivative product may be removed from the process chamber by a vacuum pumping process.
[0081] At step 230, the substrate may be heated to a predetermined temperature during or subsequent to the pretreatment process. The substrate is heated prior to depositing the silicon oxide material at step 240. The substrate may be heated by an embedded heating element within the substrate support, the energy beam (e.g., UV-source), or combinations thereof. Generally, the substrate is heated long enough to obtain the predetermined temperature, such as for a time period within a range from about 15 seconds to about 30 minutes, preferably, from about 30 seconds to about 20 minutes, and more preferably, from about 1 minute to about 10 minutes. In one embodiment, the substrate may be heated to a temperature within a range from about 2000C to about 1 ,0000C, preferably, from about 4000C to about 85O0C, and more preferably, from about 500°C to about 900°C. In another embodiment, the substrate may be heated to a temperature of less than about 5500C, preferably, less than about 450°C.
[0082] In one example, substrate 1122 may be heated to the predetermined temperature within process chamber 1100. The predetermined temperature may be within a range from about 3000C to about 5000C. Substrate 1122 may be heated by applying power from power source 1116 to the resistive heating element (i.e., the heater 1120).
[0083] In one embodiment, a silicon oxide material is deposited on the substrate during a deposition process at step 240. The silicon oxide material may be formed by exposing the substrate to at least one deposition gas during the deposition process. In one example, the deposition process is a CVD process having a deposition gas that may contain a silicon precursor and an oxygen precursor or a precursor containing both silicon and oxygen sources. Alternatively, the deposition process may be an ALD process having at least two deposition gases, such that, the substrate is sequentially exposed to a silicon precursor and an oxygen precursor. The deposition process may be a thermal process, a radical process, or a combination thereof. For example, the substrate may be exposed to a process gas in the presence of an energy beam generated by a direct photoexcitation system.
[0084] Examples of suitable oxygen precursors for forming silicon oxide materials during step 240 include atomic oxygen (O), oxygen (O2), ozone (O3), water (H2O), hydrogen peroxide (H2O2), organic peroxides, alcohols, nitrous oxide (N2O), nitric oxide (NO), nitrogen dioxide (NO2), dinitrogen pentoxide (N2O5), plasmas thereof, radicals thereof, derivatives thereof, or combinations thereof. In one embodiment, an oxygen precursor may be formed by combining ozone and water to provide a strong oxidizing agent. The oxygen precursor generally contains hydroxyl radicals (OH) which have strong oxidizing power. The ozone concentration may vary relative to the water concentration. A molar ratio of ozone to water ratio may be within a range from about 0.01 to about 30, preferably, from about 0.03 to about 3, and more preferably, from about 0.1 to about 1. In one example, an energy beam derived from a UV source may be exposed to oxygen or an oxygen/water mixture to form an oxygen precursor containing ozone. In another embodiment, the energy delivery gas and/or the atmosphere within the chamber during the photoexcitation step includes oxygen and/or ozone.
[0085] Examples of suitable silicon precursors for forming silicon oxide materials during step 240 include silanes, alkylsilanes, halosilanes, alkoxysilanes, aminosilanes, aminodisilanes, silylazides, silylhydrazines, or derivatives thereof. Some specific examples of silicon precursors include silane (SiH4), disilane (Si2H6), trisilane (Si3H8), methylsilane (CH3SiH3), bis(tertbutylamino)silane (BTBAS or (1Bu(H)N)2SiH2), tetraethoxysilane ((EtO)4Si or TEOS), hexachlorodisilane (HCD or Si2CI6), tetrachlorosilane (SiCI4), dichlorosilane (H2SiCI2), 1 ,2-diethyl-tetrakis(diethylamino) disilane ((CH2CH3((CH3CH2)2N)2Si)2), 1 ,2-dichloro-tetrakis(diethylamino) disilane ((CK(CH3CH2)SN)2Si)2), hexakis(N-pyrrolidinio) disilane (((C4H9N)3)Si)2), 1 ,1 ,2,2- tetrachloro-bis(di(trimethylsilyl)amino) disilane, ((CI2((CH3)3Si)2N)Si)2), 1 ,1 ,2,2- tetrachloro-bis(diisopropylamino) disilane, ((CI2((C3H7)2N)Si)2), 1 ,2- dimethyltetrakis(diethylamino) disilane ((CH3(CH3CH2N)2Si)2), tris(dimethylamino)silane azide (((CH3)2N)3SiN3), tris(methylamino)silane azide (((CH3)(H)N)3SiN3), 2,2-dimethylhydrazine-dimethylsilane
((CH3)2(H)Si)(H)NN(CH3)2), trisilylamine ((SiHs)3N or TSA), and hexakis(ethylamino)disilane (((EtHN)3Si)2), radicals thereof, plasmas thereof, derivatives thereof, or combinations thereof. In many embodiments, the silicon precursors for forming silicon oxide materials during step 240 include the same silicon precursors as described at step 140 during process 100.
[0086] In another embodiment, an alkoxysilane compound is used as the silicon precursors for forming silicon oxide materials during step 240. The alkoxysilane may have the chemical formula (RO)nSiR'(4-n), wherein n = 1 , 2, 3, or 4, each R, independently, may be methyl, ethyl, propyl, butyl, or other alkyl group, and each R', independently, may be hydrogen, a halogen group, methyl, ethyl, propyl, butyl, or other alkyl group. Examples of alkoxysilane compounds that may be used as silicon precursors include tetraethoxysilane ((EtO)4Si or TEOS), tetramethoxysilane ((MeO)4Si), tetrapropoxysilane ((PrO)4Si), tetraisopropoxysilane ((1PrO)4Si), tetrabutoxysilane ((BuO)4Si), triethoxysilane ((EtO)3SiH), diθthoxysilane ((EtO)2SiH2), diethoxydimethylsiiane ((EtO)2SiMe2), diethoxydiethylsilane ((EtO)2SiEt2), dimethoxydiethoxsilane ((MeO)2Si(OEt)2), derivatives thereof, or combinations thereof. In another embodiment, an alkoxysilane compound (e.g., TEOS) may be used as a source for both silicon and oxygen, instead of separate silicon and oxygen precursors, to form a silicon oxide material during step 240.
[0087] In one example, a silicon oxide material may be deposited on substrate 1122 within process chamber 1100 during a deposition process at step 240. In one embodiment, substrate 1122 may be exposed to a process gas containing a silicon precursor and an oxygen precursor during a CVD process. The silicon and oxygen precursors are generally provided from gas panel 1136 to interior volume 1104 of chamber body 1102 through flow control ring 1142.
[0088] In one embodiment, at step 240, the oxygen precursor and the silicon precursor may be introduced into process chamber or exposed to substrate 1122 by inlet port 1134 simultaneously, such as during a traditional CVD process or sequentially, such as during an ALD process. The ALD process may expose the substrate to at least two deposition gases, such that, the substrate is sequentially exposed to a silicon precursor and an oxygen precursor. Although one gas line 1140 is shown disposed between gas panel 1136 and inlet port 1134, it is contemplated that the silicon precursor and the oxygen precursor are provided to process chamber 1100 in separate gas lines. The temperature may be controlled for each gas line.
[0089] A description of CVD and ALD processes and apparatuses that may be modified (e.g., incorporating a UV radiation source) and chemical precursors that may be useful for depositing silicon oxide materials are further disclosed in commonly assigned U.S. Pat. Nos. 6,869,838, 6,825,134, 6,905,939, and 6,924,191 , and commonly assigned U.S. Ser. No. 09/964,075, filed September 25, 2001 , and published as US 2003-0059535, U.S. Ser. No. 10/624,763, filed July 21 , 2003, and published as US 2004-0018738, U.S. Ser. No. 10/794,707, filed March 4, 2004, and published as US 2004-0175961 , and U.S. Ser. No. 10/688,797, filed October 17, 2003, and published as US 2004-0224089, which are all herein incorporated by reference in their entirety.
[0090] As the silicon precursor and the oxygen precursor may be combined in the process chamber, a silicon-containing material, such as a silicon oxide material, is formed on the substrate surface. In one embodiment, the silicon oxide material may be deposited at a rate within a range from about 10 A/min to about 500 A/min and is deposited to a thickness within a range from about 10 A to about 1 ,000 A. Silicon oxide materials may have a chemical formula such as SixOy, wherein an oxygen:silicon atomic ratio (Y/X) is about 2 or less, for example, SiO2. In one embodiment, the materials formed as described herein exhibits low hydrogen concentration and includes a small amount of carbon doping, which enhances boron retention in PMOS devices. In another embodiment, a halogen-free silicon precursor improves the wet etch rate.
[0091] A carrier gas may be provided during step 240 to control the partial pressure of the oxygen precursor and the silicon precursor. The total internal pressure of a single wafer process chamber may be at a pressure within a range from about 100 mTorr to about 740 Torr, preferably, from about 250 mTorr to about 100 Torr, and more preferably, from about 500 mTorr to about 50 Torr. In one example, the internal pressure of the process chamber is maintained at a pressure of about 10 Torr or less, preferably, about 5 Torr or less, and more preferably, about 1 Torr or less. In some embodiments, the carrier gas may be provided to control the partial pressure of the nitrogen precursor or the silicon precursor within a range from about 100 mTorr to about 1 Torr for batch processing systems. Examples of suitable carrier gases include nitrogen, hydrogen, argon, helium, forming gas, or combinations thereof. [0092] The substrate, the silicon precursor, and/or the oxygen precursor may be exposed to an energy beam or a flux of energy generated by the photoexcitation system during the deposition process at step 240. The use of the energy beam advantageously increases the deposition rate and improves surface diffusion or mobility of atoms within the silicon oxygen material to create active sites for incoming reactive species. In one embodiment, the beam has energy within a range from about 3.0 eV to about 9.84 eV. Also, the energy beam may have a wavelength within a range from about 126 nm to about 450 nm.
[0093] In one example, lamp 1170 of direct photoexcitation system 1192 and/or lamp 1184 of remote photoexcitation system 1182 provides an energy beam to supply the excitation energy of at least one of the silicon precursor or the oxygen precursor. The high deposition rate and the low deposition temperature produce a film having tunable properties with minimal parasitic side reactions. In one embodiment, the energy beam or flux may have a photon energy within a range from about 4.5 eV to about 9.84 eV. The substrate surface and the process gases may also be excited by lamps 1170 and 1184.
[0094] In another embodiment, the substrate containing the silicon oxide material (formed in step 240) is exposed to a post-deposition treatment process during step 250. The post-deposition treatment process increases the substrate surface energy after deposition, which advantageously removes volatiles and/or other film contaminants (such as by reducing the hydrogen content) and/or anneals the deposited film. A lower concentration of hydrogen from the deposited material advantageously increases tensile stress of the film. At least one lamp (e.g., lamps 1170 or 1184) may alternatively be utilized to energize an energy delivery gas which is exposed to the substrate to increase the surface energy of the substrate after deposition and to remove volatiles and/or other films. [0095] Optionally, at step 250, an energy delivery gas may be provided to interior volume 1104 of process chamber 1100. Examples of suitable energy delivery gases include nitrogen, hydrogen, helium, argon, ozone, oxygen, hydrogen, water, hydroxyls, radicals thereof, plasma thereof, or combinations thereof. Examples provide that substrate 1122 is treated with an energy beam or flux of energy generated by photoexcitation system 1144 during step 250. In one example, lamp 1170 of direct photoexcitation system 1192 provides an energy beam to supply the surface energy of substrate 1122 during step 250. In another example for annealing the silicon oxide material, the energy beam or flux may have a photon energy within a range from about 3.53 eV to about 9.84 eV. Also, lamp 1170 may produce an energy beam having a wavelength within a range from about 126 nm to about 351 nm. Generally, lamp 1170 may be energized for a time period within a range from about 1 minute to about 10 minutes to facilitate post deposition treatment by photoexcitation.
[0096] In one example, volatile compounds or contaminants may be removed from the deposited film surface by exposing the substrate to an energy beam having a photon energy within a range from about 3.2 eV to about 4.5 eV is generated by lamp 1170 and/or lamp 1184 is utilized to dissociate silicon precursors and oxygen precursors within process chamber 1100. Thus, excimer lamps, such as XeBr* (283 nm/4.41 eV), Br2* (289 nm/4.29 eV), XeCI* (308 nm/4.03 eV), I2* (342 nm/3.63 eV), XeF* (351 nm/3.53 eV) may be selected to remove hydrogen from the SiOx network. It is contemplated that the rotational speed of substrate 1122 may be changed, for example, by increasing the rotation speed in step 250 relative to the preceding deposition step.
[0097] In another embodiment, the substrate may be removed from the process chamber and the process chamber is subsequently exposed to a chamber clean process during step 260. The process chamber may be cleaned using a photoexcited cleaning agent. Alternatively, the cleaning agent may be provided from the remote plasma source 1190. In one embodiment, the cleaning agent includes fluorine. [0098] Examples provide that the cleaning agent may be photoexcited within process chamber 1100 using lamp 1170, or remotely from process chamber 1100 using lamp 1184. In one example, lamp 1170 may be used to maintain the excitation level of cleaning agents formed by RPS 1190.
[0099] Process chamber 1100 may be cleaned during a chamber clean process to enhance deposition performance. For example, the chamber clean process may be used to remove contaminants contained on windows 1174 and 1186, thereby minimizing transmission losses of the energy beam or flux traveling through window 1174 or 1186 and maximizing the energy transferred to the gases and surfaces. It is also contemplated that windows 1174 and 1186 may be cleaned using a photoexcited agent formed from RPS 1190 during the chamber clean process. Windows 1174 and 1186 may be cleaned with greater frequency than process chamber 1100, for example, process chamber 1100 may be cleaned using RPS 1190 after processing a number of substrates while windows 1174 and 1186 are cleaned after processing each substrate.
[00100] The elemental composition of the silicon oxide material deposited during step 240 may be predetermined by controlling the concentration or flow rate of the chemical precursors, namely the silicon precursor and the oxygen precursor. Film properties may be tailored for specific applications by controlling the relative concentrations of Si, O, N, C, and H within the silicon oxide material. In one embodiment, the elemental concentrations of silicon, nitrogen, and hydrogen may be tuned by varying the range of the UV energy during or subsequent the deposition process. The film properties include wet etch rate, dry etch rate, stress, dielectric constant, and the like. For example, by reducing the hydrogen content, the deposited material may have a higher tensile stress. In another example, by reducing the carbon content, the deposited material may have a lower electrical resistance.
[00101] Silicon oxide materials deposited utilizing process 200 may be used throughout electronic features/devices due to several physical properties. The silicon oxide materials may be deposited as layers on a substrate to form electronic features, such as a MOSFET transistor (Figures 5A-5B), a bi-polar transistor (Figure 6), or other silicon-containing layers. In another embodiment, silicon oxide materials deposited by process 200 may be used throughout photovoltaic cell applications, such as to form a solar cell.
Silicon Oxynitride Materials
[00102] Figure 3 depicts a flow diagram of process 300 for depositing a silicon oxynitride material, as described by embodiments herein. The substrate may be positioned within a process chamber (step 310), optionally exposed to a pretreatment process (step 320), and heated to a predetermined temperature (step 330). Subsequently, a silicon oxynitride materia! may be deposited on the substrate (step 340). The substrate may be optionally exposed to post- deposition treatment process (step 350) and the process chamber may be optionally exposed to a chamber clean process (step 360).
[00103] The substrate may be positioned within a process chamber during step 310. The process chamber may be a single wafer chamber or a batch chamber containing multiple wafers or substrates (e.g., 25, 50, 100, or more). The substrate may be maintained in a fixed position, but preferably, is rotated by a support pedestal. Optionally, the substrate may be indexed during one or more steps of process 300.
[00104] Process chamber 1100, depicted in Figure 7, may be used during process 300 to deposit silicon oxynitride materials on substrate 1122 as described by examples herein. In one example, substrate 1122 may be rotated on substrate support pedestal 1124 within process chamber 1100 at a rate of up to about 120 rpm. Alternatively, substrate 1122 may be positioned on substrate support pedestal 1124 and not rotated during the deposition process.
[00105] In one embodiment, the substrate is optionally exposed to at least one pretreatment process during step 320. The substrate surface may contain native oxides that are removed during a pretreatment process. The substrate may be pretreated with an energy beam generated by direct photoexcitation system to remove the native oxides from the substrate surface prior to depositing a silicon oxynitride material during step 340. A process gas may be exposed to the substrate during the pretreatment process. The process gas may contain argon, nitrogen, helium, hydrogen, forming gas, or combinations thereof. The pretreatment process may last for a time period within a range from about 2 minutes to about 10 minutes to facilitate native oxide removal during a photoexcitation process. Also, the substrate may be heated during step 320 to a temperature within a range from about 1000C to about 8000C, preferably, from about 2000C to about 6000C, and more preferably, from about 3000C to about 5000C, to facilitate native oxide removal during process 300.
[00106] Examples provide that substrate 1122 may be exposed to an energy beam produced by lamp 1170 during step 320. Lamp 1170 may provide an energy beam having a photon energy within a range from about 2 eV to about 10 eV, for example, from about 3.2 eV to about 4.5 eV. In another example, lamp 1170 provides an energy beam of UV radiation having a wavelength within a range from about 126 nm to about 351 nm. Lamp 1170 may be energized for a period sufficient to remove oxides. The energization period is selected based upon the size and geometry of window 1174 (which corresponds to the exposed area of substrate 1122) and the substrate rotation speed. In one embodiment, lamp 1170 is energized for a time period within a range from about 2 minutes to about 10 minutes to facilitate native oxide removal during a photoexcitation process. In one example, substrate 1122 may be heated to a temperature within a range from about 100°C to about 8000C during step 320. In another example, substrate 1122 may be heated to a temperature within a range from about 300°C to about 500°C during step 320, while lamp 1170 provides an energy beam having a photon energy within a range from about 2 eV to about 10 eV for a time period within a range from about 2 minutes to about 5 minutes to facilitate native oxide removal. In one example, the energy beam has a photon energy within a range from about 3.2 eV to about 4.5 eV for about 3 minutes.
[00107] In another embodiment, native oxide removal may be augmented by a photoexcitation process in the presence of a process gas containing an energy delivery gas during a pretreatment process at step 320. The energy delivery gas may be neon, argon, krypton, xenon, argon bromide, argon chloride, krypton bromide, krypton chloride, krypton fluoride, xenon fluorides (e.g., XeF2), xenon chlorides, xenon bromides, fluorine, chlorine, bromine, excimers thereof, radicals thereof, derivatives thereof, or combinations thereof. In another embodiment, the energy delivery gas may contain ozone, oxygen, hydrogen, water, hydroxyls, radicals thereof, plasma thereof, or combinations thereof. In some embodiments, the process gas may also contain nitrogen gas (N2), hydrogen gas (H2), forming gas (e.g., N2/H2 or Ar/H2) besides at least one energy delivery gas.
[00108] In one example, substrate 1122 may be exposed to a process gas containing an energy delivery gas by providing the process gas to interior volume 1104 of process chamber 1100 during step 320. The energy delivery gas may be provided through flow control ring 1142 from gas panel 1136. The proximately of the process gas to lamp 1170 compared to substrate 1122 readily excites the energy delivery gas therein. As the energy delivery gas de- excites and moves closer to substrate 1122, the energy is efficiently transferred to the surface of substrate 1122, thereby facilitating the removal of native oxides.
[00109] In another embodiment, native oxide removal may be augmented by a photoexcitation process in the presence of a process gas containing an organic vapor during the pretreatment process at step 320. In one example, the substrate may be exposed to the process gas containing a cyclic aromatic hydrocarbon. The cyclic aromatic hydrocarbon may be in the presence of UV radiation. Monocyclic aromatic hydrocarbons and polycyclic aromatic hydrocarbons that are useful during a pretreatment process include quinone, hydroxyquinone (hydroquinone), anthracene, naphthalene, phenanthracene, derivatives thereof, or combinations thereof. In another example, the substrate may be exposed to the process gas containing other hydrocarbons, such as unsaturated hydrocarbons, including ethylene, acetylene (ethyne), propylene, alkyl derivatives, halogenated derivates, or combinations thereof. In another example, the organic vapor may contain alkane compounds during the pretreatment process at step 320.
[00110] In one example, the UV radiation having a wavelength within a range from about 126 nm to about 351 nm may be generated by a lamp during step 320. In another embodiment, polycyclic aromatic hydrocarbons may remove native oxides in the presence of UV radiation by reacting with oxygen atoms within the native oxides. In another embodiment, native oxides may be removed by exposing the substrate to quinone or hydroxyquinone while forming derivative products. The derivative product may be removed from the process chamber by a vacuum pumping process.
[00111] At step 330, the substrate may be heated to a predetermined temperature during or subsequent to the pretreatment process. The substrate is heated prior to depositing the silicon oxynitride material at step 340. The substrate may be heated by an embedded heating element within the substrate support, the energy beam (e.g., UV-source), or combinations thereof. Generally, the substrate is heated long enough to obtain the predetermined temperature, such as for a time period within a range from about 15 seconds to about 30 minutes, preferably, from about 30 seconds to about 20 minutes, and more preferably, from about 1 minute to about 10 minutes. In one embodiment, the substrate may be heated to a temperature within a range from about 2000C to about 1 ,0000C, preferably, from about 400°C to about 85O0C, and more preferably, from about 5500C to about 8000C. In another embodiment, the substrate may be heated to a temperature of less than about 5500C, preferably, less than about 4500C. [00112] In one example, substrate 1122 may be heated to the predetermined temperature within process chamber 1100. The predetermined temperature may be within a range from about 3000C to about 5000C. Substrate 1122 may be heated by applying power from power source 1116 to the resistive heating element (i.e., the heater 1120).
[00113] In one embodiment, a silicon oxynitride material is deposited on the substrate during a deposition process at step 340. The silicon oxynitride material may be formed by exposing the substrate to at least one deposition gas during the deposition process. In one example, the deposition process is a CVD process having a deposition gas that may contain a silicon precursor and an oxygen precursor or a precursor containing both silicon and oxygen sources. Alternatively, the deposition process may be an ALD process having at least two deposition gases, such that, the substrate is sequentially exposed to a silicon precursor and an oxygen precursor. The deposition process may be a thermal process, a radical process, or a combination thereof. For example, the substrate may be exposed to a process gas in the presence of an energy beam generated by a direct photoexcitation system.
[00114] Examples of suitable oxygen precursors for forming silicon oxynitride materials during step 340 include atomic oxygen (O), oxygen (O2), ozone (O3), water (H2O), hydrogen peroxide (H2O2), organic peroxides, alcohols, nitrous oxide (N2O), nitric oxide (NO), nitrogen dioxide (NO2), dinitrogen pentoxide (N2O5), plasmas thereof, radicals thereof, derivatives thereof, or combinations thereof. In one embodiment, an oxygen precursor may be formed by combining ozone and water to provide a strong oxidizing agent. The oxygen precursor generally contains hydroxyl radicals (OH) which have strong oxidizing power. The ozone concentration may vary relative to the water concentration. A molar ratio of ozone to water ratio may be within a range from about 0.01 to about 30, preferably, from about 0.03 to about 3, and more preferably, from about 0.1 to about 1. In one example, an energy beam derived from a UV source may be exposed to oxygen or an oxygen/water mixture to form an oxygen precursor containing ozone. In another embodiment, the energy delivery gas and/or the atmosphere within the chamber during the photoexcitation step includes oxygen and/or ozone.
[00115] Examples of suitable nitrogen precursors for forming silicon oxynitride materials during step 340 include ammonia (NH3), hydrazine (N2H4), organic amines, organic hydrazines, organic diazines (e.g., methyldiazine ((HsC)NNH)), silylazides, silylhydrazines, hydrogen azide (HN3), hydrogen cyanide (HCN), atomic nitrogen (N), nitrogen (Na), derivatives thereof, or combinations thereof. Organic amines as nitrogen precursors include RXNH3-X, where each R is independently an alkyl group or an aryl group and x is 1 , 2, or 3. Examples of organic amines include trimethylamine ((CH3)3N), dimethylamine ((CH3)2NH), methylamine ((CH3)NH2)), triethylamine ((CH3CH2)3N), diethylamine ((CH3CH2)2NH), ethylamine ((CH3CH2)NH2)), tertbutylamine (((CHs)3C)NH2), derivatives thereof, or combinations thereof. Organic hydrazines as nitrogen precursors include RxN2H4-X, where each R is independently an alkyl group or an aryl group and x is 1 , 2, 3, or 4. Examples of organic hydrazines include methylhydrazine ((CH3)N2H3), dimethylhydrazine ((CHs)2N2H2), ethylhydrazine ((CH3CH2)N2H3), diethylhydrazine ((CH3CH2)2N2H2), tertbutylhydrazine (((CH3)sC)N2H3), ditertbutylhydrazine (((CHs)3C)2N2H2), radicals thereof, plasmas thereof, derivatives thereof, or combinations thereof.
[00116] In one embodiment, the nitrogen precursors ideally contain a nitrogen-nitrogen single bond (i.e., N-N single bond) for decomposition of the nitrogen precursor at low temperatures. Additionally, when a silicon precursor and nitrogen precursor are used in the process gas mix, some amount of a nitrogen precursor may be included in the gas mix for additional control over the composition of the deposited layer during deposition. In a preferred example, the nitrogen precursor is ammonia. The nitrogen precursor generally disassociate at a temperature of less than about 5000C with a high vapor pressure at room temperature. Examples of suitable nitrogen precursors and the respective vapor pressure include methylamine (vapor pressure of about 353 kPa at 25°C), methylhydrazine (vapor pressure of about 66 kPa at 25°C), and hydrocyanic acid (e.g., aqueous hydrogen cyanide solution) (vapor pressure of about 98.8 kPa at 250C).
[00117] Examples of suitable silicon precursors for forming silicon oxynitride materials during step 340 include silanes, alkylsilanes, halosilanes, alkoxysilanes, aminosilanes, aminodisilanes, silylazides, silylhydrazines, or derivatives thereof.1 Some specific examples of silicon precursors include silane (SiH4), disilane (Si2H6), trisilane (Si3H8), methylsilane (CH3SiH3), tetraethoxysilane ((EtO)4Si or TEOS), bis(tertbutylamino)silane (BTBAS or (1Bu(H)N)2SiH2), hexachlorodisilane (HCD or Si2CI6), tetrachlorosilane (SiCI4), dichlorosilane (H2SiCI2), 1 ,2-diethyl-tetrakis(diethylamino) disilane ((CH2CH3((CH3CH2)2N)2Si)2), 1 ,2-dichloro-tetrakis(diethylamino) disilane ((CI((CH3CH2)2N)2Si)2), hexakis(N-pyrrolidinio) disilane (((C4H9N)3)Si)2), 1 ,1 ,2,2- tetrachloro-bis(di(trimethylsilyl)amino) disilane, ((CI2((CH3)3Si)2N)Si)2), 1 ,1 ,2,2- tetrachloro-bis(diisopropylamino) disilane, ((CI2((C3Hr)2N)Si)2), 1 ,2- dimethyltetrakis(diethylamino) disilane ((CH3(CH3CH2N)2Si)2), tris(dimethylamino)silane azide (((CH3)2N)3SiN3), tris(methylamino)silane azide (((CH3)(H)N)3SiN3), 2,2-dimethylhydrazine-dimethylsilane
((CHs)2(H)Si)(H)NN(CHs)2), trisilylamine ((SiHs)3N or TSA), and hexakis(ethylamino)disilane (((EtHN)3Si)2), radicals thereof, plasmas thereof, derivatives thereof, or combinations thereof.
[00118] In some embodiments, the silicon precursors for forming silicon oxynitride materials during step 340 include aminodisilanes, silylazides, or silylhydrazines having the chemical formulas:
(I) R2NSi(R'2)Si(R'2)NR2 (aminodisilanes),
(II) R3SiN3 (silylazides), or
(III) R'3SiNRNR2 (silylhydrazines).
[00119] In the above chemical formulas, R and R' may be one or more functional groups independently selected from the group of a halogen, an organic group having one or more double bonds, an organic group having one or more triple bonds, an aliphatic alkyl group, a cyclical alkyl group, an aromatic group, an organosilyl group, an alkylamino group, or a cyclic group containing N or Si, or combinations thereof. Examples of suitable functional groups on silicon precursors include chloro (-Cl), methyl (-CH3), ethyl (-CH2CH3), isopropyl (-CH(CHs)2), tertbutyl (-C(CH3)3), trimethylsilyl (-Si(CH3)3), pyrrolidine, or combinations thereof.
[00120] Other examples of suitable silicon precursors for forming silicon oxynitride materials include silylazides R3-SiN3 and silylhydrazine class of precursors R3SiNRNR2, linear and cyclic with any combination of R groups. The R groups may be H or any organic functional group such as methyl, ethyl, propyl, butyl, and the like (OχHγ). The R groups attached to Si can optionally be another amino group NH2 or NR2. One benefit of using a silicon-nitrogen precursor is that silicon and nitrogen are simultaneously delivered while avoiding the presence of chlorine to yield films with good step coverage and minimal pattern dependence (so-called pattern loading) without the undesirable ammonium chloride particle formation problematic to other precursors. Examples of specific silylazide compounds include trimethylsilylazide ((CH3)3SiN3) (available from United Chemical Technologies, located in Bristol, Pennsylvania) and tris(dimethylamine)silylazide (((CH3)2N)3SiN3). An example of a specific silylhydrazine compound is 1 ,1-dimethyl-2-dimethylsilylhydrazine ((CH3)2HSiNHN(CH3)2). In another embodiment, the silicon-nitrogen precursor may be at least one of (R3Si)3N, (R3Si)2NN(SiR3)2 and (R3Si)NN(SiR3), wherein each R is independently hydrogen or an alkyl, such as methyl, ethyl, propyl, butyl, phenyl, or combinations thereof. Examples of suitable silicon-nitrogen precursor include trisilylamine ((H3Si)3N), (H3Si)2NN(SiH3)2, (H3Si)NN(SiH3), or derivatives thereof.
[00121] In another embodiment, an alkoxysilane compound is used as the silicon precursors for forming silicon oxynitride materials during step 340. The alkoxysilane may have the chemical formula (RO)nSiR'(4-n), wherein n = 1 , 2, 3, or 4, each R, independently, may be methyl, ethyl, propyl, butyl, or other alkyl group, and each R', independently, may be hydrogen, a halogen group, methyl, ethyl, propyl, butyl, or other alkyl group. Examples of alkoxysilane compounds that may be used as silicon precursors include tetraethoxysilane ((EtO)4Si or TEOS), tetramethoxysilane ((MeO)4Si), tetrapropoxysilane ((PrO)4Si), tetraisopropoxysilane ((1PrO)4Si), tetrabutoxysilane ((BuO)4Si), triethoxysilane ((EtO)3SiH), diethoxysilane ((EtO)2SiH2), diethoxydimethylsilane ((EtO)2SiMe2), diethoxydiethylsiiane ((EtO)2SiEt2), dimethoxydiethoxsilane ((MeO)2Si(OEt)2), derivatives thereof, or combinations thereof. In another embodiment, an alkoxysilane compound (e.g., TEOS) may be used as a source for both silicon and oxygen, instead of separate silicon and oxygen precursors, to form a silicon oxynitride material during step 340.
[00122] In one embodiment to form the silicon oxynitride material, in step 340, the silicon precursor, the oxygen precursor, and the nitrogen precursor may be combined in the process chamber or exposed to substrate 1122 by inlet port 1134 simultaneously, such as during a traditional CVD process or sequentially, such as during an ALD process. The ALD process may expose the substrate to the deposition gases, such that, the substrate is sequentially exposed to the silicon precursor, the oxygen precursor, and the nitrogen precursor to form the silicon oxynitride material. Although one gas line 1140 is shown disposed between gas panel 1136 and inlet port 1134, it is contemplated that the silicon precursor, the oxygen precursor, and the nitrogen precursor are provided to process chamber 1100 in separate gas lines. The temperature may be controlled for each gas line.
[00123] In one example, a silicon oxynitride material may be deposited on substrate 1122 within process chamber 1100 during a deposition process at step 340. In one embodiment, substrate 1122 may be exposed to a process gas containing a silicon precursor and an oxygen precursor during a CVD process to form a silicon oxide material that is subsequently exposed to a nitridization process to form a silicon oxynitride material. The silicon and oxygen precursors are generally provided from gas panel 1136 to interior volume 1104 of chamber body 1102 through flow control ring 1142. In one example during step 340, a silicon oxide material may be deposited on a substrate and exposed to a nitridation process that physically incorporates nitrogen atoms into the silicon oxide material to form a silicon oxynitride material. The nitridation process may include decoupled plasma nitridation (DPN), remote plasma nitridation, hot-wired induced atomic-N, and nitrogen incorporation during dielectric deposition (e.g., during CVD process). In a preferred example, radical nitrogen ions are formed by the UV photoexcitation as described herein. In another example, the nitridation process may be performed within a DPN chamber, such as the CENTURA® DPN chamber, available from Applied Materials, Inc., located in Santa Clara, California.
[00124] A description of CVD and ALD processes and apparatuses that may be modified (e.g., incorporating a UV radiation source) and chemical precursors that may be useful for depositing silicon oxynitride materials are further disclosed in commonly assigned U.S. Pat. Nos. 6,869,838 and 6,825,134, and commonly assigned U.S. Ser. No. 09/964,075, filed September 25, 2001 , and published as US 2003-0059535, U.S. Ser. No. 10/624,763, filed July 21 , 2003, and published as US 2004-0018738, U.S. Ser. No. 10/794,707, filed March 4, 2004, and published as US 2004-0175961 , and U.S. Ser. No. 10/688,797, filed October 17, 2003, and published as US 2004-0224089, which are all herein incorporated by reference in their entirety.
[00125] A silicon oxynitride material may be formed by exposing the substrate to the silicon precursor, the oxygen precursor, and the nitrogen precursor are combined within a process chamber. In one embodiment, the silicon oxynitride material may be deposited at a rate within a range from about 10 A/min to about 500 A/min and is deposited to a thickness within a range from about 10 A to about 1 ,000 A. Silicon oxynitride materials may have a chemical formula such as SiOxNy, wherein X is within a range from about 0.01 to about 1.99 and Y is within a range from about 0.01 to about 1.32. In one example, the oxygen/nitrogen atomic ratio may be about 1 and the silicon oxynitride may have a chemical formula of about SiOo.βNo.s- In an example of an oxygen-rich silicon oxynitride, the oxygen/nitrogen atomic ratio is greater than 1 and the silicon oxynitride may have a chemical formula of about SiO16No1S. In an example of a nitrogen-rich silicon oxynitride, the oxygen/nitrogen atomic ratio is less than 1 and the silicon oxynitride may have a chemical formula of about SiOo.δNi.o. In another embodiment, an alkoxysilane compound (e.g., TEOS) may be used as a source of both silicon and oxygen to form a silicon oxynitride material during step 340.
[00126] A carrier gas may be provided during step 340 to control the partial pressure of the nitrogen precursor, the oxygen precursor, and the silicon precursor. The total internal pressure of a single wafer process chamber may be at a pressure within a range from about 100 mTorr to about 740 Torr, preferably, from about 250 mTorr to about 100 Torr, and more preferably, from about 500 mTorr to about 50 Torr. In one example, the internal pressure of the process chamber is maintained at a pressure of about 10 Torr or less, preferably, about 5 Torr or less, and more preferably, about 1 Torr or less. In some embodiments, the carrier gas may be provided to control the partial pressure of the nitrogen precursor or the silicon precursor within a range from about 100 mTorr to about 1 Torr for batch processing systems. Examples of suitable carrier gases include nitrogen, hydrogen, argon, helium, forming gas, or combinations thereof.
[00127] The substrate, the silicon precursor, the oxygen precursor, and/or the nitrogen precursor may be exposed to an energy beam or a flux of energy generated by the photoexcitation system during the deposition process at step 340. The use of the energy beam advantageously increases the deposition rate and improves surface diffusion or mobility of atoms within the silicon oxynitride material to create active sites for incoming reactive species. in one embodiment, the energy beam has a photon energy within a range from about 3.0 eV to about 9.84 eV. Also, the energy beam may have a wavelength within a range from about 126 nm to about 450 nm.
[00128] In one example, lamp 1170 of direct photoexcitation system 1192 and/or lamp 1184 of remote photoexcitation system 1182 provides an energy beam to supply the excitation energy of at least one of the silicon precursor, the oxygen precursor, or the nitrogen precursor. The high deposition rate and the low deposition temperature produce a film having tunable properties with minimal parasitic side reactions. In one embodiment, the energy beam or flux may have a photon energy within a range from about 4.5 eV to about 9.84 eV. The substrate surface and the process gases may also be excited by lamps 1170 and 1184.
[00129] In another embodiment, the substrate containing the silicon oxynitride material (formed in step 340) is exposed to a post-deposition treatment process during step 350. The post-deposition treatment process increases the substrate surface energy after deposition, which advantageously removes volatiles and/or other film contaminants (such as by reducing the hydrogen content) and/or anneals the deposited film. A lower concentration of hydrogen from the deposited material advantageously increases tensile stress of the film. At least one lamp (e.g., lamps 1170 or 1184) may alternatively be utilized to energize an energy delivery gas which is exposed to the substrate to increase the surface energy of the substrate after deposition and to remove volatiles and/or other films.
[00130] In another embodiment of the photoexcitation step 340, lamp 1170 of direct photoexcitation system 1192 and/or lamp 1184 of remote photoexcitation system 1182 provides an energy beam to supply the excitation energy of at least one of the silicon precursor and/or the nitrogen precursors, which advantageously increases the deposition rate without increasing the overall deposition temperature. The high rate, low temperature deposition reaction produces a film having tunable properties with minimal parasitic side reactions. In this embodiment, the energy beam or flux has a photon energy within a range from about 4.5 eV to about 9.84 eV. The surface of the substrate may also be excited by the lamp in addition to the process gases being energized.
[00131] Gas phase excitation and surface reactions will be controlled by UV excimer selection. For example, optical excitation of Si2H6 may be achieved by using UV photons of hv > 4.5 eV and hv > 8 eV (Λ < 155 nm), respectively. Accordingly, intermediates of silanes - and NH3* (405 nm), NH2* (470.7 nm), NH* (336 nm) (with * indicating the compound in an excited state) enhances cross-linking bonds within the SiOxNy network, desirable for increasing film tensile stress.
[00132] In another embodiment, the substrate containing the silicon oxynitride material (formed in step 340) is exposed to a post-deposition treatment process during step 350. The post-deposition treatment process increase the substrate surface energy after deposition, which advantageously removes volatiles and/or other film contaminants (such as by reducing the hydrogen content) and/or to anneal the deposited film. The removal of hydrogen from the silicon oxynitride material from within the film advantageously increases film tensile stress. At least one lamp (e.g., lamps 1170 or 1184) may alternatively be utilized to energize an energy delivery gas which is bought in contact with the substrate to increase the surface energy of the substrate after deposition and remove volatiles and/or other films.
[00133] Optionally, at step 350, an energy delivery gas may be provided to interior volume 1104 of process chamber 1100. Examples of suitable energy delivery gases include nitrogen, hydrogen, helium, argon, ozone, oxygen, hydrogen, water, hydroxyls, radicals thereof, plasma thereof, or combinations thereof. Examples provide that substrate 1122 is treated with an energy beam or flux of energy generated by photoexcitation system 1144 during step 350. In one example, lamp 1170 of direct photoexcitation system 1192 provides an energy beam to supply the surface energy of substrate 1122 during step 350. In another example for annealing the silicon oxynitride material, the energy beam or flux may have a photon energy within a range from about 3.53 eV to about 9.84 eV. Also, lamp 1170 may produce an energy beam having a wavelength within a range from about 126 nm to about 351 nm. Generally, lamp 1170 may be energized for a time period within a range from about 1 minute to about 10 minutes to facilitate post deposition treatment by photoexcitation.
[00134] In one example, volatile compounds or contaminants may be removed from the deposited film surface by exposing the substrate to an energy beam having a photon energy within a range from about 3.2 eV to about 4.5 eV is generated by lamp 1170 and/or lamp 1184 is utilized to dissociate silicon precursors, nitrogen precursors, and oxygen precursors within process chamber 1100. Thus, excimer lamps, such as XeBr* (283 nm/4.41 eV), Br2* (289 nm/4.29 eV), XeCI* (308 nm/4.03 eV), I2* (342 nm/3.63 eV), XeF* (351 nm/3.53 eV) may be selected to remove hydrogen from the SiOxNy network. It is contemplated that the rotational speed of substrate 1122 may be changed, for example, by increasing the rotation speed in step 350 relative to the preceding deposition step.
[00135] In another embodiment, the substrate may be removed from the process chamber and the process chamber is subsequently exposed to a chamber clean process during step 360. The process chamber may be cleaned using a photoexcited cleaning agent. Alternatively, the cleaning agent may be provided from the remote plasma source 1190. In one embodiment, the cleaning agent includes fluorine.
[00136] Examples provide that the cleaning agent may be photoexcited within process chamber 1100 using lamp 1170, or remotely from process chamber 1100 using lamp 1184. In one example, lamp 1170 may be used to maintain the excitation level of cleaning agents formed by RPS 1190. [00137] Process chamber 1100 may be cleaned during a chamber clean process to enhance deposition performance. For example, the chamber clean process may be used to remove contaminants contained on windows 1174 and 1186, thereby minimizing transmission losses of the energy beam or flux traveling through window 1174 or 1186 and maximizing the energy transferred to the gases and surfaces. It is also contemplated that windows 1174 and 1186 may be cleaned using a photoexcited agent formed from RPS 1190 during the chamber clean process. Windows 1174 and 1186 may be cleaned with greater frequency than process chamber 1100, for example, process chamber 1100 may be cleaned using RPS 1190 after processing a number of substrates while windows 1174 and 1186 are cleaned after processing each substrate.
[00138] The elemental composition of the silicon oxynitride material deposited during step 340 may be predetermined by controlling the concentration or flow rate of the chemical precursors, namely the silicon precursor, the oxygen precursor, and the nitrogen precursor. Film properties may be tailored for specific applications by controlling the relative concentrations of Si, O, N, C, and H within the silicon oxynitride material. In one embodiment, the elemental concentrations of silicon, nitrogen, and hydrogen may be tuned by varying the range of the UV energy during or subsequent the deposition process. The film properties include wet etch rate, dry etch rate, stress, dielectric constant, and the like. For example, by reducing the hydrogen content, the deposited material may have a higher tensile stress. In another example, by reducing the carbon content, the deposited material may have a lower electrical resistance.
[00139] Additionally, when using N-Si-R or N-Si-Si-R type of precursors, the dissociation of the silicon-nitrogen precursor takes place at lower temperatures, thereby enabling lower temperature processing. The nitrogen precursors used herein may contain a carbon and hydrogen function group, which react with R or Si-R from N-Si-R or N-Si-Si-R in the silicon precursor, allow the R group to become dissociated and more easily removed than without reacting with nitrogen precursor. The nitrogen precursor provides nitrogen and carbon sources to the silicon oxynitride material. Thus, embodiments of the methods may advantageously facilitate low temperature processing, e.g., at temperatures of less than about 55O0C.
[00140] Silicon oxynitride materials deposited utilizing process 300 may be used throughout electronic features/devices due to several physical properties. The silicon oxynitride materials may be deposited as layers on a substrate to form electronic features, such as a MOSFET transistor (Figures 5A-5B), a bipolar transistor (Figure 6), or other silicon-containing layers. In another embodiment, silicon oxynitride materials deposited by process 300 may be used throughout photovoltaic cell applications, such as to form a solar cell.
Silicon Materials - Si, SiGe, SiC. and SiGeC
[00141] Figure 4 depicts a flow diagram of process 400 for depositing a silicon material (e.g., epitaxy, crystalline, microcrystalline, polysilicon, or amorphous), as described by embodiments herein. The substrate may be positioned within a process chamber (step 410), optionally exposed to a pretreatment process (step 420), and heated to a predetermined temperature (step 430). Subsequently, a silicon material may be deposited on the substrate (step 440). The substrate may be optionally exposed to post-deposition treatment process (step 450) and the process chamber may be optionally exposed to a chamber clean process (step 460).
[00142] The substrate may be positioned within a process chamber during step 410. The process chamber may be a single wafer chamber or a batch chamber containing multiple wafers or substrates (e.g., 25, 50, 100, or more). The substrate may be maintained in a fixed position, but preferably, is rotated by a support pedestal. Optionally, the substrate may be indexed during one or more steps of process 400.
[00143] Process chamber 1100, depicted in Figure 7, may be used during process 400 to deposit silicon materials on substrate 1122 as described by examples herein. In one example, substrate 1122 may be rotated on substrate support pedestal 1124 within process chamber 1100 at a rate of up to about 120 rpm. Alternatively, substrate 1122 may be positioned on substrate support pedestal 1124 and not rotated during the deposition process.
[00144] In one embodiment, the substrate is optionally exposed to at least one pretreatment process during step 420. The substrate surface may contain native oxides that are removed during a pretreatment process. The substrate may be pretreated with an energy beam generated by direct photoexcitation system to remove the native oxides from the substrate surface prior to depositing a silicon material during step 440. A process gas may be exposed to the substrate during the pretreatment process. The process gas may contain argon, nitrogen, helium, hydrogen, forming gas, or combinations thereof. The pretreatment process may last for a time period within a range from about 2 minutes to about 10 minutes to facilitate native oxide removal during a photoexcitation process. Also, the substrate may be heated during step 420 to a temperature within a range from about 1000C to about 8000C, preferably, from about 200°C to about 600°C, and more preferably, from about 300°C to about 5000C, to facilitate native oxide removal during process 400.
[00145] Examples provide that substrate 1122 may be exposed to an energy beam produced by lamp 1170 during step 420. Lamp 1170 may provide an energy beam having a photon energy within a range from about 2 eV to about 10 eV, for example, from about 3.2 eV to about 4.5 eV for about 3 minutes. In another example, lamp 1170 provides an energy beam of UV radiation having a wavelength within a range from about 126 nm to about 351 nm. Lamp 1170 may be energized for a period sufficient to remove oxides. The energization period is selected based upon the size and geometry of window 1174 (which corresponds to the exposed area of substrate 1122) and the substrate rotation speed. In one embodiment, lamp 1170 is energized for a time period within a range from about 2 minutes to about 10 minutes to facilitate native oxide removal during a photoexcitation process. In one example, substrate 1122 may be heated to a temperature within a range from about 1000C to about 8000C during step 420. In another example, substrate 1122 may be heated to a temperature within a range from about 3000C to about 5000C during step 420, while lamp 1170 provides an energy beam having a photon energy within a range from about 2 eV to about 10 eV for a time period within a range from about 2 minutes to about 5 minutes to facilitate native oxide removal. In one example, the energy beam has a photon energy within a range from about 3.2 eV to about 4.5 eV for about 3 minutes.
[00146] In another embodiment, native oxide removal may be augmented by a photoexcitation process in the presence of a process gas containing an energy delivery gas during a pretreatment process at step 420. The energy delivery gas may be neon, argon, krypton, xenon, argon bromide, argon chloride, krypton bromide, krypton chloride, krypton fluoride, xenon fluorides (e.g., XeF2), xenon chlorides, xenon bromides, fluorine, chlorine, bromine, excimers thereof, radicals thereof, derivatives thereof, or combinations thereof. In some embodiments, the process gas may also contain nitrogen gas (N2), hydrogen gas (H2), forming gas (e.g., N2/H2 or Ar/hb) besides at least one energy delivery gas.
[00147] In one example, substrate 1122 may be exposed to a process gas containing an energy delivery gas by providing the process gas to interior volume 1104 of process chamber 1100 during step 420. The energy delivery gas may be provided through flow control ring 1142 from gas panel 1136. The proximately of the process gas to lamp 1170 compared to substrate 1122 readily excites the energy delivery gas therein. As the energy delivery gas de- excites and moves closer to substrate 1122, the energy is efficiently transferred to the surface of substrate 1122, thereby facilitating the removal of native oxides.
[00148] In another embodiment, native oxide removal may be augmented by a photoexcitation process in the presence of a process gas containing an organic vapor during the pretreatment process at step 420. In one example, the substrate may be exposed to the process gas containing a cyclic aromatic hydrocarbon. The cyclic aromatic hydrocarbon may be in the presence of UV radiation. Monocyclic aromatic hydrocarbons and polycyclic aromatic hydrocarbons that are useful during a pretreatment process include quinone, hydroxyquinone (hydroquinone), anthracene, naphthalene, phenanthracene, derivatives thereof, or combinations thereof. In another example, the substrate may be exposed to the process gas containing other hydrocarbons, such as unsaturated hydrocarbons, including ethylene, acetylene (ethyne), propylene, alkyl derivatives, halogenated derivates, or combinations thereof. In another example, the organic vapor may contain alkane compounds during the pretreatment process at step 420.
[00149] In one example, the UV radiation having a wavelength within a range from about 126 nm to about 351 nm may be generated by a lamp during step 420. In another embodiment, polycyclic aromatic hydrocarbons may remove native oxides in the presence of UV radiation by reacting with oxygen atoms within the native oxides. In another embodiment, native oxides may be removed by exposing the substrate to quinone or hydroxyquinone while forming derivative products. The derivative product may be removed from the process chamber by a vacuum pumping process.
[00150] At step 430, the substrate may be heated to a predetermined temperature during or subsequent to the pretreatment process. The substrate is heated prior to depositing the silicon material at step 440. The substrate may be heated by an embedded heating element within the substrate support, the energy beam (e.g., UV-source), or combinations thereof. Generally, the substrate is heated long enough to obtain the predetermined temperature, such as for a time period within a range from about 15 seconds to about 30 minutes, preferably, from about 30 seconds to about 20 minutes, and more preferably, from about 1 minute to about 10 minutes. [00151] In one embodiment, the substrate may be heated to a temperature within a range from about 2000C to about 1 ,0000C, preferably, from about 4000C to about 85O0C, and more preferably, from about 55O0C to about 800°C. In another embodiment, the substrate may be heated to a temperature of less than about 5500C, preferably, less than about 45O0C. In one example, substrate 1122 may be heated to the predetermined temperature within process chamber 1100. The predetermined temperature may be within a range from about 3000C to about 5000C. Substrate 1122 may be heated by applying power from power source 1116 to the resistive heating element (i.e., the heater 1120).
[00152] In one embodiment, a silicon material is deposited on the substrate during a deposition process at step 440. The silicon material may be formed by exposing the substrate to at least one deposition gas during the deposition process. The silicon material may be deposited on the substrate surface, selectively or non-selectively (e.g., blanket), as an epitaxy layer, a crystalline layer, a microcrystalline layer, a polysilicon layer, an amorphous layer, dopant variants thereof, or combinations thereof.
[00153] In one example, the substrate is exposed to a deposition process gas containing a silicon precursor during a CVD process while using a UV energy source to non-selectively deposit an amorphous silicon material on the substrate surface. In another example, the substrate is exposed to a deposition process gas containing a silicon precursor and an etchant during a CVD process while using a UV energy source to selectively deposit an epitaxial silicon material on the substrate surface. In another example, the substrate is exposed to a deposition process gas containing a silicon precursor and a reducing agent during a CVD process while using a UV energy source to non- selectively deposit an amorphous silicon material on the substrate surface. In other examples, the substrate is exposed to a deposition process gas containing a silicon precursor and a UV energy source during a CVD process to deposit a crystalline silicon material, a microcrystalline silicon material, or a polycrystalline silicon material on the substrate surface. [00154] Alternatively, the deposition process may be an ALD process having at least two deposition gases, such that, the substrate is sequentially exposed to a silicon precursor another reagent, such as a purge gas, an etchant, a reducing agent, a dopant reagent, or combinations thereof. The deposition process may be a thermal process, a radical process, or a combination thereof. For example, the substrate may be exposed to a process gas in the presence of an energy beam generated by a direct photoexcitation system.
[00155] In one example, a process utilizes UV-assisted to epitaxially grow or deposit a layer of silicon material on a substrate surface. The deposition gas used during the process contains a silicon precursor and may also contain at least one secondary elemental source, such as a germanium source and/or a carbon source. The germanium source may be added to the process chamber with the silicon precursor, etchant, reagent, or carrier gas to form the silicon material. Therefore, the silicon material may contain silicon, SiGe, SiC, SiGeC, doped variants thereof, or combinations thereof. Germanium and/or carbon may be added to the silicon material by including germanium source (e.g., germane) or a carbon source (e.g., methylsilane) during the deposition process. The silicon material may also contain dopants by including a boron source (e.g., diborane), an arsenic source (e.g., arsine), or a phosphorous source (e.g., phosphine) during or after the deposition process. The dopant may be included within the silicon precursor, etchant, reductant, or carrier gas to form a silicon material. Alternatively, the dopant may be added to the silicon material by exposing the substrate to an ion implantation process during or subsequent to the deposition process.
[00156] Examples of suitable reducing agents for forming silicon materials at step 440 include atomic hydrogen (H), hydrogen (H2), ammonia (NHa), hydrazine (N2H4), silane (SiH4), disilane (Si2H6), alkylsilanes, diborane (B2He), alkylboranes, radicals thereof, plasmas thereof, derivatives thereof, or combinations thereof. Examples of suitable etchants for forming silicon materials at step 440 include chlorine gas (Cl2), hydrogen chloride (HCI), tetrachlorosilane (SiCU), fluorine (F2), hydrogen fluoride (HF), chlorine trifluoride (CIF3), nitrogen trifluoride (NF3), radicals thereof, plasmas thereof, derivatives thereof, or combinations thereof.
[00157] Examples of suitable silicon precursors for forming silicon materials at step 440 include silanes, halogenated silanes, alkylsilanes, aminosilanes, aminodisilanes, silylazides, silylhydrazines, or derivatives thereof. Silanes include silane (SiH4) and higher silanes with the empirical formula SixH(2X+2), such as disilane (Si2He), trisilane (Si3Hs), and tetrasilane (Si4HiO), as well as others. Halogenated silanes include compounds with the empirical formula X'ySixH(2χ+2-y), where X' = F, Cl, Br or I, such as hexachlorodisilane (Si2CI6), tetrachlorosilane (SiCI4), dichlorosilane (CI2SiH2) and trichlorosilane (CI3SiH). Alkylsilanes include compounds with the empirical formula RySiχH(2x+2-y), where R = methyl, ethyl, propyl or butyl, such as methylsilane ((CHs)SiH3), dimethylsilane ((CH3)2SiH2), ethylsilane ((CH3CH2)SiH3), methyldisilane ((CH3)Si2H5), dimethyldisilane ((CH3)2Si2H4) and hexamethyldisilane ((CH3)6Si2). Alkylsilanes have been found to be advantageous silicon sources as well as carbon sources in embodiments which incorporate carbon in the deposited silicon materials. Other specific examples of silicon precursors include bis(tertbutylamino)silane (BTBAS or (4Bu(H)N)2SiH2), 1,2-diethyl- tetrakis(diethylamino) disilane ((CH2CH3((CH3CH2)2N)2Si)2), 1 ,2-dichloro- tetrakis(diethylamino) disilane ((CI((CH3CH2)2N)2Si)2), hexakis(N-pyrrolidinio) disilane (((C4HgN)3)Si)2), 1 ,1 ,2,2-tetrachloro-bis(di(trimethylsilyl)amino) disilane, ((CI2((CH3)3Si)2N)Si)2), 1 ,1 ,2,2-tetrachloro-bis(diisopropylamino) disilane, ((CI2((C3H7)2N)Si)2), 1 ,2-dimethyltetrakis(diethylamino) disilane
((CH3(CH3CH2N)2Si)2), tris(dimethylamino)silane azide (((CH3)2N)3SiN3), tris(methylamino)silane azide (((CH3)(H)N)3SiN3), 2,2-dimethylhydrazine- dimethylsilane ((CH3)2(H)Si)(H)NN(CH3)2), trisilylamine ((SiHs)3N or TSA), and hexakis(ethylamino)disilane (((EtHN)3Si^), radicals thereof, plasmas thereof, derivatives thereof, or combinations thereof. [00158] In some embodiments, the silicon precursors for forming silicon materials at step 440 include aminodisilanes, silylazides, or silylhydrazines having the chemical formulas:
(I) R2NSi(R'2)Si(R'2)NR2 (aminodisilanes),
(II) R3SiN3 (silylazides), or
(III) R'3SiNRNR2 (silylhydrazines).
[00159] In the above chemical formulas, R and R' may be one or more functional groups independently selected from the group of a halogen, an organic group having one or more double bonds, an organic group having one or more triple bonds, an aliphatic alkyl group, a cyclical alkyl group, an aromatic group, an organosilyl group, an alkylamino group, or a cyclic group containing N or Si, or combinations thereof. Examples of suitable functional groups on silicon precursors include chloro (-Cl), methyl (-CH3), ethyl (-CH2CH3), isopropyl (-CH(CHs)2), tertbutyl (-C(CH3)3), trimethylsilyl (-Si(CH3)3), pyrrolidine, or combinations thereof. It is believed that many of the silicon precursors described herein may decompose or disassociate at a low temperature, such as about 55O0C or less.
[00160] Other examples of suitable silicon precursors include silylazides R3- SiN3 and silylhydrazine class of precursors R3SiNRNR2, linear and cyclic with any combination of R groups. The R groups may be H or any organic functional group such as methyl, ethyl, propyl, butyl, and the like (CxHγ). The R groups attached to Si can optionally be another amino group NH2 or NR2. Examples of specific silylazide compounds include trimethylsilylazide ((CH3)3SiN3) (available from United Chemical Technologies, located in Bristol, Pennsylvania) and tris(dimethylamine)silylazide (((CH3)2N)3SiN3). An example of a specific silylhydrazine compound is 1 ,1-dimethyl-2-dimethylsilylhydrazine ((CH3)2HSiNHN(CH3)2). In another embodiment, the silicon precursor may be at least one of (R3Si)3N, (R3Si)2NN(SiR3)2 and (R3Si)NN(SiR3), wherein each R is independently hydrogen or an alkyl, such as methyl, ethyl, propyl, butyl, phenyl, or combinations thereof. Examples of suitable silicon precursors include trisilylamine ((H3Si)3N), (H3Si)2NN(SiH3)2, (H3Si)NN(SiH3), or derivatives thereof.
[00161] In one example, a silicon material may be deposited on substrate 1122 within process chamber 1100 during a deposition process at step 440. In one embodiment, substrate 1122 may be exposed to a process gas containing a silicon precursor and an oxygen precursor during a CVD process. The silicon and oxygen precursors are generally provided from gas panel 1136 to interior volume 1104 of chamber body 1102 through flow control ring 1142.
[00162] In one embodiment, in step 440, the silicon precursor and at least another reagent may be introduced into process chamber or exposed to substrate 1122 by inlet port 1134 simultaneously, such as during a traditional CVD process or sequentially, such as during an ALD process. The ALD process may expose the substrate to at least two deposition gases, such that, the substrate is sequentially exposed to the silicon precursor and the other reagent (e.g., a purge gas, an etchant, a reducing agent, a dopant reagent, or combinations thereof). Although one gas line 1140 is shown disposed between gas panel 1136 and inlet port 1134, it is contemplated that the silicon precursor and the other reagents are provided to process chamber 1100 in separate gas lines. The temperature may be controlled for each gas line.
[00163] A description of CVD and ALD processes and apparatuses that may be modified (e.g., incorporating a UV radiation source) and chemical precursors that may be useful for depositing silicon materials (e.g., epitaxy, amorphous, or polycrystalline) may be disclosed in commonly assigned U.S. Pat. Nos. 6,803,297, 6,839,507, 6,897,131 , and commonly assigned U.S. Ser. No. 10/683,937, filed October 10, 2003, and published as US 2005-0079691 , U.S. Ser. No. 10/845,984, filed May 14, 2004, and published as US 2005-0076692, U.S. Ser. No. 10/784,904, filed February 23, 2004, and published as US 2005- 0186765, U.S. Ser. No. 11/001 ,774, filed December 1 , 2004, U.S. Ser. No. 11/047,323, filed January 31 , 2005, U.S. Ser. No. 11/242,613, filed October 3, 2005, U.S. Ser. No. 10/117,692, filed April 5, 2002, and published as US 2003- 0189208 and U.S. Ser. No. 10/688,797, filed October 17, 2003, and published as US 2004-0224089, which are all herein incorporated by reference in their entirety.
[00164] The processes for depositing silicon materials may be conducted on fabrication equipment used for ALE, CVD, or ALD processes. A system that may be used to etch or deposit the silicon materials as described herein include the EPI CENTURA® system or the POLY GEN® system, both available from Applied Materials, Inc., located in Santa Clara, California. A process chamber useful to etch and deposit as described herein is further disclosed in commonly assigned U.S. Pat. No. 6,562,720, which is incorporated herein by reference in its entirety for the purpose of describing the apparatus. Other enabling apparatuses include batch furnaces and high-temperature furnaces.
[00165] As the silicon precursor and the reagent gases, if any, are combined in process chamber, a silicon-containing material, such as a silicon material, is formed on the substrate surface. In one embodiment, the silicon material may be deposited at a rate within a range from about 10 A/min to about 500 A/min and is deposited to a thickness within a range from about 10 A to about 1 ,000 A.
[00166] Throughout the application, the term "silicon material," should be construed to include a composition within a layer or a film containing at least silicon and may contain germanium, carbon, boron, arsenic, phosphorous gallium, and/or aluminum. Other elements, such as metals, oxygen, nitrogen, halogens, or hydrogen may be incorporated within a silicon material usually with concentrations of about part per million (ppm). Compounds, alloys, or dopant derivatives of silicon materials may be represented by an abbreviation, such as Si for silicon, SiGe for silicon germanium, SiC for silicon carbon, and SiGeC for silicon germanium carbon. The abbreviations do not represent chemical equations with stoichiometrical relationships, nor represent any particular reduction/oxidation state of the silicon materials. Silicon materials or layers may be deposited or formed, selectively or non-selectively, as an epitaxy material or layer, a crystalline material or layer, a microcrystalline material or layer, a polysilicon material or layer, or an amorphous material or layer.
[00167] In one embodiment, the deposition gas used during step 440 may contain a silicon precursor and at least one secondary elemental source, such as a germanium source and/or a carbon source. The germanium source may be added to the process chamber with the silicon source and carrier gas to form a silicon material, such as a silicon germanium material. The germanium source is usually provided into the process chamber at a rate in the range from about 0.1 seem to about 20 seem, preferably from about 0.5 seem to about 10 seem, and more preferably from about 1 seem to about 5 seem, for example, about 2 seem. Germanium sources useful to deposit silicon materials include germane (GeH4), higher germanes and organogermanes. Higher germanes include compounds with the empirical formula GexH(2χ+2), such as digermane (Ge2He), trigermane (Ge3He) and tetragermane (Ge4Hi0), as well as others. Organogermanes include compounds such as methylgermane ((CHa)GeH3), dimethylgermane ((CH3)2GeH2), ethylgermane ((CH3CH2)GeH3), methyldigermane ((CH3)Ge2H5), dimethyldigermane ((CH3)2Ge2H4) and hexamethyldigermane ((CH3)6Ge2). Germanes and organogermane compounds have been found to be advantageous germanium sources and carbon sources in embodiments while incorporating germanium and carbon into the deposited silicon materials, namely SiGe and SiGeC compounds. The germanium concentration in the epitaxial layer is in the range from about 1 at% to about 30 at%, for example, about 20 at%. The germanium concentration may be graded within an epitaxial layer, preferably graded with a higher germanium concentration in the lower portion of the epitaxial layer than in the upper portion of the epitaxial layer.
[00168] Alternatively, a carbon source may be added during step 440 to the process chamber with the silicon source and carrier gas to form a silicon material, such as a silicon carbon material. A carbon source is usually provided into the process chamber at a rate in the range from about 0.1 seem to about 20 seem, preferably from about 0.5 seem to about 10 seem, and more preferably from about 1 seem to about 5 seem, for example, about 2 seem. Carbon sources useful to deposit silicon materials include organosilanes, alkyls, alkenes and alkynes of ethyl, propyl and butyl. Such carbon sources include methylsilane (CH3SiH3), dimethylsilane ((CH3)2SiH2), ethylsilane (CH3CH2SiH3), methane (CH4), ethylene (C2H4), ethyne (C2H2), propane (C3Hs), propene (C3H6), butyne (C4H6), as well as others. The carbon concentration of an epitaxial layer is in the range from about 200 ppm to about 5 at%, preferably from about 1 at% to about 3 at%, for example 1.5 at%. In one embodiment, the carbon concentration may be graded within an epitaxial layer, preferably graded with a higher carbon concentration in the lower portion of the epitaxial layer than in the upper portion of the epitaxial layer. Alternatively, a germanium source and a carbon source may both be added during step 440 into the process chamber with the silicon source and carrier gas to form a silicon material, such as a silicon germanium carbon material.
[00169] The deposition gas used during step 440 may further include at least one dopant compound to provide a source of elemental dopant, such as boron, arsenic, phosphorous, gallium or aluminum. Dopants provide the deposited silicon materials with various conductive characteristics, such as directional electron flow in a controlled and desired pathway required by the electronic device. Films of the silicon materials are doped with particular dopants to achieve the desired conductive characteristic. In one example, the silicon material is doped p-type, such as by using diborane to add boron at a concentration in the range from about 1015 atoms/cm3 to about 1021 atoms/cm3. In one example, the p-type dopant has a concentration of at least 5x1019 atoms/cm3. In another example, the p-type dopant is in the range from about 1χ1020 atoms/cm3 to about 2.5χ1021 atoms/cm3. In another example, the silicon material is doped n-type, such as with phosphorous and/or arsenic to a concentration in the range from about 1015 atoms/cm3 to about 1021 atoms/cm3.
[00170] A dopant source is usually provided into the process chamber during step 440 at a rate in the range from about 0.1 seem to about 20 seem, preferably from about 0.5 seem to about 10 seem, and more preferably from about 1 seem to about 5 seem, for example, about 2 seem. Boron-containing dopants useful as a dopant source include boranes and organoboranes. Boranes include borane, diborane (B2H6), triborane, tetraborane and pentaborane, while alkylboranes include compounds with the empirical formula RχBH(3.X), where R = methyl, ethyl, propyl or butyl and x = 1, 2 or 3. Alkylboranes include trimethylborane ((CH3)3B), dimethylborane ((CHs)2BH), triethylborane ((CH3CH2)3B) and diethylborane ((CH3CH2)2BH). Dopants may also include arsine (AsH3), phosphine (PH3) and alkylphosphines, such as with the empirical formula RχPH(3-X), where R = methyl, ethyl, propyl or butyl and x = 1 , 2 or 3. Alkylphosphines include trimethylphosphine ((CH3)3P), dimethylphosphine ((CH3)2PH), triethylphosphine ((CH3CH2)3P) and diethylphosphine ((CH3CH2)2PH). Aluminum and gallium dopant sources may include alkylated and/or halogenated derivates, such as described with the empirical formula RXMX(3-X), where M = Al or Ga, R = methyl, ethyl, propyl or butyl, X = Cl or F and x = 0, 1 , 2 or 3. Examples of aluminum and gallium dopant sources include trimethylaluminum (Me3AI), triethylaluminum (Et3AI), dimethylaluminumchloride (Me2AICI), aluminum chloride (AICI3), trimethylgallium (Me3Ga), triethylgallium (Et3Ga), dimethylgalliumchloride (Me2GaCI), gallium chloride (GaCI3), or derivatives thereof.
[00171] In one embodiment, a patterned substrate is loaded in to a process chamber and exposed to a deposition gas during a selective epitaxial process. Patterned substrates are substrates that include electronic features formed into or onto the substrate surface. The patterned substrate usually contains monocrystalline surfaces and at least one secondary surface that is non- monocrystalline, such as polycrystalline or amorphous surfaces. Monocrystalline surfaces include the bare crystalline substrate or a deposited single crystal layer usually made from a material such as silicon, silicon germanium or silicon carbon. Crystalline, microcrystalline, polycrystalline, or amorphous surfaces may include dielectric materials, such as oxides or nitrides, specifically silicon oxide or silicon nitride, as well as amorphous silicon surfaces.
[00172] A carrier gas may be provided during step 440 to control the partial pressure of the reagent gas and the silicon precursor. The total internal pressure of a single wafer process chamber may be at a pressure within a range from about 100 mTorr to about 740 Torr, preferably, from about 250 mTorr to about 100 Torr, and more preferably, from about 500 mTorr to about 50 Torr. In one example, the internal pressure of the process chamber is maintained at a pressure of about 10 Torr or less, preferably, about 5 Torr or less, and more preferably, about 1 Torr or less. In some embodiments, the carrier gas may be provided to control the partial pressure of the nitrogen precursor or the silicon precursor within a range from about 100 mTorr to about 1 Torr for batch processing systems. Examples of suitable carrier gases include nitrogen, hydrogen, argon, helium, forming gas, or combinations thereof.
[00173] The substrate, the silicon precursor, and/or reagent gas may be exposed to an energy beam or a flux of energy generated by the photoexcitation system during the deposition process at step 440. The use of the energy beam advantageously increases the deposition rate and improves surface diffusion or mobility of atoms within the silicon-containing material to create active sites for incoming reactive species. In one embodiment, the energy beam has photon energy within a range from about 3.0 eV to about 9.84 eV. Also, the energy beam may have a wavelength within a range from about 126 nm to about 450 nm.
[00174] A carrier gas may be provided at step 440 to control the partial pressure of the reagent gas and/or the silicon precursor from a few mTorr to a few hundred Torr, and to control the total process pressure within a range from about 100 mTorr to about 740 Torr in single wafer chambers. In another embodiment, the internal pressure of the process chamber is maintained within a range from about 10 Torr to about 740 Torr. The carrier gas may be provided to control the partial pressure of the reagent gas or the silicon precursor within a range from about 100 mTorr to about 1 Torr for batch processing systems. Examples of suitable carrier gases include nitrogen, hydrogen, argon, helium, forming gas, or combinations thereof.
[00175] In one example, lamp 1170 of direct photoexcitation system 1192 and/or lamp 1184 of remote photoexcitation system 1182 provides an energy beam to supply the excitation energy of at least one of the silicon precursor or the reagent gas during step 440. The high deposition rate and the low deposition temperature produce a film having tunable properties with minimal parasitic side reactions. In one embodiment, the energy beam or flux may have a photon energy within a range from about 4.5 eV to about 9.84 eV. The substrate surface and the process gases may also be excited by lamps 1170 and 1184.
[00176] In another embodiment, the substrate containing the silicon material (formed in step 440) is exposed to a post-deposition treatment process during step 450. The post-deposition treatment process increases the substrate surface energy after deposition, which advantageously removes volatiles and/or other film contaminants (such as by reducing the hydrogen content) and/or anneals the deposited film. A lower concentration of hydrogen from the deposited material advantageously increases tensile stress of the film. At least one lamp (e.g., lamps 1170 or 1184) may alternatively be utilized to energize an energy delivery gas which is exposed to the substrate to increase the surface energy of the substrate after deposition and to remove volatiles and/or other films.
[00177] Optionally, at step 450, an energy delivery gas may be provided to interior volume 1104 of process chamber 1100. Examples of suitable energy delivery gases include nitrogen, hydrogen, helium, argon, and combinations thereof. Examples provide that substrate 1122 is treated with an energy beam or flux of energy generated by photoexcitation system 1144 during step 450. In one example, lamp 1170 of direct photoexcitation system 1192 provides an energy beam to supply the surface energy of substrate 1122 during step 350. In another example for annealing the silicon material, the energy beam or flux may have a photon energy within a range from about 3.53 eV to about 9.84 eV. Also, lamp 1170 may produce an energy beam having a wavelength within a range from about 126 nm to about 351 nm. Generally, lamp 1170 may be energized for a time period within a range from about 1 minute to about 10 minutes to facilitate post deposition treatment by photoexcitation.
[00178] In one example, volatile compounds or contaminants may be removed from the deposited film surface by exposing the substrate to an energy beam having a photon energy within a range from about 3.2 eV to about 4.5 eV is generated by lamp 1170 and/or lamp 1184 is utilized to dissociate silicon precursors and the reagents within process chamber 1100. Thus, excimer lamps, such as XeBr* (283 nm/4.41 eV), Br2* (289 nm/4.29 eV), XeCI* (308 nm/4.03 eV), I2* (342 nm/3.63 eV), XeF* (351 nm/3.53 eV) may be selected to remove hydrogen from the SiOxNy network. It is contemplated that the rotational speed of substrate 1122 may be changed, for example, by increasing the rotation speed in step 450 relative to the preceding deposition step.
[00179] In another embodiment, the substrate may be removed from the process chamber and the process chamber is subsequently exposed to a chamber clean process during step 460. The process chamber may be cleaned using a photoexcited cleaning agent. Alternatively, the cleaning agent may be provided from the remote plasma source 1190. In one embodiment, the cleaning agent includes fluorine. Examples provide that the cleaning agent may be photoexcited within process chamber 1100 using lamp 1170, or remotely from process chamber 1100 using lamp 1184. In one example, lamp 1170 may be used to maintain the excitation level of cleaning agents formed by RPS 1190.
[00180] Process chamber 1100 may be cleaned during a chamber clean process to enhance deposition performance. For example, the chamber clean process may be used to remove contaminants contained on windows 1174 and 1186, thereby minimizing transmission losses of the energy beam or flux traveling through window 1174 or 1186 and maximizing the energy transferred to the gases and surfaces. It is also contemplated that windows 1174 and 1186 may be cleaned using a photoexcited agent formed from RPS 1190 during the chamber clean process. Windows 1174 and 1186 may be cleaned with greater frequency than process chamber 1100, for example, process chamber 1100 may be cleaned using RPS 1190 after processing a number of substrates while windows 1174 and 1186 are cleaned after processing each substrate.
[00181] The elemental composition of the silicon materials deposited during step 440 may be predetermined by controlling the concentration or flow rate of the chemical precursors, namely the silicon precursor and the reagent gas. Film properties may be tailored for specific applications by controlling the relative concentrations of Si, O, N, H, C, Ge, B, P, and As within the silicon material. In one embodiment, the elemental concentrations of silicon, nitrogen, and hydrogen may be tuned by varying the range of the UV energy during or subsequent the deposition process. The film properties include wet etch rate, dry etch rate, stress, dielectric constant, and the like. For example, by reducing the hydrogen content, the deposited material may have a higher tensile stress. In another example, by reducing the carbon content, the deposited material may have a lower electrical resistance.
[00182] Silicon materials deposited by process 400 may be used throughout electronic features/devices due to several physical properties. The silicon materials may be deposited as layers on a substrate to form electronic features, such as a MOSFET transistor (Figures 5A-5B), a bi-polar transistor (Figure 6), or other silicon-containing layers. In another embodiment, silicon materials deposited by process 400 may be used throughout photovoltaic cell applications, such as to form a solar cell.
[00183] Embodiments, as described herein, provide processes that may be utilized to deposit silicon-containing materials during fabrication processes for Metal Oxide Semiconductor Field Effect Transistor (MOSFET) and bipolar transistors, such as Bipolar device fabrication (e.g., base, emitter, collector, emitter contact), BiCMOS device fabrication (e.g., base, emitter, collector, emitter contact) and CMOS device fabrication (e.g., channel, source/drain, source/drain extension, elevated source/drain, substrate, strained silicon, silicon on insulator and contact plug). Other embodiments provide processes that may be utilized during gate fabrication processes, base contact fabrication processes, collector contact fabrication processes, emitter contact fabrication processes or elevated source/drain fabrication processes.
[00184] In some embodiments, silicon-containing materials may be deposited as various layers in MOSFET and bipolar transistors as depicted in Figures 5A- 5B and 6. The silicon-containing materials include silicon oxide, silicon nitride, silicon oxynitride, silicon (e.g., epitaxy, polysilicon, or amorphous), or other silicon-containing materials (e.g., SiGe, SiC, SiGeC, or doped variants thereof). For example, Figure 5A shows silicon-containing materials deposited within a MOSFET containing both recessed and elevated source/drains. Source/drain layer 512 is formed by ion implantation of substrate layer 510. For pMOS, substrate layer 510 is doped n-type while source/drain layer 512 is doped p- type material. Silicon-containing layers 513 and 514 may be selectively and epitaxially grown on source/drain layer 512 or directly on substrate layer 510 by processes described herein. Silicon-containing layers 513 and 514 may contain silicon, silicon germanium, silicon carbon, silicon germanium carbon, dopant variants thereof, derivatives thereof, or combinations thereof. Gate barrier layer 518 bridges segmented silicon-containing layer 513. Generally, gate barrier layer 518 may contain silicon oxide, silicon oxynitride, hafnium oxide, hafnium oxynitride, hafnium silicate, hafnium silicon oxynitride, derivatives thereof, or combinations thereof. Partially encompassing gate barrier layer 518 is a spacer 516, which is usually an isolation material such as a nitride/oxide/nitride stack (e.g., Si3N4/Siθ2/Si3N4). Alternatively, spacer 516 may be a homogeneous layer of a silicon nitride material, such as silicon nitride or silicon oxynitride deposited by the various methods described herein. Gate electrode layer 522 (e.g., polysilicon) may have a spacer 516 and off-set layers 520 disposed on either side. Off-set layers 520 may be composed of silicon nitride, silicon oxide, or silicon oxynitride deposited by the various processes described herein.
[00185] Figure 5B shows etch stop layer 524 for source/drain and gate contact aperture etch deposited over a MOSFET. Etch stop layer 524 may be composed of a silicon nitride material, such as silicon nitride, deposited by the various methods described herein. Pre-metal dielectric layer 526 (e.g., silicon oxide) is deposited on etch stop layer 524 and contains contact apertures 528 formed thereon.
[00186] In another embodiment, Figure 6 depicts substrate 600 having silicon- containing materials as several layers within a bipolar transistor using various processes as described in embodiments herein. Silicon-containing compound layer 634 is deposited on n-type collector layer 632 previously deposited on substrate layer 630. The transistor further includes isolation layer 633 (e.g., SiO2, SiOxNy or Si3N4), contact layer 636 (e.g., heavily doped poly-Si), off-set layer 638 (e.g., Si3N4), and isolation layer 640 (e.g., SiO2, SiOxNy or Si3N4). Isolation layers 633, isolation layer 640, and off-set layer 638 may be independently deposited as a silicon nitride material, such as silicon oxynitride, silicon carbon nitride, and/or silicon nitride deposited by the various processes described herein. In one embodiment, the isolation layers 633 and 640 are silicon oxynitride and off-set layer 638 is silicon nitride.
[00187] Thus, a method for depositing a silicon-containing layer, such as silicon nitride, using photoexcitation has been provided. The method described above is suitable for device fabrication having small critical dimensions requiring low thermal budgets due to the use of deposition temperatures less than about 5500C, which advantageously facilitates robust circuit fabrication using sub 90 nm technology.
[00188] A "substrate" (e.g., substrate 1122) or "substrate surface" as used herein refers to any substrate or material surface formed on a substrate upon which processes may be performed by embodiments described herein. For example, a substrate or a substrate surface may contain materials such as silicon, silicon-containing materials, silicon oxide, strained silicon, silicon on insulator (SOI), silicon nitride, doped silicon, silicon germanium, silicon germanium carbon, germanium, silicon carbon, gallium arsenide, glass, sapphire, fluorine-doped silicate glass (FSG), or carbon-doped silicon oxides, such as SiOxCy, for example, BLACK DIAMOND® low-k dielectric, available from Applied Materials, Inc., located in Santa Clara, California. A substrate surface may also include dielectric materials such as silicon dioxide, silicon nitride, silicon oxynitride and/or carbon doped silicon oxides. Substrates may have various dimensions, such as 200 mm or 300 mm diameter round wafers, as well as, rectangular or square panes. Embodiments of the processes described herein form or deposit silicon-containing materials on many substrates and substrate surfaces. Substrates on which embodiments of the invention may be useful include, but are not limited to semiconductor wafers, such as crystalline silicon (e.g., Si<100> or Si<111>), silicon oxide, strained silicon, silicon germanium, doped or undoped polysilicon, doped or undoped silicon wafers silicon nitride and patterned or non-patterned wafers. Optionally, substrate 1122 may be pretreated prior to the deposition of a silicon-containing material by a polishing process, an etching process, a reducing process, an oxidizing process, a halogenation process, a hydroxylation process, an annealing process, a plasma process, a UV process, or combination thereof.
[00189] Throughout the application, the terms "silicon-containing" materials, compounds, films, or layers should be construed to include a composition containing at least silicon and may contain germanium, carbon, boron, arsenic, phosphorous gallium and/or aluminum. Other elements, such as metals, halogens or hydrogen may be incorporated within a silicon-containing material, compound, film or layer, usually with concentrations of about part per million (ppm). Compounds or alloys of silicon-containing materials may be represented by an abbreviation, such as Si for silicon, SiGe, for silicon germanium, SiC for silicon carbon and SiGeC for silicon germanium carbon. The abbreviations do not represent chemical equations with stoichiometrical relationships, nor represent any particular reduction/oxidation state of the silicon-containing materials. Silicon-containing materials, compounds, films or layers may include substrates or substrate surfaces.
Hardware
[00190] In the embodiment of Figure 7, process chamber 1100 includes a chamber body 1102 coupled to pumping system 1138, a controller 1146, at least one photoexcitation system 1144 and a gas panel 1136. Chamber body 1102 has walls 1106, a bottom 1108, and a lid 1110 that define an internal volume 1104. The walls 1106 of the process body 1102 may be thermally regulated. In one embodiment, a plurality of conduits 1112 are disposed in the walls 1106 and are configured to circulate a heat transfer fluid that regulates the temperature of chamber body 1102. The walls 1106 additionally include a substrate access port 1128 configured to facilitate entry and egress of a workpiece, such as substrate 1122, from process chamber 1100.
[00191] Substrate support pedestal 1124 is disposed in internal volume 1104 of chamber body 1102 and supports substrate 1122 during processing. Substrate support pedestal 1124 includes heater 1120 configured to regulate the temperature of substrate 1122 and/or heat interior volume 1104 of process chamber 1100. In the embodiment depicted in Figure 7, heater 1120 is a resistive heating element coupled to a power source 1116 and is capable of heating the substrate to a temperature of about 55O0C or higher. [00192] Pedestal lift assembly 1130 is coupled to substrate support pedestal 1124 and is configured to control the elevation of substrate support pedestal 1124 between an elevated processing position (as shown in Figure 7) and a lowered position that facilitates access to substrate 1122 disposed on substrate support pedestal 1124 through the substrate access port 1128. The pedestal lift assembly 1130 is sealingly coupled to bottom 1108 of chamber body 1102 by a flexible bellows 1132. Optionally, the pedestal lift assembly 1130 may be configured to rotate substrate support pedestal 1124 during processing. Pedestal lift assembly 1130 and similar assemblies that may be used by embodiments described herein is disclosed in commonly assigned U.S. Serial No. 11/147,938, entitled "Rotating Substrate Support and the Methods of Use," and filed June 8, 2005, which is herein incorporated by reference in its entirety. The pedestal lift assembly 1130 may be configured to rotate substrate support ' pedestal 1124 continuously at a constant rate, rotate substrate support pedestal 1124 continuously at different rates, or to index substrate support pedestal 1124.
[00193] Gas panel 1136 is coupled to process chamber 1100 and is configured to provide process precursors and chemicals, in liquid and/or gaseous form, and other gases to interior volume 1104 of chamber body 1102. In the embodiment depicted in Figure 7, gas panel 1136 is coupled by gas line 1140, which is used to transfer process chemical or mixed process gases or vapors from liquid injector generated from a selected liquid chemical source, to inlet port 1134 formed in lid 1110 of chamber body 1102. It is contemplated that inlet port 1134 may be formed through one or more other locations of chamber body 1102.
[00194] Flow control ring 1142 is disposed in the process body 1102 and is coupled to port 134. Flow control ring 1142 is configured to direct gas flow 1180 (represented by arrows) of process gas across substrate 1122 supported on substrate support pedestal 1124. Flow control ring 1142 is additionally configured to maintain a flow of purge gas, provided to a portion of interior volume 1104 defined below substrate support pedestal 1124 from purge gas source 1154, flowing upwards around the lower edge of substrate support pedestal 1124, and thereby preventing deposition gases from entering the region below substrate support pedestal 1124. Gases exiting flow control ring 1142 are generally collected in pumping channel 1156 prior to removal from process chamber 1100 through pumping port 1126 by pumping system 1138. Pumping system 1138 generally includes a throttle valve and one or more pumps arranged to control the pressure within internal volume 1104 of process chamber 1100. Flow control ring 1142 is further detailed below with reference to Figures 8 and 9A-B.
[00195] Lift pins 1114 (of which one is shown in Figure 7) are provided to separate substrate 1122 from the upper surface of substrate support pedestal 1124 to facilitate substrate hand-off with a robot (not shown) entering the chamber body through access port 1128. In the embodiment depicted in Figure 7, lift plate 1118 is disposed below substrate support pedestal 1124 and arranged such that as substrate support pedestal 1124 is lowered, lift pins 1114 come in contact with lift plate 1118 before substrate support pedestal 1124 has completed its downward travel. Lift plate 1118 supports lift pins 1114 as substrate support pedestal 1124 continues downward, causing lift pins 1114 to extend from the upper surface of the pedestal. The position of lift plate 1118 and/or the length of lift pins 1114 are configured such that substrate 1122 becomes spaced-apart from substrate support pedestal 1124 and generally aligned with access port 1128 when substrate support pedestal 1124 is in the lowered position.
[00196] Photoexcitation system 1144 is positioned to provide energy to at least one of the process gases or the surface of substrate 1122. In one embodiment, photoexcitation system 1144 includes at least one of remote photoexcitation system 1182 or a direct photoexcitation system 1192. Although the embodiment depicted in Figure 7 includes both remote photoexcitation system 1182 and a direct photoexcitation system 1192, it is contemplated that process chamber 1100 may optionally be configured with a single photoexcitation system (i.e., either the system 1182 or 1192). The energy from photoexcitation system 1144 may be utilized in a number of ways. For example, the energy may be utilized to remove native oxides from the surface of substrate 1122 prior to deposition, to increase the energy of the process gases, thus, increasing deposition rates while reducing deposition temperatures, and to increase the energy level of the deposited materials, thereby increasing deposition rates, allowing greater mobility of atoms within the film and assisting in the reduction of hydrogen or other volatile materials within the film.
[00197] In one embodiment, direct photoexcitation system 1192 generally includes at least one lamp 1170 positioned to deliver a beam or flux of energy to substrate surface. The flux of energy can be delivered in a continuous mode or in a pulsed mode. Lamp 1170 may additionally be utilized to energize process and/or cleaning gases.
[00198] Direct photoexcitation system 1192 is positioned above one or more windows 1174 disposed in lid 1110, such that energy emitted from photoexcitation system 1144 may be directed into internal volume 1104 of process chamber 1100. Power source 1172 is coupled to lamp 1170 and selectively controls the energy emitted from the lamp within a range from about 1 eV to about 10 eV, and at a frequency within a range from about 100 nm to about 480 nm. In one embodiment, lamp 1170 is an excimer lamp.
[00199] In another embodiment, lamp 1170 may include one or more lamps that generate energy at different wavelengths. Thus, different lamps may be energized at different times during a process to produce a desired energy level. Lamps 1170 may also be pulsed to produce the desired energy level. The energy at different wavelengths may be produced simultaneously, or at different times during processing.
[00200] Window 1174 is generally sealed to lid 1110 in a manner that prevents vacuum leakage. Window 1174 is fabricated from a material transmissive to the energy emitted from lamp 1170 while being substantially compatible with process chemistries. In one embodiment, window 1174 is fabricated from sapphire or magnesium fluoride.
[00201] To protect window 1174 from deposition, baffle plate 1160 is provided below lid 1110 to direct a blanket of purge gas between window 1174 and substrate 1122 disposed on substrate support pedestal 1124. Baffle plate 1160 includes an aperture that is aligned with window 1174 to allow the beam or flux of energy from lamp 1170 to impinge upon the substrate and/or gases within internal volume 1104 of process chamber 1100. A shutter plate can be added below or above window 1174 that can be open or shut to achieve a pulsing of the beam on the surface of the substrate. Purge gas source 1178 is coupled to purge gas inlet 1164 formed through process chamber 1100 and provides a purge gas to the region between lid 1110 and baffle plate 1160. Additional details of direct photoexcitation system 1192, lid 1110, window 1174 and baffle plate 1160 are described further below with reference to Figures 10-14.
[00202] Figures 8 and 9A-9B are sectional and bottom views of one embodiment of flow control ring 1142. Flow control ring 1142 has outer side 1202, inner wall 1204, top 1206, and bottom 1208. Flow control ring 1142 may be fabricated from a material compatible with the process conditions, such as aluminum, anodized aluminum, steel, stainless steel, or derivatives thereof. In the embodiment depicted in Figures 8 and 9A-9B, flow control ring 1142 is shown fabricated from main body 1244 having insert 1246 coupled thereto. It is contemplated that flow control ring 1142 may alternatively be fabricated as a since piece member, be assembled into a unitary body, or contain multiple sections held together within process chamber 1100.
[00203] Three plenums are defined within flow control ring 1142. An upper portion 1240 of flow control ring 1142 includes inlet plenum 1210 and outlet plenum 1212. Inlet plenum 1210 is separated from outlet plenum 1212 by wall 1230. In one embodiment, wall 1230 spaces inlet plenum 1210 and outlet plenum 1212 to opposite sides of flow control ring 1142.
[00204] Pumping plenum 1214 is disposed in lower portion 1242 of flow control ring 1142 and is separated from the plenums 1210 and 1212 by interior wall 1228. Pumping plenum 1214 is substantially annular, circumscribing inner wall 1204 of flow control ring 1142.
[00205] One or more inlet ports 1216 are formed through inner wall 1204 of flow control ring 1142. In the embodiment depicted in Figures 8 and 9A, a plurality of inlet ports 1216 are formed through inside diameter wall 1232 of insert 1246 that forms part of inner wall 1204 of flow control ring 1142. Inlet ports 1216 fluidly couple inlet plenum 1210 to internal volume 1104 of process chamber 1100. Thus, gases provided through inlet port 1134 formed in lid 1110 (shown in phantom in Figure 8) may be delivered from gas panel 1136 to interior volume 1104 of process chamber 1100 through flow control ring 1142.
[00206] Outlet plenum 1212 is formed in flow control ring 1142 opposite inlet plenum 1210. One or more paths of gas flow 1180 pass through upper outlet port 1218 positioned within inner wall 1204 of flow control ring 1142 to allow gases within interior volume 1104 of process chamber 1100 to enter outlet plenum 1212. Upper outlet port 1218 may be a hole, slot, aperture, or other flow conduit suitable for allowing a gas flow to enter flow control ring 1142. In one example as depicted in Figures 8 and 9A, upper outlet port 1218 is an annular notch formed in inner wall 1204 at top 1206 of flow control ring 1142.
[00207] Figure 8 depicts gas flow 1180 of the process gas entering interior volume 1104 through inlet ports 1216 are drawn back into outlet plenum 1212 through upper outlet port 1218, thereby creating a cross-flow (e.g., flow from one edge to the edge on the opposing side of the substrate) of process gases laterally across substrate 1122 in a non-radial manner. The size, size variation, geometry and distribution of inlet ports 1216 and upper outlet port 1218 are selected to obtain desired gas flow distribution across the surface of substrate 1122.
[00208] Unused process gas and reaction by-products flow are routed from outlet plenum 1212 to pumping plenum 1214 through at least one transfer hole 1220 formed through the interior wall 1228. Again, the size, number of holes and geometry of transfer hole 1220 provide control of gas flow 1180. In the embodiment depicted in Figures 8 and 9A-9B, transfer hole 1220 is an annular slot.
[00209] A plurality of lower outlet ports 1226 are formed through inner wall 1204 to allow purge gas (entering process chamber 1100 below substrate support pedestal 1124, as shown in Figure 7) to enter pumping plenum 1214. Gases entering pumping plenum 1214 through lower outlet ports 1226 and transfer hole 1220 are drawn into pumping channel 1156 and exhausted from process chamber 1100 by pumping system 1138. The size, size variation, geometry, multiplicity, and distribution of transfer hole 1220, lower outlet ports 1226, or other exhaust ports are selected to obtain desired gas flow into pumping plenum 1214, which assists in tuning the flow of process gases across the surface of the substrate and preventing process gases from contaminating the region below substrate support pedestal 1124.
[00210] Figure 14 is a sectional view of one embodiment of direct photoexcitation system 1192 mounted on lid 1110. Direct photoexcitation system 1192 generally includes housing 1402 that retains lamp 1170 in an internal cavity 1404 and mounting frame 1406 that secures a plurality of windows 1174. Housing 1402 may be fabricated from aluminum or other suitable material and is coupled to lid 1110 in a leak-tight manner. In one embodiment, mounting frame 1406 is sealed to the lid by a first o-ring, while housing 1402 is sealed to mounting frame 1406 by a second o-ring. It is contemplated that either o-ring may be replaced by a gasket or other sealing material. Mounting frame 1406 and housing 1402 may be secured to the lid by a fastener or other suitable method.
[00211] Interior walls 1408 of housing 1402 defining cavity 1404 are coated with a reflective material to minimize parasitic absorption of energy generated by lamp 1170, thereby increasing the amount of energy directed through window 1174. In one example, interior walls 1408 are coated with nickel as a reflective material.
[00212] Interior walls 1408 are shaped to enhance the direction of light or photons through window 1174. In one embodiment, a flux or energy beam 1410 (represented by arrows) produced by lamp 1170 is directed substantially normal to the surface of substrate 1122 (shown in phantom). It is contemplated that it may be desirable to direct energy beam 1410 at other angles of incidence to the substrate. For example, as illustrated in the schematic diagram of Figure 11 A, reflector 1510 positioned proximate lamp 1170 may be configured to direct energy beam 1410 at an acute angle relative to substrate 1122. In another example depicted in Figure 11 B, optics 1520, such as a collimator lens 1522 and prism 1524, may be utilized to set the angle of incidence of energy beam 1410. It is also contemplated that a microactuator may be coupled to the prism 1524 to select the angle of incidence within a range from about 45° to about 90°, as shown by the dashed arrows. As additionally shown in Figure 11 A, shutter 1550 may be utilized to pulse or selectively allow energy beam 1410 to enter internal volume 1104 of process chamber 1100 when desired.
[00213] To prevent direct photoexcitation system 1192 from overheating and to maintain consistent performance, direct photoexcitation system 1192 may be temperature controlled. For example, the purge gas source 1178 may be coupled to cavity 1404 of housing 1402 by inlet passage 1412. Inlet passage 1412 injects a heat transfer fluid, such as nitrogen to remove heat generated by lamp 1170 from housing 1402. The heat transfer fluid is removed from cavity 1404 through outlet passage 1414. [00214] Thermocouple 1416 is positioned to provide controller 1146 with a metric indicative of the temperature of the heat transfer fluid, window, lamp or other portion of direct photoexcitation system 1192 so that the temperature of the lamp and/or seals of direct photoexcitation system 1192 may be maintained within operating temperature ranges. For example, utilizing temperature information provided by thermocouple 1416, at least one of the power provided to lamp 1170, the temperature and/or the flow rate of the heat transfer fluid circulated through housing 1402 may be adjusted to maintain lamp 1170 from overheating or exceeding the operational temperature of window 1174.
[00215] Figure 12 depicts an exploded view of one embodiment of frame assembly 1600 utilized to couple window 1174 to mounting frame 1406. Mounting frame 1406 includes flange 1612 having gland 1630 formed therein that accepts a seal utilized to provide the leak-tight seal between mounting frame 1406 and lid 1110 as described above.
[00216] Frame assembly 1600 generally includes window insert mount 1602 and window frame 1604. Window insert mount 1602 is disposed in pocket 1614 framed in mounting frame 1406. Window insert mount 1602 includes flange 1620 and base 1624. Flange 1620 of window insert mount 1602 extends outward from base 1624 and includes a gland 1622. Mount seal 1606, such as an o-ring, is disposed in gland 1622 and provides a seal between window insert mount 1602 and base 1616 of mounting frame 1406. Compression of mount seal 1606 is maintained by fasteners (not shown) coupling window insert mount 1602 to mounting frame 1406.
[00217] Base 1624 is generally an elongated rectangle that includes a plurality of apertures 1626 for allowing passage of the energy beam or flux through the frame assembly. In the embodiment depicted in Figure 12, base 1624 is disposed in rectangular aperture 1618 formed in base 1616 of mounting frame 1406. [00218] One or more lamps are secured between window insert mount 1602 and window frame 1604. In the embodiment depicted in Figure 12, four windows 1174 are clamped between window insert mount 1602 and window frame 1604. Upper window seal 1608 is disposed in gland 1632 formed in window frame 1604 and provides a seal between window 1174 and window frame 1604. A lower window seal 1610 is disposed in a gland 1628 formed in window insert mount 1602 and provides a seal between window 1174 and window insert mount 1602. Compression of the upper and lower window seals 1608, 1610 is maintained by fasteners (not shown) coupling window frame 1604 to window insert mount 1602.
[00219] The seals between window insert mount 1602 and mounting frame 1406, and between window 1174 and window insert mount 1602 and mounting frame 1406, do not need to be air-tight. Since nitrogen-filled cavity 1404 of housing 1402 is maintained at a higher pressure than interior volume 1104 of process chamber 1100, slight leakage of nitrogen into the area of process chamber 1100 between baffle plate 1160 and lid 1110 is acceptable as being innocuous to processes performed in process chamber 1100.
[00220] Once windows 1174 are clamped in place within frame assembly 1600, apertures 1634 formed through window frame 1604 and apertures 1626 formed through window insert mount 1602 align with window 1174 and aperture 1162 of baffle plate 1160 to allow the beam or flux of energy generated by lamp 1170 to enter the chamber.
[00221] To provide process control feedback, direct photoexcitation system 1192 may include one or more sensors that provide a metric indicative of lamp performance. This metric advantageously allows processors to selectively control process attributes to obtain films having desired properties and deposition rates.
[00222] Figure 14 is a sectional view of direct photoexcitation system 1192 taken along section line 14-14 of Figure 10 illustrating sensors utilized to provide metric indicative of lamp performance. In the embodiment depicted in Figure 14, first sensor 1802 is disposed through housing 1402 and extends between the lamps 1170, through the frame assembly 1600 and into interior volume 1104 of process chamber 1100. First sensor 1802 may utilize a compression fitting 1808 or suitable seal to prevent gas leakage from housing 1402. First sensor 1802 is generally capable of providing a metric indicative of the energy incident on substrate 1122. In one embodiment, first sensor 1802 is a flux sensor. One suitable flux sensor that may be adapted to benefit from the invention is available from Hamamatsu Corporation, located in Hamamatsu City, Japan. As first sensor 1802 is positioned below window 1174 and relatively close to substrate 1122, the energy levels measured are indicative of the actual energy reaching the substrate, and accounts for parasitic energy losses such as energy absorbed by window 1174 and gases within housing 1402 and process chamber 1100. Thus, if a predetermined energy level is desired at the substrate surface, lamp 1170 (or other processing attribute) may be adjusted in- situ to obtain and/or maintain a desired film characteristic.
[00223] Second sensor 1804 may be utilized to detect energy levels in housing 1402. Second sensor 1804 is sealed to housing 1402 as described above with reference to first sensor 1802. Second sensor 1804 is generally capable of providing a metric indicative of the energy generated by lamp 1170 within housing 1402. In one embodiment, second sensor 1804 is a flux sensor. Information obtained from first sensor 1802 may be compared with the information obtained from second sensor 1804 to determine parasitic energy losses as the energy generated by the lamp acts upon objects, such as the window, gases and the substrate, positioned within the chamber. Through design experiments, for example by comparing data from the sensors with and without process gas flows, the energy incident on substrate 1122 and absorbed by the process gases may be determined and utilized to control film properties during deposition. [00224] Returning to Figure 10, curtain 1418 of inert gas is provided across lower surface 1420 of window 1174 to further maintain the performance of direct photoexcitation system 1192. Curtain 1418 is created by flowing nitrogen (or other inert gas) into purge plenum 1430 defined between body 1440 of baffle plate 1160 and lid 1110.
[00225] Referring additionally to the top and bottom perspective views of the baffle plate 1160 depicted in Figures 13A-13B, purge plenum 1430 is bounded by lip 1434 extending from body 1440 to lid 1110 and weir 1423. Body 1440 provides a physical separation between lid 1110 and the process gases flowing into process chamber 1100. Body 1440 typically has no openings or apertures between first side 1710 of body 1440 disposed over inlet ports 1216 and aperture 1162 to prevent process gases from contacting window 1174.
[00226] Lip 1434 extends further from body 1440 than weir 1423. Thus, gases flowed into purge plenum 1430 are substantially confined in purge plenum 1430 and forced over orifice 1436 defined between weir 1423 and lid 1110 along flow path 1708 (represented by arrow). Lip 1434 includes a release port 1702 formed on a second side 1712 of baffle plate 1160 opposite first side 1710 that allows the purge gases to escape from behind baffle plate 1160 and enter flow control ring 1142 through the outlet plenum. In the embodiment depicted in Figure 13A, the release port 1702 is a notch formed in the distal end of lip 1434.
[00227] Orifice 1436 (and weir 1432 that defines orifice 1436) extends parallel to and spaced apart from window 1174. The pressure drop across orifice 1436, along with the pressure within the interior volume and purge plenum 1430, are selected to control the flow of curtain 1418 in the direction substantially parallel to gas flow 1180 of the process gas while maintaining substantially uniform flow across weir 1423 so that window 1174 is protected by curtain 1418. In one embodiment, the velocity of curtain 1418 is substantially matched to the process gas flow to minimize turbulent mixing of the gases that may bring some process gases in contact with window 1174.
[00228] To ensure uniform spacing between baffle plate 1160 and lid 1110, a plurality of stand-offs or bosses 1704, 1706 extend from baffle plate 1160. The first set of bosses 1704 extend from weir 1432, while the second set of bosses 706 extend from body 1440 between the region of body 1440 defined between aperture 1162 and second side 1712. Bosses 1704 additionally provide a structure through which flow path 1708 is formed. Flow path 1708 extends through baffle plate 1160 and accommodates fasteners (not shown) utilized to secure baffle plate 1160 to lid 1110. In the embodiment depicted in Figure 13B, each flow path 1708 through bosses 1704, 1706 is counter-bored or countersunk on the pedestal side of baffle plate 1160 to recess the head of the fastener.
[00229] Returning to Figure 7, remote photoexcitation system 1182 may be disposed between gas panel 1136 and inlet port 1134. Remote photoexcitation system 1182 may be utilized to energize the gases entering process chamber 1100 from gas panel 1136. The energized gases may be utilized for treating the substrate, cleaning the chamber, promoting a film deposition and/or controlling characteristics of the deposited film.
[00230] In one embodiment, remote photoexcitation system 1182 includes lamp 1184 disposed in housing 1194. Lamp 1184 is coupled to the power source 1172, or other suitable source. Lamp 1184 generally produces energy within a range from about 1 eV to about 10 eV, and at a frequency within a range from about 100 nm to about 480 nm. In one embodiment, lamp 1184 is an excimer lamp.
[00231] In another embodiment, lamp 1184 may include one or more lamps that generate energy at different wavelengths. Thus, different lamps may be energized at different times during a process to produce a desired energy level.
Thus, one lamp may be utilized to energize a selected gas and/or surface while another lamp may be utilized to energize a different gas and/or achieve a desired effect on the deposited film.
[00232] In another example, a first wavelength may be utilized to energize a first precursor or a surface, followed by a second wavelength utilized to energize a second precursor or the surface. In such a manner, monolayer deposition may be achieved. Other examples suitable for monolayer deposition through atomic and/or cyclic deposition techniques includes, but is not limited to, photoenergization of only one of the two precursors, photoenergization of a purge gas flowed into the chamber between injection of at least one of or after both of the precursors, photoenergization of the substrate surface between injection of at least one of or after both of the precursors, or combinations thereof among others process sequences.
[00233] Gas from gas panel 1136 flowing through passage 1188 formed in housing 1194 may optionally be separated from lamp 1184 by window 1186. Window 1186 may be fabricated from a suitable transmissive material, such as magnesium fluoride.
[00234] Remote plasma source (RPS) 1190 may be coupled to process chamber 1100. RPS 1190 generally provides a reactive cleaning agent, such as disassociated fluorine, that removes deposition and other process byproducts from the chamber components. In the embodiment depicted in Figure 7, RPS 1190 is coupled to inlet port 1134 such that the inlet side of flow control ring 1142 is cleaned. Optionally, RPS 1190 may be coupled to purge gas inlet 1164 so that the cleaning agent may more effectively clean window 1174.
[00235] Alternatively, fluorine or other suitable cleaning agent may be provided to the purge gas inlet 1164 from gas panel 1136 to clean window 1174. The cleaning agent, whether provided from the RPS 1190 or gas panel 1136, may be energized by lamp 1170 to increase the energy state of the gases proximate window 1174. It is also contemplated that a cleaning agent may be energized by remote photoexcitation system 1182 and delivered into process chamber 1100 through inlet port 1134.
[00236] Controller 1146 is coupled to the various components of process chamber 1100 to facilitate control of a silicon nitride deposition process as described below. Controller 1146 generally includes central processing unit (CPU) 1150, memory 1148, and support circuits 1152. CPU 1150 may be one of any form of computer processor that can be used in an industrial setting for controlling various chambers and sub processors. Memory 1148, or computer readable medium, may be one or more of readily available memory, such as random access memories (RAM), read-only memory (ROM), floppy disk, hard drive, flash memory, or any other form of digital storage, local or remote. Support circuits 1152 are coupled to CPU 1150 for supporting the processor in a conventional manner. Support circuits 1152 include cache, power supplies, clock circuits, input/output circuitry and subsystems, and the like. A process, for example, a deposition process for depositing the silicon-containing material in step 1900 described below, is generally stored in memory 1148, typically as a software routine. The software routine may also be stored and/or executed by a second CPU (not shown) that is remotely located from the hardware being controlled by CPU 1150. Although the deposition process of the present invention is described as being implemented as a software routine, some of the method steps that are disclosed therein may be performed in hardware as well as by the software controller. As such, the invention may be implemented in software as executed upon a system computer, in hardware as an application specific integrated circuit or other type of hardware implementation, or a combination of software and hardware.
[00237] While the foregoing is directed to embodiments of the invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims

What is claimed is:
1. A method for forming a silicon material on a substrate, comprising: positioning a substrate within a process chamber; exposing the substrate to a deposition gas comprising an aminosilane precursor, an oxygen precursor, and a nitrogen precursor; exposing the deposition gas to an energy beam derived from a UV-source within the process chamber; and depositing a silicon-containing material on the substrate, wherein the silicon-containing material is amorphous and comprises oxygen and nitrogen.
2. The method of claim 1 , wherein the substrate is exposed to the energy beam during a pretreatment process prior to depositing the silicon-containing material.
3. The method of claim 1 , wherein the substrate is exposed to the energy beam during a post-treatment process after depositing the silicon-containing material.
4. The method as in any of claims 1-3, wherein the energy beam has a photon energy within a range from about 2 eV to about 10 eV.
5. The method of claim 4, wherein the photon energy is within a range from about 3.2 eV to about 4.5 eV.
6. The method of claim 4, wherein an energy delivery gas passes through the energy beam during the pretreatment process or the post-treatment process, and the energy delivery gas comprises a gas selected from the group consisting of neon, argon, krypton, xenon, argon bromide, argon chloride, krypton bromide, krypton chloride, krypton fluoride, xenon fluorides, xenon chlorides, xenon bromides, fluorine, chlorine, bromine, excimers thereof, radicals thereof, derivatives thereof, and combinations thereof.
7. The method of claim 6, wherein the energy delivery gas further comprises nitrogen gas or hydrogen gas.
8. The method as in any of claims 4, wherein the aminosilane precursor is bis-tertbutylaminosilane, trisilylamine, hexakis(ethylamino)disilane, derivatives thereof, or combinations thereof.
9. The method of claim 8, wherein the oxygen precursor is selected from the group consisting of atomic oxygen, oxygen, ozone, water, hydrogen peroxide, radicals thereof, derivatives thereof, and combinations thereof.
10. The method of claim 8, wherein the nitrogen precursor is selected from the group consisting of atomic nitrogen, nitrogen, azide, ammonia, hydrazine, amine compounds, hydrazine compounds, azide compounds, radicals thereof, derivatives thereof, and combinations thereof.
11. A method for forming a silicon material on a substrate, comprising: positioning a substrate containing a native oxide layer within a process chamber; exposing the substrate to an energy beam derived from a UV-source to remove the native oxide layer during a pretreatment process; and depositing a silicon oxide material on the substrate during a deposition process, comprising: exposing the substrate to a deposition gas comprising an aminosilane and an oxygen precursor; and exposing the deposition gas to the energy beam within the process chamber.
12. The method of claim 11 , wherein the substrate is exposed to the energy beam during a post-treatment process after depositing the silicon- containing material.
13. The method as in any of claims 11 or 12, wherein the energy beam has a photon energy within a range from about 2 eV to about 10 eV.
14. The method of claim 13, wherein the photon energy is within a range from about 3.2 eV to about 4.5 eV.
15. The method of claim 13, wherein an energy delivery gas passes through the energy beam during the pretreatment process or the post-treatment process, and the energy delivery gas comprises a gas selected from the group consisting of neon, argon, krypton, xenon, argon bromide, argon chloride, krypton bromide, krypton chloride, krypton fluoride, xenon fluorides, xenon chlorides, xenon bromides, fluorine, chlorine, bromine, excimers thereof, radicals thereof, derivatives thereof, and combinations thereof.
16. The method of claim 15, wherein the energy delivery gas further comprises nitrogen gas or hydrogen gas.
17. The method as in any of claims 13, wherein the aminosilane precursor is bis-tertbutylaminosilane, trisilylamine, hexakis(ethylamino)disilane, derivatives thereof, or combinations thereof.
18. The method of claim 17, wherein the oxygen precursor is selected from the group consisting of atomic oxygen, oxygen, ozone, water, hydrogen peroxide, radicals thereof, derivatives thereof, and combinations thereof.
19. The method of claim 17, wherein the deposition gas further comprises a nitrogen precursor.
20. The method of claim 19, wherein the nitrogen precursor is selected from the group consisting of atomic nitrogen, nitrogen, azide, ammonia, hydrazine, amine compounds, hydrazine compounds, azide compounds, radicals thereof, derivatives thereof, and combinations thereof.
21. The method of claim 19, wherein the substrate is exposed to a nitridation process after the deposition process.
22. A method for forming a silicon material on a substrate, comprising: positioning a substrate containing a native oxide layer within a process chamber; exposing the substrate to an energy beam derived from a UV-source to remove the native oxide layer during a pretreatment process; and depositing a silicon nitride material on the substrate during a deposition process, comprising: exposing the substrate to a deposition gas comprising an aminosilane and a nitrogen precursor; and exposing the deposition gas to the energy beam within the process chamber.
23. The method of claim 22, wherein the substrate is exposed to the energy beam during a post-treatment process after depositing the silicon-containing material.
24. The method as in any of claims 22 or 23, wherein the energy beam has a photon energy within a range from about 2 eV to about 10 eV.
25. The method of claim 24, wherein the photon energy is within a range from about 3.2 eV to about 4.5 eV.
26. The method of claim 24, wherein an energy delivery gas passes through the energy beam during the pretreatment process or the post-treatment process, and the energy delivery gas comprises a gas selected from the group consisting of neon, argon, krypton, xenon, argon bromide, argon chloride, krypton bromide, krypton chloride, krypton fluoride, xenon fluorides, xenon chlorides, xenon bromides, fluorine, chlorine, bromine, excimers thereof, radicals thereof, derivatives thereof, and combinations thereof.
27. The method of claim 26, wherein the energy delivery gas further comprises nitrogen gas or hydrogen gas.
28. The method as in any of claims 24, wherein the aminosilane precursor is bis-tertbutylaminosilane, trisilylamine, hexakis(ethylamino)disilane, derivatives thereof, or combinations thereof.
29. The method of claim 28, wherein the nitrogen precursor is selected from the group consisting of atomic nitrogen, nitrogen, azide, ammonia, hydrazine, amine compounds, hydrazine compounds, azide compounds, radicals thereof, derivatives thereof, and combinations thereof.
30. The method of claim 28, wherein the deposition gas further comprises an oxygen precursor.
31. The method of claim 30, wherein the oxygen precursor is selected from the group consisting of atomic oxygen, oxygen, ozone, water, hydrogen peroxide, radicals thereof, derivatives thereof, and combinations thereof.
32. The method of claim 28, wherein the substrate is exposed to an oxidation process after the deposition process.
33. A method for forming a silicon material on a substrate, comprising: positioning a substrate containing a monocrystalline silicon-containing surface and a second surface within a process chamber; exposing the substrate to an energy beam derived from a UV-source during a pretreatment process; exposing the substrate to a deposition gas comprising an aminosilane and the energy beam during a deposition process; and depositing a silicon-containing material epitaxially and selectively over the monocrystalline silicon-containing surface while maintaining the second surface free of the silicon-containing material.
34. The method of claim 33, wherein the monocrystalline silicon-containing surface comprises at least one element selected from the group consisting of germanium, carbon, boron, arsenic, phosphoric, and combinations thereof.
35. The method of claim 33, wherein the second surface comprises at least one material selected from the group consisting of polycrystalline silicon, amorphous silicon, silicon oxide, silicon nitride, silicon oxynitride, a metal, a metal silicate, derivatives thereof, and combinations thereof.
36. The method of claim 33, wherein the deposition gas further comprises an etchant.
37. The method of claim 36, wherein the etchant is selected from the group consisting of chlorine gas, hydrogen chloride, tetrachlorosilane, derivatives thereof, and combinations thereof.
38. The method of claim 33, wherein the substrate is exposed to the energy beam during a post-treatment process after depositing the silicon-containing material.
39. The method as in any of claims 33-38, wherein the energy beam has a photon energy within a range from about 2 eV to about 10 eV.
40. The method of claim 39, wherein the photon energy is within a range from about 3.2 eV to about 4.5 eV.
41. The method of claim 39, wherein an energy delivery gas passes through the energy beam during the pretreatment process or the post-treatment process, and the energy delivery gas comprises a gas selected from the group consisting of neon, argon, krypton, xenon, argon bromide, argon chloride, krypton bromide, krypton chloride, krypton fluoride, xenon fluorides, xenon chlorides, xenon bromides, fluorine, chlorine, bromine, excimers thereof, radicals thereof, derivatives thereof, and combinations thereof.
42. The method of claim 41 , wherein the energy delivery gas further comprises nitrogen gas or hydrogen gas.
43. The method as in any of claims 39, wherein the aminosilane precursor is bis-tertbutylaminosilane, trisilylamine, hexakis(ethylamino)disilane, derivatives thereof, or combinations thereof.
44. A method for forming a silicon material on a substrate, comprising: positioning a substrate containing a native oxide layer within a process chamber; exposing the substrate to an energy beam derived from a UV-source to remove the native oxide layer during a pretreatment process; exposing the substrate to a deposition gas comprising an aminosilane and the energy beam during a deposition process; depositing a polycrystalline silicon-containing material on the substrate; and T/US2006/023915
exposing the substrate to the energy beam during a post-treatment process after depositing the polycrystalline silicon-containing material.
45. The method of claim 44, wherein the energy beam has a photon energy within a range from about 2 eV to about 10 eV.
46. The method of claim 45, wherein the photon energy is within a range from about 3.2 eV to about 4.5 eV.
47. The method of claim 45, wherein an energy delivery gas passes through the energy beam during the pretreatment process or the post-treatment process, and the energy delivery gas comprises a gas selected from the group consisting of neon, argon, krypton, xenon, argon bromide, argon chloride, krypton bromide, krypton chloride, krypton fluoride, xenon fluorides, xenon chlorides, xenon bromides, fluorine, chlorine, bromine, excimers thereof, radicals thereof, derivatives thereof, and combinations thereof.
48. The method of claim 47, wherein the energy delivery gas further comprises nitrogen gas or hydrogen gas.
49. The method as in any of claims 44-48, wherein the aminosilane precursor is bis-tertbutylaminosilane, trisilylamine, hexakis(ethylamino)disilane, derivatives thereof, or combinations thereof.
50. A method for forming a silicon material on a substrate, comprising: positioning a substrate containing a native oxide layer within a process chamber; exposing the substrate to an energy beam derived from a UV-source to remove the native oxide layer during a pretreatment process; exposing the substrate to a deposition gas comprising an aminosilane and the energy beam during a deposition process; depositing an amorphous silicon-containing material on the substrate; and exposing the substrate to the energy beam during a post-treatment process after depositing the amorphous silicon-containing material.
51. The method of claim 50, wherein the energy beam has a photon energy within a range from about 2 eV to about 10 eV.
52. The method of claim 51 , wherein the photon energy is within a range from about 3.2 eV to about 4.5 eV.
53. The method of claim 51 , wherein an energy delivery gas passes through the energy beam during the pretreatment process or the post-treatment process and the energy delivery gas comprises a gas selected from the group consisting of neon, argon, krypton, xenon, argon bromide, argon chloride, krypton bromide, krypton chloride, krypton fluoride, xenon fluorides, xenon chlorides, xenon bromides, fluorine, chlorine, bromine, excimers thereof, radicals thereof, derivatives thereof, and combinations thereof.
54. The method of claim 53, wherein the energy delivery gas further comprises nitrogen gas or hydrogen gas.
55. The method as in any of claims 50-54, wherein the aminosilane precursor is bis-tertbutylaminosilane, trisilylamine, hexakis(ethylamino)disilane, derivatives thereof, or combinations thereof.
56. A method for forming a silicon material on a substrate, comprising: positioning a substrate within a process chamber; depositing a silicon oxide material on the substrate during a deposition process, comprising: exposing the substrate to a deposition gas comprising an aminosilane and an oxygen precursor; and exposing the deposition gas to an energy beam derived from a UV-source within the process chamber; and exposing the substrate to the energy beam after depositing the silicon oxide material during a post-treatment process.
57. The method of claim 56, wherein the substrate is exposed to the energy beam during a pretreatment process prior to depositing the silicon oxide material.
58. The method of claim 57, wherein native oxides are removed from the substrate during the pretreatment process.
59. The method as in any of claims 56-58, wherein the energy beam has a photon energy within a range from about 2 eV to about 10 eV.
60. The method of claim 59, wherein the photon energy is within a range from about 3.2 eV to about 4.5 eV.
61. The method of claim 59, wherein an energy delivery gas passes through the energy beam during the pretreatment process or the post-treatment process and the energy delivery gas comprises a gas selected from the group consisting of neon, argon, krypton, xenon, argon bromide, argon chloride, krypton bromide, krypton chloride, krypton fluoride, xenon fluorides, xenon chlorides, xenon bromides, fluorine, chlorine, bromine, excimers thereof, radicals thereof, derivatives thereof, and combinations thereof.
62. The method of claim 61 , wherein the energy delivery gas further comprises nitrogen gas or hydrogen gas.
63. The method as in any of claims 59, wherein the aminosilane precursor is bis-tertbutylaminosilane, trisilylamine, hexakis(ethylamino)disilane, derivatives thereof, or combinations thereof.
64. The method of claim 63, wherein the oxygen precursor is selected from the group consisting of atomic oxygen, oxygen, ozone, water, hydrogen peroxide, radicals thereof, derivatives thereof, and combinations thereof.
65. The method of claim 63, wherein the silicon-containing material further comprises nitrogen.
66. The method of claim 65, wherein the deposition gas further comprises a nitrogen precursor.
67. The method of claim 66, wherein the nitrogen precursor is selected from the group consisting of atomic nitrogen, nitrogen, azide, ammonia, hydrazine, amine compounds, hydrazine compounds, azide compounds, radicals thereof, derivatives thereof, and combinations thereof.
68. The method of claim 65, wherein the substrate is exposed to a nitridation process after the deposition process.
69. A method for forming a silicon material on a substrate, comprising: positioning a substrate within a process chamber; exposing the substrate to an energy beam derived from a UV-source during a pretreatment process; depositing a silicon oxide material on the substrate during a deposition process, comprising: exposing the substrate to a deposition gas comprising an aminosilane and an oxygen precursor; and exposing the deposition gas to the energy beam within the process chamber; and exposing the substrate to the energy beam after depositing the silicon oxide material during a post-treatment process.
70. The method of claim 69, wherein native oxides are removed from the substrate during the pretreatment process.
71. The method as in any of claims 69-70, wherein the energy beam has a photon energy within a range from about 2 eV to about 10 eV during the pretreatment process.
72. The method of claim 71 , wherein the photon energy is within a range from about 3.2 eV to about 4.5 eV.
73. The method of claim 71 , wherein an energy delivery gas passes through the energy beam during the pretreatment process or the post-treatment process and the energy delivery gas comprises a gas selected from the group consisting of neon, argon, krypton, xenon, argon bromide, argon chloride, krypton bromide, krypton chloride, krypton fluoride, xenon fluorides, xenon chlorides, xenon bromides, fluorine, chlorine, bromine, excimers thereof, radicals thereof, derivatives thereof, and combinations thereof.
74. The method of claim 73, wherein the energy delivery gas further comprises nitrogen gas or hydrogen gas.
75. The method as in any of claims 71 , wherein the aminosilane precursor is bis-tertbutylaminosilane, trisilylamine, hexakis(ethylamino)disilane, derivatives thereof, or combinations thereof.
76. The method of claim 75, wherein the oxygen precursor is selected from the group consisting of atomic oxygen, oxygen, ozone, water, hydrogen peroxide, radicals thereof, derivatives thereof, and combinations thereof.
77. The method of claim 75, wherein the silicon-containing material further comprises nitrogen.
78. The method of claim 77, wherein the deposition gas further comprises a nitrogen precursor.
79. The method of claim 78, wherein the nitrogen precursor is selected from the group consisting of atomic nitrogen, nitrogen, azide, ammonia, hydrazine, amine compounds, hydrazine compounds, azide compounds, radicals thereof, derivatives thereof, and combinations thereof.
80. The method of claim 77, wherein the substrate is exposed to a nitridation process after the deposition process.
81. A method for forming a silicon material on a substrate, comprising: positioning a substrate within a process chamber; exposing the substrate to a deposition gas comprising a silicon-containing precursor, an oxygen precursor, and a nitrogen precursor; exposing the deposition gas to an energy beam derived from a UV-source within the process chamber; and depositing a silicon-containing material on the substrate, wherein the silicon-containing material is amorphous and comprises oxygen and nitrogen.
82. The method of claim 81 , wherein the substrate is exposed to the energy beam during a pretreatment process prior to depositing the silicon-containing material.
83. The method of claim 82, wherein native oxides are removed from the substrate during the pretreatment process.
84. The method of claims 81, wherein the substrate is exposed to the energy beam during a post-treatment process after depositing the silicon-containing material.
85. The method as in any of claims 81-84, wherein the energy beam has a photon energy within a range from about 2 eV to about 10 eV.
86. The method of claim 85, wherein the photon energy is within a range from about 3.2 eV to about 4.5 eV.
87. The method of claim 85, wherein an energy delivery gas passes through the energy beam during the pretreatment process or the post-treatment process and the energy delivery gas comprises a gas selected from the group consisting of neon, argon, krypton, xenon, argon bromide, argon chloride, krypton bromide, krypton chloride, krypton fluoride, xenon fluorides, xenon chlorides, xenon bromides, fluorine, chlorine, bromine, excimers thereof, radicals thereof, derivatives thereof, and combinations thereof.
88. The method of claim 87, wherein the energy delivery gas further comprises nitrogen gas or hydrogen gas.
89. The method as in any of claims 85, wherein the silicon-containing precursor is an alkoxysilane compound.
90. The method of claim 89, wherein the alkoxysilane compound has the chemical formula of (RO)nSiR' (4-n), wherein n = 1 , 2, 3, or 4, each R, independently, may be methyl, ethyl, propyl, or butyl, and each R', independently, may be hydrogen, a halogen group, methyl, ethyl, propyl, or butyl.
91. The method of claim 90, wherein the alkoxysilane compound is tetraethoxysilane.
92. The method of claim 91 , wherein the oxygen precursor is selected from the group consisting of atomic oxygen, oxygen, ozone, water, hydrogen peroxide, radicals thereof, derivatives thereof, and combinations thereof.
93. The method of claim 92, wherein the nitrogen precursor is selected from the group consisting of atomic nitrogen, nitrogen, azide, ammonia, hydrazine, amine compounds, hydrazine compounds, azide compounds, radicals thereof, derivatives thereof, and combinations thereof.
94. The method as in any of claims 85, wherein the silicon-containing precursor is a halosilane compound.
95. The method of claim 94, wherein the halosilane compound is selected from the group consisting of hexachlorodisilane, tetrachlorosilane, dichlorosilane, derivatives thereof, and combinations thereof.
96. The method as in any of claims 85, wherein the silicon-containing precursor is selected from the group consisting of silane, disilane, trisilane, methylsilane, derivatives thereof, and combinations thereof.
97. A method for forming a silicon material on a substrate, comprising: positioning a substrate within a process chamber; exposing the substrate to a deposition gas comprising a silicon-containing precursor and an oxygen precursor; exposing the deposition gas to an energy beam derived from a UV-source within the process chamber; and depositing a silicon oxide material on the substrate.
98. The method of claim 97, wherein the substrate is exposed to the energy beam during a pretreatment process prior to depositing the silicon oxide material.
99. The method of claim 97, wherein the substrate is exposed to the energy beam during a post-treatment process after depositing the silicon oxide material.
100. The method as in any of claims 97-99, wherein the energy beam has a photon energy within a range from about 2 eV to about 10 eV.
101. The method of claim 100, wherein the photon energy is within a range from about 3.2 eV to about 4.5 eV.
102. The method of claim 100, wherein an energy delivery gas passes through the energy beam during the pretreatment process or the post-treatment process and the energy delivery gas comprises a gas selected from the group consisting of neon, argon, krypton, xenon, argon bromide, argon chloride, krypton bromide, krypton chloride, krypton fluoride, xenon fluorides, xenon chlorides, xenon bromides, fluorine, chlorine, bromine, excimers thereof, radicals thereof, derivatives thereof, and combinations thereof.
103. The method of claim 102, wherein the energy delivery gas further comprises nitrogen gas or hydrogen gas.
104. The method of claim 97, wherein the oxygen precursor is selected from the group consisting of atomic oxygen, oxygen, ozone, water, hydrogen peroxide, radicals thereof, derivatives thereof, and combinations thereof.
105. The method as in any of claims 100, wherein the silicon-containing precursor is an alkoxysilane compound.
106. The method of claim 105, wherein the alkoxysilane compound has the chemical formula of (RO)nSiR1^n), wherein n = 1 , 2, 3, or 4, each R, independently, may be methyl, ethyl, propyl, or butyl, and each R', independently, may be hydrogen, a halogen group, methyl, ethyl, propyl, or butyl.
107. The method of claim 106, wherein the alkoxysilane compound is tetraethoxysilane.
108. The method as in any of claims 100, wherein the silicon-containing precursor is a halosilane compound.
109. The method of claim 108, wherein the halosilane compound is selected from the group consisting of hexachlorodisilane, tetrachlorosilane, dichlorosilane, derivatives thereof, and combinations thereof.
110. The method as in any of claims 100, wherein the silicon-containing precursor is selected from the group consisting of silane, disilane, trisilane, methylsilane, derivatives thereof, and combinations thereof.
111. A method for forming a silicon material on a substrate, comprising: positioning a substrate within a process chamber; exposing the substrate to a deposition gas comprising tetraethoxysilane and an oxygen precursor; exposing the deposition gas to an energy beam derived from a UV-source within the process chamber; and depositing a silicon oxide material on the substrate.
112. The method of claim 111 , wherein the substrate is exposed to the energy beam during a pretreatment process prior to depositing the silicon oxide material.
113. The method of claim 111 , wherein the substrate is exposed to the energy beam during a post-treatment process after depositing the silicon oxide material.
114. The method as in any of claims 111-113, wherein the energy beam has a photon energy within a range from about 2 eV to about 10 eV.
115. The method of claim 114, wherein the photon energy is within a range from about 3.2 eV to about 4.5 eV.
116. The method of claim 114, wherein an energy delivery gas passes through the energy beam during the pretreatment process or the post-treatment process and the energy delivery gas comprises a gas selected from the group consisting of neon, argon, krypton, xenon, argon bromide, argon chloride, krypton bromide, krypton chloride, krypton fluoride, xenon fluorides, xenon chlorides, xenon bromides, fluorine, chlorine, bromine, excimers thereof, radicals thereof, derivatives thereof, and combinations thereof.
117. The method of claim 116, wherein the energy delivery gas further comprises nitrogen gas or hydrogen gas.
118. The method of claim 114, wherein the oxygen precursor is selected from the group consisting of atomic oxygen, oxygen, ozone, water, hydrogen peroxide, radicals thereof, derivatives thereof, and combinations thereof.
PCT/US2006/023915 2005-06-21 2006-06-20 Method for forming silicon-containing materials during a photoexcitation deposition process WO2007002040A2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2008518299A JP2009516906A (en) 2005-06-21 2006-06-20 Method for forming silicon-containing materials during a photo-excited deposition process

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/157,567 2005-06-21
US11/157,567 US7601652B2 (en) 2005-06-21 2005-06-21 Method for treating substrates and films with photoexcitation

Publications (2)

Publication Number Publication Date
WO2007002040A2 true WO2007002040A2 (en) 2007-01-04
WO2007002040A3 WO2007002040A3 (en) 2009-03-19

Family

ID=37573967

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2006/023915 WO2007002040A2 (en) 2005-06-21 2006-06-20 Method for forming silicon-containing materials during a photoexcitation deposition process

Country Status (5)

Country Link
US (1) US7601652B2 (en)
JP (1) JP2009516906A (en)
KR (1) KR20080027859A (en)
TW (1) TW200710963A (en)
WO (1) WO2007002040A2 (en)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010539730A (en) * 2007-09-18 2010-12-16 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Method for forming a silicon-containing film
JP2011504651A (en) * 2007-10-22 2011-02-10 アプライド マテリアルズ インコーポレイテッド Method for forming a silicon oxide layer on a substrate
WO2011048866A1 (en) * 2009-10-19 2011-04-28 セントラル硝子株式会社 Oligomethyl germane compound for amorphous semiconductor film, and film formation gas using same
US7964858B2 (en) 2008-10-21 2011-06-21 Applied Materials, Inc. Ultraviolet reflector with coolant gas holes and method
JP2012504867A (en) * 2008-10-01 2012-02-23 アプライド マテリアルズ インコーポレイテッド Method for forming silicon nitride film or silicon carbide film
US8227032B2 (en) 2005-03-17 2012-07-24 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon oxide containing films
US8501637B2 (en) 2007-12-21 2013-08-06 Asm International N.V. Silicon dioxide thin films by ALD
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
WO2015100292A1 (en) * 2013-12-26 2015-07-02 Applied Materials, Inc. Photo-assisted deposition of flowable films
US9343317B2 (en) 2013-07-01 2016-05-17 Micron Technology, Inc. Methods of forming silicon-containing dielectric materials and semiconductor device structures
US10242864B2 (en) 2012-04-12 2019-03-26 Versum Materials Us, Llc High temperature atomic layer deposition of silicon oxide thin films

Families Citing this family (341)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US7465943B2 (en) * 2005-12-08 2008-12-16 Asml Netherlands B.V. Controlling the flow through the collector during cleaning
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US8513626B2 (en) * 2007-01-12 2013-08-20 Applied Materials, Inc. Method and apparatus for reducing patterning effects on a substrate during radiation-based heating
US20090096106A1 (en) * 2007-10-12 2009-04-16 Air Products And Chemicals, Inc. Antireflective coatings
US20090194026A1 (en) * 2008-01-31 2009-08-06 Burrows Brian H Processing system for fabricating compound nitride semiconductor devices
US20090194024A1 (en) * 2008-01-31 2009-08-06 Applied Materials, Inc. Cvd apparatus
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
CN102498557A (en) * 2009-08-05 2012-06-13 应用材料公司 CVD apparatus
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110052797A1 (en) * 2009-08-26 2011-03-03 International Business Machines Corporation Low Temperature Plasma-Free Method for the Nitridation of Copper
JP2011082493A (en) * 2009-09-14 2011-04-21 Hitachi Kokusai Electric Inc Method of manufacturing semiconductor device and substrate processing apparatus
KR101053225B1 (en) * 2009-09-30 2011-08-01 주식회사 아토 Thin film deposition method
US8703625B2 (en) * 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
JP5651451B2 (en) * 2010-03-16 2015-01-14 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus
JP4854794B2 (en) * 2010-03-18 2012-01-18 三井造船株式会社 Thin film forming equipment
US20110237051A1 (en) * 2010-03-26 2011-09-29 Kenneth Lee Hess Process and apparatus for deposition of multicomponent semiconductor layers
US8608035B2 (en) 2010-04-22 2013-12-17 Novellus Systems, Inc. Purge ring with split baffles for photonic thermal processing systems
JP4967066B2 (en) * 2010-04-27 2012-07-04 東京エレクトロン株式会社 Method and apparatus for forming amorphous silicon film
JP5373142B2 (en) * 2010-04-27 2013-12-18 東京エレクトロン株式会社 Method and apparatus for forming amorphous silicon film
JP5864668B2 (en) * 2010-10-29 2016-02-17 東京エレクトロン株式会社 Method for forming silicon film on object to be processed having concave portion
JP5544343B2 (en) * 2010-10-29 2014-07-09 東京エレクトロン株式会社 Deposition equipment
WO2012067455A1 (en) * 2010-11-17 2012-05-24 주식회사 유피케미칼 Thin film deposition method using silicon precursor compound
US20120270384A1 (en) * 2011-04-22 2012-10-25 Applied Materials, Inc. Apparatus for deposition of materials on a substrate
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI477643B (en) * 2011-09-20 2015-03-21 Air Prod & Chem Oxygen containing precursors for photovoltaic passivation
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5793398B2 (en) * 2011-10-28 2015-10-14 東京エレクトロン株式会社 Method for forming seed layer and method for forming silicon-containing thin film
US8728955B2 (en) * 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
KR20140129231A (en) 2012-02-21 2014-11-06 어플라이드 머티어리얼스, 인코포레이티드 Atomic layer deposition lithography
JP6041527B2 (en) * 2012-05-16 2016-12-07 キヤノン株式会社 Liquid discharge head
US9337018B2 (en) * 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10480077B2 (en) * 2013-03-13 2019-11-19 Applied Materials, Inc. PEALD apparatus to enable rapid cycling
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
CN105849221B (en) 2013-09-27 2019-06-18 乔治洛德方法研究和开发液化空气有限公司 The trimethylsilyl amine and three-dimethylamino silane ylamine compounds that amine replaces
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP5710819B2 (en) * 2014-03-28 2015-04-30 東京エレクトロン株式会社 Method and apparatus for forming amorphous silicon film
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10204764B2 (en) 2014-10-28 2019-02-12 Applied Materials, Inc. Methods for forming a metal silicide interconnection nanowire structure
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
JP6010161B2 (en) * 2015-03-04 2016-10-19 東京エレクトロン株式会社 Method and apparatus for forming amorphous silicon film
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) * 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6817845B2 (en) * 2017-02-22 2021-01-20 株式会社Kokusai Electric Semiconductor device manufacturing methods, substrate processing devices and programs
US10242866B2 (en) * 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102616070B1 (en) * 2017-04-07 2023-12-19 어플라이드 머티어리얼스, 인코포레이티드 Surface modification to improve amorphous silicon gapfill
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
JP6817883B2 (en) * 2017-04-25 2021-01-20 東京エレクトロン株式会社 Film formation method
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
JP6452787B2 (en) * 2017-11-15 2019-01-16 東京エレクトロン株式会社 Method and apparatus for forming silicon oxycarbonitride film
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (en) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 Apparatus including a clean mini environment
JP7214724B2 (en) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. Storage device for storing wafer cassettes used in batch furnaces
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
JP6860514B2 (en) * 2018-03-14 2021-04-14 株式会社東芝 MEMS device and its manufacturing method
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
SG11202008268RA (en) 2018-03-19 2020-10-29 Applied Materials Inc Methods for depositing coatings on aerospace components
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
EP3784815A4 (en) 2018-04-27 2021-11-03 Applied Materials, Inc. Protection of components from corrosion
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
KR20190129718A (en) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
JP2021529880A (en) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
KR20210109046A (en) 2019-01-24 2021-09-03 어플라이드 머티어리얼스, 인코포레이티드 Methods for depositing silicon nitride
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11649560B2 (en) 2019-06-20 2023-05-16 Applied Materials, Inc. Method for forming silicon-phosphorous materials
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2021097227A (en) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride layer and structure including vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
WO2022005696A1 (en) 2020-07-03 2022-01-06 Applied Materials, Inc. Methods for refurbishing aerospace components
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
JP7458296B2 (en) 2020-10-28 2024-03-29 大陽日酸株式会社 Halogenated amino disilane compound, silicon-containing thin film forming composition, and silicon-containing thin film
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5648114A (en) * 1991-12-13 1997-07-15 Symetrix Corporation Chemical vapor deposition process for fabricating layered superlattice materials
US20030104707A1 (en) * 2001-11-16 2003-06-05 Yoshihide Senzaki System and method for improved thin dielectric films
US20040164293A1 (en) * 2000-06-06 2004-08-26 Maloney David J. Method of making barrier layers
US20050241567A1 (en) * 2002-05-23 2005-11-03 Mihai Scarlete Ceramic thin film on various substrates, and process for producing same
US7125582B2 (en) * 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
US20070275193A1 (en) * 2004-02-13 2007-11-29 Desimone Joseph M Functional Materials and Novel Methods for the Fabrication of Microfluidic Devices

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4811684A (en) * 1984-11-26 1989-03-14 Semiconductor Energy Laboratory Co., Ltd. Photo CVD apparatus, with deposition prevention in light source chamber
US4720395A (en) * 1986-08-25 1988-01-19 Anicon, Inc. Low temperature silicon nitride CVD process
KR910003742B1 (en) * 1986-09-09 1991-06-10 세미콘덕터 에너지 라보라터리 캄파니 리미티드 Cvd apparatus
JPH0864559A (en) 1994-06-14 1996-03-08 Fsi Internatl Inc Method of deleting unnecessary substance from substrate surface
US5718495A (en) * 1996-11-26 1998-02-17 Lee; Bok Woo Waste bin having finger pressure member
US6265033B1 (en) * 1998-09-11 2001-07-24 Donald Bennett Hilliard Method for optically coupled vapor deposition
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6559039B2 (en) * 2001-05-15 2003-05-06 Applied Materials, Inc. Doped silicon deposition process in resistively heated single wafer chamber
US20040089610A1 (en) * 2002-11-08 2004-05-13 Melton Linda K. Method and apparatus for reducing scale, corrosion, and paraffin buildup in hydrocarbon piping and for reducing scale and corrosion in water piping
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US6841141B2 (en) 2002-09-26 2005-01-11 Advanced Technology Materials, Inc. System for in-situ generation of fluorine radicals and/or fluorine-containing interhalogen (XFn) compounds for use in cleaning semiconductor processing chambers
US7365029B2 (en) * 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
US7172792B2 (en) * 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7972663B2 (en) * 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
JP3855982B2 (en) * 2003-09-25 2006-12-13 セイコーエプソン株式会社 Cleaning method and cleaning device
US20050145177A1 (en) * 2003-12-30 2005-07-07 Mcswiney Michael Method and apparatus for low temperature silicon nitride deposition
US20060286819A1 (en) * 2005-06-21 2006-12-21 Applied Materials, Inc. Method for silicon based dielectric deposition and clean with photoexcitation

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5648114A (en) * 1991-12-13 1997-07-15 Symetrix Corporation Chemical vapor deposition process for fabricating layered superlattice materials
US20040164293A1 (en) * 2000-06-06 2004-08-26 Maloney David J. Method of making barrier layers
US20030104707A1 (en) * 2001-11-16 2003-06-05 Yoshihide Senzaki System and method for improved thin dielectric films
US20050241567A1 (en) * 2002-05-23 2005-11-03 Mihai Scarlete Ceramic thin film on various substrates, and process for producing same
US7125582B2 (en) * 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
US20070275193A1 (en) * 2004-02-13 2007-11-29 Desimone Joseph M Functional Materials and Novel Methods for the Fabrication of Microfluidic Devices

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8613976B2 (en) 2005-03-17 2013-12-24 L'Air Liquide, SociétéAnonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming silicon oxide containing films
US8227032B2 (en) 2005-03-17 2012-07-24 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon oxide containing films
KR101542267B1 (en) * 2007-09-18 2015-08-06 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Method of forming silicon-containing films
JP2010539730A (en) * 2007-09-18 2010-12-16 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Method for forming a silicon-containing film
JP2011504651A (en) * 2007-10-22 2011-02-10 アプライド マテリアルズ インコーポレイテッド Method for forming a silicon oxide layer on a substrate
JP2013243375A (en) * 2007-10-22 2013-12-05 Applied Materials Inc Methods for forming silicon oxide layer over substrate
US8501637B2 (en) 2007-12-21 2013-08-06 Asm International N.V. Silicon dioxide thin films by ALD
JP2012504867A (en) * 2008-10-01 2012-02-23 アプライド マテリアルズ インコーポレイテッド Method for forming silicon nitride film or silicon carbide film
US7964858B2 (en) 2008-10-21 2011-06-21 Applied Materials, Inc. Ultraviolet reflector with coolant gas holes and method
US8338809B2 (en) 2008-10-21 2012-12-25 Applied Materials, Inc. Ultraviolet reflector with coolant gas holes and method
WO2011048866A1 (en) * 2009-10-19 2011-04-28 セントラル硝子株式会社 Oligomethyl germane compound for amorphous semiconductor film, and film formation gas using same
JP2011086862A (en) * 2009-10-19 2011-04-28 Central Glass Co Ltd Oligomethyl germane compound for amorphous semiconductor film, and film formation gas using the same
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US10242864B2 (en) 2012-04-12 2019-03-26 Versum Materials Us, Llc High temperature atomic layer deposition of silicon oxide thin films
US9343317B2 (en) 2013-07-01 2016-05-17 Micron Technology, Inc. Methods of forming silicon-containing dielectric materials and semiconductor device structures
US10121966B2 (en) 2013-07-01 2018-11-06 Micron Technology, Inc. Semiconductor device structures including silicon-containing dielectric materials
US10468595B2 (en) 2013-07-01 2019-11-05 Micron Technology, Inc. Semiconductor device structures including silicon-containing dielectric materials
US10930846B2 (en) 2013-07-01 2021-02-23 Micron Technology, Inc. Methods of forming silicon-containing dielectric materials and methods of forming a semiconductor device comprising nitrogen radicals and oxygen-containing, silicon-containing, or carbon-containing precursors
KR20160102065A (en) * 2013-12-26 2016-08-26 어플라이드 머티어리얼스, 인코포레이티드 Photo-assisted deposition of flowable films
WO2015100292A1 (en) * 2013-12-26 2015-07-02 Applied Materials, Inc. Photo-assisted deposition of flowable films
US10460936B2 (en) 2013-12-26 2019-10-29 Applied Materials, Inc. Photo-assisted deposition of flowable films
KR102335891B1 (en) * 2013-12-26 2021-12-03 어플라이드 머티어리얼스, 인코포레이티드 Photo-assisted deposition of flowable films

Also Published As

Publication number Publication date
TW200710963A (en) 2007-03-16
KR20080027859A (en) 2008-03-28
US20060286820A1 (en) 2006-12-21
WO2007002040A3 (en) 2009-03-19
JP2009516906A (en) 2009-04-23
US7601652B2 (en) 2009-10-13

Similar Documents

Publication Publication Date Title
US7648927B2 (en) Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) Method for forming silicon-containing materials during a photoexcitation deposition process
WO2007002040A2 (en) Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286819A1 (en) Method for silicon based dielectric deposition and clean with photoexcitation
KR100943113B1 (en) Method for silicon nitride chemical vapor deposition
US7629267B2 (en) High stress nitride film and method for formation thereof
KR100849468B1 (en) Low thermal budget silicon nitride formation for advance transistor fabrication
US7473655B2 (en) Method for silicon based dielectric chemical vapor deposition
US7396743B2 (en) Low temperature epitaxial growth of silicon-containing films using UV radiation
US7629270B2 (en) Remote plasma activated nitridation
US20050118837A1 (en) Method to form ultra high quality silicon-containing compound layers
JP2008529306A (en) Etch treatment process for substrate and chamber surfaces
JP2004119629A (en) Method of manufacturing silicon nitride film or silicon oxynitride film by thermal chemical vapor deposition method
KR102311055B1 (en) Methods for enhancing growth rates for selective epitaxial growth
TWI768245B (en) Methods and apparatus for silicon-germanium pre-clean
KR100938301B1 (en) Etchant treatment processes for substrate surfaces and chamber surfaces
US9704708B2 (en) Halogenated dopant precursors for epitaxy
KR20210011436A (en) Pulsed plasma deposition etching step coverage improvement
KR101176668B1 (en) Low temperature epitaxial growth of silicon-containing films using uv radiation
KR20200073452A (en) A Method of Silicon Insulating Film Deposition at Low Temperature

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application
ENP Entry into the national phase

Ref document number: 2008518299

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 1020087001526

Country of ref document: KR

122 Ep: pct application non-entry in european phase

Ref document number: 06785152

Country of ref document: EP

Kind code of ref document: A2