WO2006026010A2 - Forming abrupt source drain metal gate transistors - Google Patents

Forming abrupt source drain metal gate transistors Download PDF

Info

Publication number
WO2006026010A2
WO2006026010A2 PCT/US2005/027102 US2005027102W WO2006026010A2 WO 2006026010 A2 WO2006026010 A2 WO 2006026010A2 US 2005027102 W US2005027102 W US 2005027102W WO 2006026010 A2 WO2006026010 A2 WO 2006026010A2
Authority
WO
WIPO (PCT)
Prior art keywords
trench
forming
layer
substrate
gate
Prior art date
Application number
PCT/US2005/027102
Other languages
French (fr)
Other versions
WO2006026010A3 (en
Inventor
Nick Lindert
Suman Datta
Jack Kavalieros
Mark Doczy
Matthew Metz
Justin Brask
Robert Chau
Mark Bohr
Anand Murthy
Original Assignee
Intel Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corporation filed Critical Intel Corporation
Priority to CN2005800282721A priority Critical patent/CN101006569B/en
Publication of WO2006026010A2 publication Critical patent/WO2006026010A2/en
Publication of WO2006026010A3 publication Critical patent/WO2006026010A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers

Definitions

  • the present invention relates to methods for making semiconductor devices, in particular, semiconductor devices with metal gate electrodes.
  • MOS field-effect transistors with very thin gate dielectrics made from silicon dioxide may experience unacceptable gate leakage currents.
  • Forming the gate dielectric from certain high dielectric constant (K) dielectric materials, instead of silicon dioxide, can reduce gate leakage.
  • high-k dielectric means having a dielectric constant higher than 10.
  • metal gate electrodes may be used in devices that include high-k gate dielectrics.
  • a replacement gate process may be used to form gate electrodes from different metals. In that process, a first polysilicon layer, bracketed by a pair of spacers, is removed selectively to a second polysilicon layer to create a trench between the spacers. The trench is filled with a first metal. The second polysilicon layer is then removed, and replaced with a second metal that differs from the first metal.
  • Figures 1-9 represent cross-sections of structures that may be formed when carrying out an embodiment of the present invention.
  • Figures 10-12 represent cross-sections of structures that may be formed when carrying out an embodiment of the present invention.
  • Figures 13-14 represent cross-sections of structures that may be formed when carrying out an embodiment of the present invention
  • Figure 15 is a plot of dopant concentration versus distance for one embodiment of the present invention.
  • a dummy dielectric layer 19 is formed on substrate 10, shown in Figure 1.
  • the layer 19 may be 20-30 Angstroms of silicon dioxide in one embodiment.
  • Substrate 10 may comprise a bulk silicon or silicon-on-insulator substructure.
  • substrate 10 may comprise other materials ⁇ which may or may not be combined with silicon - such as: germanium, indium antimonide, lead telluride, indium arsenide, indium phosphide, gallium arsenide, or gallium antimonide.
  • germanium, indium antimonide, lead telluride, indium arsenide, indium phosphide, gallium arsenide, or gallium antimonide Although a few examples of materials from which substrate 10 may be formed are described here, any material that may serve as a foundation upon which a semiconductor device may be built falls within the spirit and scope of the present invention.
  • a sacrificial layer 18 is formed on the dummy dielectric layer 19.
  • Sacrificial layer 18 may comprise polysilicon in one embodiment.
  • Sacrificial layer 18 may be, for example, between about 100 and about 2,000 Angstroms thick, and, in one embodiment, between about 500 and about 1 ,600 Angstroms thick.
  • the patterned sacrificial layer 18 may be used as a mask for ion implanting the shallow source drain regions 14, as shown in Figure 2.
  • the depicted structure may be applicable to the formation of either NMOS or PMOS transistors of a complementary metal oxide semiconductor integrated circuit.
  • sidewall spacers 17 and 16 may be formed on opposite sides of sacrificial layer 18 as shown in Figure 3.
  • the spacer 16 comprises silicon nitride
  • it may be formed in the following way. First, a silicon nitride layer of substantially uniform thickness, for example, less than about 1000 Angstroms thick - is deposited over the entire structure. Conventional deposition processes may be used to generate that structure.
  • the silicon nitride layer is deposited directly on substrate 10 and opposite sides of sacrificial layer 18 after first forming a buffer oxide layer 17 on substrate 10 and layer 18.
  • the silicon nitride layer may be etched using a conventional process for anisotropically etching silicon nitride. As a result of that etch step, sacrificial layer 18 is bracketed by a pair of sidewall spacers 16, 17.
  • the anneal will activate the dopants that were previously introduced into the deep source and drain regions 12 and shallow regions 14 and into sacrificial layer 18.
  • a rapid thermal anneal is applied that takes place at a temperature that exceeds about 1 ,000 0 C - and, optimally, that takes place at 1 ,080 0 C.
  • dielectric layer 20 may be deposited over the device, generating the Figure 4 structure.
  • Dielectric layer 20 may, for example, comprise silicon dioxide, or a low-k material.
  • Dielectric layer 20 may be doped with phosphorus, boron, or other elements, and may be formed using a high density plasma deposition process.
  • Dielectric layer 20 is removed from patterned sacrificial layer 18 as shown in Figure 4.
  • a conventional chemical mechanical polishing (“CMP") operation may be applied to remove that part of dielectric layer 20.
  • sacrificial layer 18 is removed to generate trench 22 that is positioned between sidewall spacers 16, 17, producing the structure shown in Figure 5.
  • a wet etch process that is selective for one conductivity layer 18 over sacrificial layers of a different conductivity is applied without removing significant portions of opposite conductivity type sacrificial layers.
  • such a wet etch process may comprise exposing sacrificial layer 18 to an aqueous solution that comprises a source of hydroxide for a sufficient time at a sufficient temperature to remove substantially all of layer 18.
  • That source of hydroxide may comprise between about 2 and about 30 percent ammonium hydroxide or a tetraalkyl ammonium hydroxide, e.g., tetramethyl ammonium hydroxide ("TMAH”), by volume in deionized water.
  • TMAH tetramethyl ammonium hydroxide
  • Any remaining sacrificial layer 18 may be selectively removed by exposing it to a solution, which is maintained at a temperature between about 15 0 C and about 90 0 C (for example, below about 40 0 C), that comprises between about 2 and about 30 percent ammonium hydroxide by volume in deionized water. During that exposure step, which preferably lasts at least one minute, it may be desirable to apply sonic energy at a frequency of between about 10 kHz and about 2,000 kHz, while dissipating at between about 1 and about 10 Watts/cm 2 . In one embodiment, sacrificial layer 18, with a thickness of about 1 ,350
  • Angstroms may be selectively removed by exposing it at about 25°C for about 30 minutes to a solution that comprises about 15 percent ammonium hydroxide by volume in deionized water, while applying sonic energy at about 1 ,000 kHz - dissipating at about 5 Watts/cm 2 .
  • sacrificial layer 18 may be selectively removed by exposing it for at least one minute to a solution, which is maintained at a temperature between about 6O 0 C and about 90 0 C, that comprises between about 20 and about 30 percent TMAH by volume in deionized water, while applying sonic energy.
  • the dummy gate dielectric layer 19 may be sufficiently thick to prevent the etchant that is applied to remove sacrificial layer 18 from reaching the channel region that is located beneath dummy dielectric layer 19.
  • sidewall spacers 24 may be formed within the trench 22.
  • a wet etch may be utilized to remove the thin dielectric layer 19. For example, hydrofluoric acid may be utilized.
  • a dry etch may be used to etch the silicon in the channel region that is exposed by the opening between the spacers 24.
  • the dry etch may use sulfur hexafluoride (SF6), chlorine, or NF3.
  • SF6 sulfur hexafluoride
  • NF3 nitrogen hexafluoride
  • a portion of the trench 26 may be filled up to the level of the upper surface of the shallow source drain 14 with epitaxial material 28.
  • the material 28 may, for example, be germanium, silicon germanium, InSb, or carbon-doped silicon, to mention a few examples.
  • an n-type epitaxial layer of Sii. x Ge x with 1 E19cm "3 doping levels may develop compressive stress in the direction of current flow.
  • the material 28 may be heavily doped at the base and lightly doped at the surface in one embodiment. In other embodiments, the material 28 may be uniformly undoped, lightly doped, or heavily doped. A p-type selective epitaxial region may be utilized in PMOS structures.
  • the spacers 24 may be removed, for example, using phosphoric acid, and the underlying, remaining portions of the gate dielectric 19 may also be removed.
  • a thin oxide (not shown), less than 30 nanometers, may be grown at low temperature or may be chemically grown to protect the epitaxially grown material 28. Phosphoric acid is selective of such an oxide.
  • a U-shaped high-k dielectric layer 32 may be formed.
  • Some of the materials that may be used to make high-k gate dielectric layer 32 include: hafnium oxide, hafnium silicon oxide, lanthanum oxide, lanthanum aluminum oxide, zirconium oxide, zirconium silicon oxide, tantalum oxide, titanium oxide, barium strontium titanium oxide, barium titanium oxide, strontium titanium oxide, yttrium oxide, aluminum oxide, lead scandium tantalum oxide, and lead zinc niobate. Particularly preferred are hafnium oxide, zirconium oxide, titanium oxide and aluminum oxide.
  • high-k gate dielectric layer 32 Although a few examples of materials that may be used to form high-k gate dielectric layer 32 are described here, that layer may be made from other materials that serve to reduce gate leakage.
  • the layer 32 has a dielectric constant higher than 10 and from 15 to 25 in one embodiment of the present invention.
  • High-k gate dielectric layer 32 may be formed on the material 28 using a conventional deposition method, e.g., a conventional chemical vapor deposition ("CVD”), low pressure CVD, or physical vapor deposition (“PVD”) process.
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • a conventional atomic layer CVD process is used.
  • a metal oxide precursor e.g., a metal chloride
  • steam may be fed at selected flow rates into a CVD reactor, which is then operated at a selected temperature and pressure to generate an atomically smooth interface between material 28 and high-k gate dielectric layer 32.
  • the CVD reactor should be operated long enough to form a layer with the desired thickness.
  • high-k gate dielectric layer 32 may be less than about 60 Angstroms thick, for example, and, in one embodiment, between about 5 Angstroms and about 40 Angstroms thick.
  • N-type metal layer 30 may be formed on the layer 32 in an NMOS example.
  • the layer 30 may comprise any n-type conductive material from which a metal NMOS gate electrode may be derived.
  • N-type metal layer 30 preferably has thermal stability characteristics that render it suitable for making a metal NMOS gate electrode for a semiconductor device.
  • n-type metal layer 30 Materials that may be used to form n-type metal layer 30 include: hafnium, zirconium, titanium, tantalum, aluminum, and their alloys, e.g., metal carbides that include these elements, i.e., hafnium carbide, zirconium carbide, titanium carbide, tantalum carbide, and aluminum carbide.
  • N-type metal layer 30 may be formed on first high-k gate dielectric layer 32 using well known PVD or CVD processes, e.g., conventional sputter or atomic layer CVD processes. As shown in Figure 9, n-type metal layer 30 is removed except where it fills trench 26. Layer 30 may be removed from other portions of the device via a wet or dry etch process, or an appropriate CMP operation. Dielectric layer 32 may serve as an etch or polish stop.
  • N-type metal layer 30 may serve as a metal NMOS gate electrode that has a workfunction that is between about 3.9 eV and about 4.2 eV, and that is between about 25 Angstroms and about 2,000 Angstroms thick and, in one embodiment, may particularly be between about 500 Angstroms and about 1 ,600 Angstroms thick.
  • the resulting structure has channel stress extending outwardly in the direction of current flow towards the source and drain.
  • the stress occurs because the silicon germanium lattice is bigger than the silicon lattice.
  • the germanium concentration may be tailored to achieve the maximum amount of stress.
  • the sacrificial layer 18 for the PMOS device is removed to generate the trench that is positioned between sidewall spacers for the PMOS devices.
  • the PMOS sacrificial layer 18 is exposed to a solution that comprises between about 20 and about 30 percent TMAH by volume in deionized water for a sufficient time at a sufficient temperature (e.g., between about 6O 0 C and about 90 0 C), while applying sonic energy, to remove all of the PMOS sacrificial layer without removing significant portions of n-type metal layer.
  • a dry etch process may be applied to selectively remove the
  • such a dry etch process may comprise exposing sacrificial layer 106 to a plasma derived from sulfur hexafluohde ("SF 6 "), hydrogen bromide (“HBr”), hydrogen iodide (“HI”), chlorine, argon, and/or helium.
  • SF 6 sulfur hexafluohde
  • HBr hydrogen bromide
  • HI hydrogen iodide
  • chlorine, argon, and/or helium a plasma derived from sulfur hexafluohde
  • HBr hydrogen bromide
  • HI hydrogen iodide
  • the PMOS sacrificial layer 18 may be replaced by the PMOS layer 30 as described in connection with the n-type layer.
  • the p-type metal layer 30 may comprise any p-type conductive material from which a metal PMOS gate electrode may be derived.
  • the p-type metal layer preferably has thermal stability characteristics that render it suitable for making a metal PMOS gate electrode for a semiconductor device.
  • p-type metal layer 30 Materials that may be used to form p-type metal layer 30 include: ruthenium, palladium, platinum, cobalt, nickel, and conductive metal oxides, e.g., ruthenium oxide.
  • P-type metal layer 30 may be formed on the second high-k gate dielectric layer using well known PVD or CVD processes, e.g., conventional sputter or atomic layer CVD processes. The p-type metal layer is removed except where it fills the trench. Layer 30 may be removed from other portions of the device via a wet or dry etch process, or an appropriate CMP operation, with dielectric layer 32 serving as an etch or polish stop.
  • P-type metal layer 30 may serve as a metal PMOS gate electrode with a workfunction that is between about 4.9 eV and about 5.2 eV, and that is between about 100 Angstroms and about 2,000 Angstroms thick, and more preferably is between about 500 Angstroms and about 1 ,600 Angstroms thick.
  • source drain extension doping 36 is applied initially by ion implanting arsenic to form a source drain extension in the substrate 10.
  • the sacrificial layer 18 and a gate dielectric 19 may be deposited and patterned as shown in Figure 11. Then the structure shown in Figure 12 may be produced (corresponding to the structure of Figure 4 and using the same techniques). The rest of the process may proceed as explained previously. Namely, the layer 18 may be removed, as indicated in Figure 13, and a trench formed using the spacers 24 as a mask. The epitaxial material 28 fills the lower portion of the trench 26. A gate dielectric 32 is applied and the trench is filled with the gate electrode 30 as shown in Figure 14.

Abstract

A gate structure may be utilized as a mask to form source and drain regions. Then the gate structure may be removed to form a gap and spacers may be formed in the gap to define a trench. In the process of forming a trench into the substrate, a portion of the source drain region is removed. Then the substrate is filled back up with an epitaxial material and a new gate structure is formed thereover. As a result, more abrupt source drain junctions may be achieved.

Description

FORMING ABRUPT SOURCE DRAIN METAL GATE TRANSISTORS
Background
The present invention relates to methods for making semiconductor devices, in particular, semiconductor devices with metal gate electrodes.
MOS field-effect transistors with very thin gate dielectrics made from silicon dioxide may experience unacceptable gate leakage currents. Forming the gate dielectric from certain high dielectric constant (K) dielectric materials, instead of silicon dioxide, can reduce gate leakage. As used herein, high-k dielectric means having a dielectric constant higher than 10.
Because such a high-k dielectric layer may not be compatible with polysilicon, it may be desirable to use metal gate electrodes in devices that include high-k gate dielectrics. When making a CMOS device that includes metal gate electrodes, it may be necessary to make the NMOS and PMOS gate electrodes from different materials. A replacement gate process may be used to form gate electrodes from different metals. In that process, a first polysilicon layer, bracketed by a pair of spacers, is removed selectively to a second polysilicon layer to create a trench between the spacers. The trench is filled with a first metal. The second polysilicon layer is then removed, and replaced with a second metal that differs from the first metal.
Thus, there is a need for alternate ways to form replacement metal gate electrodes.
Brief Description of the Drawings
Figures 1-9 represent cross-sections of structures that may be formed when carrying out an embodiment of the present invention;
Figures 10-12 represent cross-sections of structures that may be formed when carrying out an embodiment of the present invention;
Figures 13-14 represent cross-sections of structures that may be formed when carrying out an embodiment of the present invention; and Figure 15 is a plot of dopant concentration versus distance for one embodiment of the present invention.
Features shown in these figures are not intended to be drawn to scale. Detailed Description
Initially, a dummy dielectric layer 19 is formed on substrate 10, shown in Figure 1. The layer 19 may be 20-30 Angstroms of silicon dioxide in one embodiment. Substrate 10 may comprise a bulk silicon or silicon-on-insulator substructure. Alternatively, substrate 10 may comprise other materials ~ which may or may not be combined with silicon - such as: germanium, indium antimonide, lead telluride, indium arsenide, indium phosphide, gallium arsenide, or gallium antimonide. Although a few examples of materials from which substrate 10 may be formed are described here, any material that may serve as a foundation upon which a semiconductor device may be built falls within the spirit and scope of the present invention.
A sacrificial layer 18 is formed on the dummy dielectric layer 19. Sacrificial layer 18 may comprise polysilicon in one embodiment. Sacrificial layer 18 may be, for example, between about 100 and about 2,000 Angstroms thick, and, in one embodiment, between about 500 and about 1 ,600 Angstroms thick.
Conventional wet or dry etch processes may be used to remove unprotected parts of the sacrificial layer 18 and dummy oxide layer 19.
The patterned sacrificial layer 18 may be used as a mask for ion implanting the shallow source drain regions 14, as shown in Figure 2. The depicted structure may be applicable to the formation of either NMOS or PMOS transistors of a complementary metal oxide semiconductor integrated circuit.
After forming the Figure 2 structure, sidewall spacers 17 and 16 may be formed on opposite sides of sacrificial layer 18 as shown in Figure 3. When the spacer 16 comprises silicon nitride, it may be formed in the following way. First, a silicon nitride layer of substantially uniform thickness, for example, less than about 1000 Angstroms thick - is deposited over the entire structure. Conventional deposition processes may be used to generate that structure.
In one embodiment, the silicon nitride layer is deposited directly on substrate 10 and opposite sides of sacrificial layer 18 after first forming a buffer oxide layer 17 on substrate 10 and layer 18. The silicon nitride layer may be etched using a conventional process for anisotropically etching silicon nitride. As a result of that etch step, sacrificial layer 18 is bracketed by a pair of sidewall spacers 16, 17.
As is typically done, it may be desirable to perform masking and ion implantation steps to create the deep source and drain regions 12, after forming spacers 16, 17, by implanting ions into the substrate 10, followed by applying an appropriate anneal step, as shown in Figure 3.
The anneal will activate the dopants that were previously introduced into the deep source and drain regions 12 and shallow regions 14 and into sacrificial layer 18. In a preferred embodiment, a rapid thermal anneal is applied that takes place at a temperature that exceeds about 1 ,0000C - and, optimally, that takes place at 1 ,0800C.
After forming spacers 16, 17, dielectric layer 20 may be deposited over the device, generating the Figure 4 structure. Dielectric layer 20 may, for example, comprise silicon dioxide, or a low-k material. Dielectric layer 20 may be doped with phosphorus, boron, or other elements, and may be formed using a high density plasma deposition process.
Dielectric layer 20 is removed from patterned sacrificial layer 18 as shown in Figure 4. A conventional chemical mechanical polishing ("CMP") operation may be applied to remove that part of dielectric layer 20.
After forming the Figure 4 structure, sacrificial layer 18 is removed to generate trench 22 that is positioned between sidewall spacers 16, 17, producing the structure shown in Figure 5.
In one embodiment, a wet etch process that is selective for one conductivity layer 18 over sacrificial layers of a different conductivity is applied without removing significant portions of opposite conductivity type sacrificial layers.
When sacrificial layer 18 is doped n-type, such a wet etch process may comprise exposing sacrificial layer 18 to an aqueous solution that comprises a source of hydroxide for a sufficient time at a sufficient temperature to remove substantially all of layer 18. That source of hydroxide may comprise between about 2 and about 30 percent ammonium hydroxide or a tetraalkyl ammonium hydroxide, e.g., tetramethyl ammonium hydroxide ("TMAH"), by volume in deionized water.
Any remaining sacrificial layer 18 may be selectively removed by exposing it to a solution, which is maintained at a temperature between about 150C and about 900C (for example, below about 400C), that comprises between about 2 and about 30 percent ammonium hydroxide by volume in deionized water. During that exposure step, which preferably lasts at least one minute, it may be desirable to apply sonic energy at a frequency of between about 10 kHz and about 2,000 kHz, while dissipating at between about 1 and about 10 Watts/cm2. In one embodiment, sacrificial layer 18, with a thickness of about 1 ,350
Angstroms, may be selectively removed by exposing it at about 25°C for about 30 minutes to a solution that comprises about 15 percent ammonium hydroxide by volume in deionized water, while applying sonic energy at about 1 ,000 kHz - dissipating at about 5 Watts/cm2. As an alternative, sacrificial layer 18 may be selectively removed by exposing it for at least one minute to a solution, which is maintained at a temperature between about 6O0C and about 900C, that comprises between about 20 and about 30 percent TMAH by volume in deionized water, while applying sonic energy. Removing sacrificial layer 104, with a thickness of about 1 ,350 Angstroms, by exposing it at about 8O0C for about 2 minutes to a solution that comprises about 25 percent TMAH by volume in deionized water, while applying sonic energy at about 1 ,000 kHz - dissipating at about 5 Watts/cm2 - may remove substantially all of layer 18 without removing a significant amount of the sacrificial layer for the opposite conductivity type transistor. The dummy gate dielectric layer 19 may be sufficiently thick to prevent the etchant that is applied to remove sacrificial layer 18 from reaching the channel region that is located beneath dummy dielectric layer 19.
Referring to Figure 6, sidewall spacers 24 may be formed within the trench 22. The spacers 24, which may be formed of nitride, form an offset from the final gate edge to allow overlap over the source drain regions. In one embodiment, the spacers 24 may be less than 10 nanometers wide. Next, a wet etch may be utilized to remove the thin dielectric layer 19. For example, hydrofluoric acid may be utilized. Then a dry etch may be used to etch the silicon in the channel region that is exposed by the opening between the spacers 24. In one embodiment, the dry etch may use sulfur hexafluoride (SF6), chlorine, or NF3. The result is a trench 26, which extends down to a depth approximately equal to the depth of a deep source drain region 12, in one embodiment of the present invention, as shown in Figure 7.
Then, as shown in Figure 8, a portion of the trench 26 may be filled up to the level of the upper surface of the shallow source drain 14 with epitaxial material 28. The material 28 may, for example, be germanium, silicon germanium, InSb, or carbon-doped silicon, to mention a few examples. For example, an n-type epitaxial layer of Sii.xGex, with 1 E19cm"3 doping levels may develop compressive stress in the direction of current flow.
The material 28 may be heavily doped at the base and lightly doped at the surface in one embodiment. In other embodiments, the material 28 may be uniformly undoped, lightly doped, or heavily doped. A p-type selective epitaxial region may be utilized in PMOS structures.
Then the spacers 24 may be removed, for example, using phosphoric acid, and the underlying, remaining portions of the gate dielectric 19 may also be removed. In one embodiment, a thin oxide (not shown), less than 30 nanometers, may be grown at low temperature or may be chemically grown to protect the epitaxially grown material 28. Phosphoric acid is selective of such an oxide.
As shown in Figure 9, a U-shaped high-k dielectric layer 32 may be formed. Some of the materials that may be used to make high-k gate dielectric layer 32 include: hafnium oxide, hafnium silicon oxide, lanthanum oxide, lanthanum aluminum oxide, zirconium oxide, zirconium silicon oxide, tantalum oxide, titanium oxide, barium strontium titanium oxide, barium titanium oxide, strontium titanium oxide, yttrium oxide, aluminum oxide, lead scandium tantalum oxide, and lead zinc niobate. Particularly preferred are hafnium oxide, zirconium oxide, titanium oxide and aluminum oxide. Although a few examples of materials that may be used to form high-k gate dielectric layer 32 are described here, that layer may be made from other materials that serve to reduce gate leakage. The layer 32 has a dielectric constant higher than 10 and from 15 to 25 in one embodiment of the present invention.
High-k gate dielectric layer 32 may be formed on the material 28 using a conventional deposition method, e.g., a conventional chemical vapor deposition ("CVD"), low pressure CVD, or physical vapor deposition ("PVD") process.
Preferably, a conventional atomic layer CVD process is used. In such a process, a metal oxide precursor (e.g., a metal chloride) and steam may be fed at selected flow rates into a CVD reactor, which is then operated at a selected temperature and pressure to generate an atomically smooth interface between material 28 and high-k gate dielectric layer 32. The CVD reactor should be operated long enough to form a layer with the desired thickness. In most applications, high-k gate dielectric layer 32 may be less than about 60 Angstroms thick, for example, and, in one embodiment, between about 5 Angstroms and about 40 Angstroms thick. N-type metal layer 30 may be formed on the layer 32 in an NMOS example. The layer 30 may comprise any n-type conductive material from which a metal NMOS gate electrode may be derived. N-type metal layer 30 preferably has thermal stability characteristics that render it suitable for making a metal NMOS gate electrode for a semiconductor device.
Materials that may be used to form n-type metal layer 30 include: hafnium, zirconium, titanium, tantalum, aluminum, and their alloys, e.g., metal carbides that include these elements, i.e., hafnium carbide, zirconium carbide, titanium carbide, tantalum carbide, and aluminum carbide. N-type metal layer 30 may be formed on first high-k gate dielectric layer 32 using well known PVD or CVD processes, e.g., conventional sputter or atomic layer CVD processes. As shown in Figure 9, n-type metal layer 30 is removed except where it fills trench 26. Layer 30 may be removed from other portions of the device via a wet or dry etch process, or an appropriate CMP operation. Dielectric layer 32 may serve as an etch or polish stop.
N-type metal layer 30 may serve as a metal NMOS gate electrode that has a workfunction that is between about 3.9 eV and about 4.2 eV, and that is between about 25 Angstroms and about 2,000 Angstroms thick and, in one embodiment, may particularly be between about 500 Angstroms and about 1 ,600 Angstroms thick.
The resulting structure has channel stress extending outwardly in the direction of current flow towards the source and drain. The stress occurs because the silicon germanium lattice is bigger than the silicon lattice. The germanium concentration may be tailored to achieve the maximum amount of stress.
After forming n-type metal layer 30, the sacrificial layer 18 for the PMOS device is removed to generate the trench that is positioned between sidewall spacers for the PMOS devices. In a preferred embodiment, the PMOS sacrificial layer 18 is exposed to a solution that comprises between about 20 and about 30 percent TMAH by volume in deionized water for a sufficient time at a sufficient temperature (e.g., between about 6O0C and about 900C), while applying sonic energy, to remove all of the PMOS sacrificial layer without removing significant portions of n-type metal layer. Alternatively, a dry etch process may be applied to selectively remove the
PMOS sacrificial layer 18. When the sacrificial layer 18 is doped p-type (e.g., with boron), such a dry etch process may comprise exposing sacrificial layer 106 to a plasma derived from sulfur hexafluohde ("SF6"), hydrogen bromide ("HBr"), hydrogen iodide ("HI"), chlorine, argon, and/or helium. Such a selective dry etch process may take place in a parallel plate reactor or in an electron cyclotron resonance etcher.
The PMOS sacrificial layer 18 may be replaced by the PMOS layer 30 as described in connection with the n-type layer. The p-type metal layer 30 may comprise any p-type conductive material from which a metal PMOS gate electrode may be derived. The p-type metal layer preferably has thermal stability characteristics that render it suitable for making a metal PMOS gate electrode for a semiconductor device.
Materials that may be used to form p-type metal layer 30 include: ruthenium, palladium, platinum, cobalt, nickel, and conductive metal oxides, e.g., ruthenium oxide. P-type metal layer 30 may be formed on the second high-k gate dielectric layer using well known PVD or CVD processes, e.g., conventional sputter or atomic layer CVD processes. The p-type metal layer is removed except where it fills the trench. Layer 30 may be removed from other portions of the device via a wet or dry etch process, or an appropriate CMP operation, with dielectric layer 32 serving as an etch or polish stop.
P-type metal layer 30 may serve as a metal PMOS gate electrode with a workfunction that is between about 4.9 eV and about 5.2 eV, and that is between about 100 Angstroms and about 2,000 Angstroms thick, and more preferably is between about 500 Angstroms and about 1 ,600 Angstroms thick.
Referring to Figure 10, in accordance with another embodiment of the present invention, source drain extension doping 36 is applied initially by ion implanting arsenic to form a source drain extension in the substrate 10.
Thereafter, the sacrificial layer 18 and a gate dielectric 19 may be deposited and patterned as shown in Figure 11. Then the structure shown in Figure 12 may be produced (corresponding to the structure of Figure 4 and using the same techniques). The rest of the process may proceed as explained previously. Namely, the layer 18 may be removed, as indicated in Figure 13, and a trench formed using the spacers 24 as a mask. The epitaxial material 28 fills the lower portion of the trench 26. A gate dielectric 32 is applied and the trench is filled with the gate electrode 30 as shown in Figure 14.
The approach shown in Figures 10-14 may produce even more abrupt source drain extensions. In this embodiment, extremely shallow extensions may be achieved without concern about providing the necessary gate underlap, thereby providing improved short channel control without increasing external resistance. The portion of the extension 36 under the channel is removed in the ensuing etch to form the trench 26. Referring to Figure 15, the region to the left of the epitaxial material 28, the source drain extension 36 doping is relatively high. The concentration abruptly changes, moving to the right at the inserted material 28, to a much lower dopant concentration corresponding to the amount of doping provided in the epitaxial material 28. While the present invention has been described with respect to a limited number of embodiments, those skilled in the art will appreciate numerous modifications and variations therefrom. It is intended that the appended claims cover all such modifications and variations as fall within the true spirit and scope of this present invention.

Claims

What is claimed is:
1. A method comprising: forming source drain doping in a substrate; forming a trench into said substrate to remove a portion of said source drain doping; filling said trench with a semiconductor material; and forming a gate electrode over said filled trench.
2. The method of claim 1 including forming a sacrificial gate structure between a pair of spacers.
3. The method of claim 2 including covering said structure with a dielectric.
4. The method of claim 3 including removing said gate structure.
5. The method of claim 4 including forming spacers within a gap left after removing said gate structure.
6. The method of claim 5 including using said spacers to etch a trench into said substrate through said gap.
7. The method of claim 6 including forming source drain regions before forming said trench and removing a portion of said source drain regions by forming said trench.
8. The method of claim 7 including depositing a semiconductor material into said trench to partially fill said trench.
9. The method of claim 8 including filling said trench to a level substantially equal to the level of said substrate.
10. The method of claim 9 including forming a gate dielectric and a gate electrode over said semiconductor material.
11. The method of claim 10 including filling said trench with an epitaxial material.
12. A method comprising: forming a first gate structure over a substrate; . using said gate structure to form a source drain dopant in a substrate; removing said first gate dielectric structure to form a gap; forming a spacer in said gap; forming a trench in said substrate using said spacer as a guide; filling said trench with a semiconductor material; and forming a second gate electrode structure over said filled trench.
13. The method of claim 12 including covering said first gate structure with a dielectric.
14. The method of claim 12 including depositing an epitaxial material into said trench.
15. The method of claim 12 including filling said trench with said semiconductor material to a level substantially equal to the level of said substrate.
16. The method of claim 12 including forming a gate dielectric under said second gate electrode structure over said semiconductor material filling said trench.
PCT/US2005/027102 2004-08-25 2005-07-29 Forming abrupt source drain metal gate transistors WO2006026010A2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN2005800282721A CN101006569B (en) 2004-08-25 2005-07-29 Forming abrupt source drain metal gate transistors

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/925,566 2004-08-25
US10/925,566 US7704833B2 (en) 2004-08-25 2004-08-25 Method of forming abrupt source drain metal gate transistors

Publications (2)

Publication Number Publication Date
WO2006026010A2 true WO2006026010A2 (en) 2006-03-09
WO2006026010A3 WO2006026010A3 (en) 2006-10-26

Family

ID=35519849

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2005/027102 WO2006026010A2 (en) 2004-08-25 2005-07-29 Forming abrupt source drain metal gate transistors

Country Status (5)

Country Link
US (2) US7704833B2 (en)
KR (1) KR100869771B1 (en)
CN (1) CN101006569B (en)
TW (1) TWI338348B (en)
WO (1) WO2006026010A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9263566B2 (en) 2011-07-19 2016-02-16 Semiconductor Manufacturing International (Beijing) Corporation Semiconductor device and manufacturing method thereof

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100574338B1 (en) * 2004-01-19 2006-04-26 삼성전자주식회사 Method for forming metal gate in semiconductor device
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7531404B2 (en) * 2005-08-30 2009-05-12 Intel Corporation Semiconductor device having a metal gate electrode formed on an annealed high-k gate dielectric layer
US8450165B2 (en) 2007-05-14 2013-05-28 Intel Corporation Semiconductor device having tipless epitaxial source/drain regions
US7713814B2 (en) * 2008-01-04 2010-05-11 International Business Machines Corporation Hybrid orientation substrate compatible deep trench capacitor embedded DRAM
US7892911B2 (en) * 2008-01-10 2011-02-22 Applied Materials, Inc. Metal gate electrodes for replacement gate integration scheme
US20090189201A1 (en) * 2008-01-24 2009-07-30 Chorng-Ping Chang Inward dielectric spacers for replacement gate integration scheme
US7964487B2 (en) * 2008-06-04 2011-06-21 International Business Machines Corporation Carrier mobility enhanced channel devices and method of manufacture
US8017997B2 (en) * 2008-12-29 2011-09-13 International Business Machines Corporation Vertical metal-insulator-metal (MIM) capacitor using gate stack, gate spacer and contact via
TWI419324B (en) * 2009-11-27 2013-12-11 Univ Nat Chiao Tung Semiconductor device with group iii-v channel and group iv source-drain and method for manufacturing the same
US8936976B2 (en) * 2009-12-23 2015-01-20 Intel Corporation Conductivity improvements for III-V semiconductor devices
DE102010002450B4 (en) * 2010-02-26 2012-04-26 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Transistors with large gate metal gate electrode structures and matched channel semiconductor materials
CN102194747A (en) * 2010-03-03 2011-09-21 中国科学院微电子研究所 Method for forming channel material
US8722482B2 (en) 2010-03-18 2014-05-13 Globalfoundries Inc. Strained silicon carbide channel for electron mobility of NMOS
JP4982582B2 (en) * 2010-03-31 2012-07-25 株式会社東芝 Mask manufacturing method
CN102237277B (en) * 2010-04-27 2014-03-19 中国科学院微电子研究所 Semiconductor device and method for forming same
CN102347234B (en) * 2010-07-29 2013-09-18 中国科学院微电子研究所 Structure of semiconductor device and manufacturing method thereof
CN102376551B (en) 2010-08-19 2015-12-16 中国科学院微电子研究所 The manufacture method of semiconductor device structure and structure thereof
CN102543744B (en) * 2010-12-29 2014-12-24 中芯国际集成电路制造(北京)有限公司 Transistor and manufacturing method thereof
JP2012146817A (en) * 2011-01-12 2012-08-02 Toshiba Corp Semiconductor device and method of manufacturing the same
CN102593172B (en) * 2011-01-14 2015-05-06 中国科学院微电子研究所 Semiconductor structure and manufacturing method thereof
CN102655092B (en) * 2011-03-01 2014-11-05 中芯国际集成电路制造(上海)有限公司 Preparation method of transistor
US8519487B2 (en) * 2011-03-21 2013-08-27 United Microelectronics Corp. Semiconductor device
CN102842506B (en) * 2011-06-23 2015-04-08 中国科学院微电子研究所 Forming method of stress semiconductor groove
CN102891175B (en) * 2011-07-19 2016-03-16 中芯国际集成电路制造(北京)有限公司 Semiconductor device and manufacture method thereof
US8994123B2 (en) * 2011-08-22 2015-03-31 Gold Standard Simulations Ltd. Variation resistant metal-oxide-semiconductor field effect transistor (MOSFET)
CN103123900B (en) * 2011-11-21 2015-09-02 中芯国际集成电路制造(上海)有限公司 FinFET manufacture method
CN103123899B (en) * 2011-11-21 2015-09-30 中芯国际集成电路制造(上海)有限公司 FinFET manufacture method
CN103137488B (en) * 2011-12-01 2015-09-30 中国科学院微电子研究所 Semiconductor device and manufacture method thereof
CN103295899B (en) * 2012-02-27 2016-03-30 中芯国际集成电路制造(上海)有限公司 FinFET manufacture method
KR101885242B1 (en) * 2012-03-02 2018-08-03 주성엔지니어링(주) A lighting emitting device and a manufacturing method thereof
US9373684B2 (en) * 2012-03-20 2016-06-21 Semiwise Limited Method of manufacturing variation resistant metal-oxide-semiconductor field effect transistor (MOSFET)
US9099492B2 (en) 2012-03-26 2015-08-04 Globalfoundries Inc. Methods of forming replacement gate structures with a recessed channel
US9269804B2 (en) * 2012-07-28 2016-02-23 Semiwise Limited Gate recessed FDSOI transistor with sandwich of active and etch control layers
US9190485B2 (en) * 2012-07-28 2015-11-17 Gold Standard Simulations Ltd. Fluctuation resistant FDSOI transistor with implanted subchannel
US9263568B2 (en) 2012-07-28 2016-02-16 Semiwise Limited Fluctuation resistant low access resistance fully depleted SOI transistor with improved channel thickness control and reduced access resistance
US8999831B2 (en) 2012-11-19 2015-04-07 International Business Machines Corporation Method to improve reliability of replacement gate device
US9012276B2 (en) 2013-07-05 2015-04-21 Gold Standard Simulations Ltd. Variation resistant MOSFETs with superior epitaxial properties
KR102065973B1 (en) * 2013-07-12 2020-01-15 삼성전자 주식회사 Semiconductor device and fabricating method thereof
CN104517822B (en) * 2013-09-27 2017-06-16 中芯国际集成电路制造(北京)有限公司 A kind of manufacture method of semiconductor devices
US9245971B2 (en) 2013-09-27 2016-01-26 Qualcomm Incorporated Semiconductor device having high mobility channel
US9614053B2 (en) 2013-12-05 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Spacers with rectangular profile and methods of forming the same
US9214553B2 (en) 2014-03-07 2015-12-15 Globalfoundries Inc. Methods of forming stressed channel regions for a FinFET semiconductor device and the resulting device
US9184179B2 (en) 2014-03-21 2015-11-10 International Business Machines Corporation Thin channel-on-insulator MOSFET device with n+ epitaxy substrate and embedded stressor
US20150333068A1 (en) 2014-05-14 2015-11-19 Globalfoundries Singapore Pte. Ltd. Thyristor random access memory
CN105336786B (en) * 2014-08-15 2019-05-21 中国科学院微电子研究所 Semiconductor devices and its manufacturing method
US9324831B2 (en) * 2014-08-18 2016-04-26 Globalfoundries Inc. Forming transistors without spacers and resulting devices
US9431485B2 (en) 2014-12-23 2016-08-30 GlobalFoundries, Inc. Formation of finFET junction
US11049939B2 (en) 2015-08-03 2021-06-29 Semiwise Limited Reduced local threshold voltage variation MOSFET using multiple layers of epi for improved device operation
EP3185300A1 (en) * 2015-12-21 2017-06-28 IMEC vzw Drain extension region for tunnel fet
US11373696B1 (en) 2021-02-19 2022-06-28 Nif/T, Llc FFT-dram

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000077658A (en) * 1998-08-28 2000-03-14 Toshiba Corp Manufacture of semiconductor device
US20020001930A1 (en) * 2000-06-29 2002-01-03 Hynix Semiconductor Inc. Method for fabricating a semiconductor device using a damascene process
US20020037619A1 (en) * 2000-09-22 2002-03-28 Kohei Sugihara Semiconductor device and method of producing the same
EP1391939A1 (en) * 2001-05-30 2004-02-25 Sony Corporation Method for manufacturing channel gate type field effect transistor

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0294477A (en) * 1988-09-30 1990-04-05 Toshiba Corp Semiconductor device and manufacture thereof
US5576227A (en) * 1994-11-02 1996-11-19 United Microelectronics Corp. Process for fabricating a recessed gate MOS device
US5937297A (en) * 1998-06-01 1999-08-10 Chartered Semiconductor Manufacturing, Ltd. Method for making sub-quarter-micron MOSFET
KR100275739B1 (en) * 1998-08-14 2000-12-15 윤종용 A transistor having a reverse self-aligned structure and method for fabricating thereof
FR2788629B1 (en) * 1999-01-15 2003-06-20 Commissariat Energie Atomique TRANSISTOR MIS AND METHOD FOR FABRICATING SUCH A TRANSISTOR ON A SEMICONDUCTOR SUBSTRATE
KR100400325B1 (en) * 2001-12-31 2003-10-01 주식회사 하이닉스반도체 Vertical transistor and method of manufacturing the same
KR100487922B1 (en) * 2002-12-06 2005-05-06 주식회사 하이닉스반도체 A transistor of a semiconductor device and a method for forming the same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000077658A (en) * 1998-08-28 2000-03-14 Toshiba Corp Manufacture of semiconductor device
US20020001930A1 (en) * 2000-06-29 2002-01-03 Hynix Semiconductor Inc. Method for fabricating a semiconductor device using a damascene process
US20020037619A1 (en) * 2000-09-22 2002-03-28 Kohei Sugihara Semiconductor device and method of producing the same
EP1391939A1 (en) * 2001-05-30 2004-02-25 Sony Corporation Method for manufacturing channel gate type field effect transistor

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
PATENT ABSTRACTS OF JAPAN vol. 2000, no. 06, 22 September 2000 (2000-09-22) -& JP 2000 077658 A (TOSHIBA CORP), 14 March 2000 (2000-03-14) *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9263566B2 (en) 2011-07-19 2016-02-16 Semiconductor Manufacturing International (Beijing) Corporation Semiconductor device and manufacturing method thereof

Also Published As

Publication number Publication date
US20060046399A1 (en) 2006-03-02
KR20070051922A (en) 2007-05-18
WO2006026010A3 (en) 2006-10-26
US7951673B2 (en) 2011-05-31
US20100151669A1 (en) 2010-06-17
US7704833B2 (en) 2010-04-27
TW200616152A (en) 2006-05-16
KR100869771B1 (en) 2008-11-21
TWI338348B (en) 2011-03-01
CN101006569A (en) 2007-07-25
CN101006569B (en) 2011-10-05

Similar Documents

Publication Publication Date Title
US7704833B2 (en) Method of forming abrupt source drain metal gate transistors
US7569443B2 (en) Complementary metal oxide semiconductor integrated circuit using raised source drain and replacement metal gate
US7183184B2 (en) Method for making a semiconductor device that includes a metal gate electrode
US7208361B2 (en) Replacement gate process for making a semiconductor device that includes a metal gate electrode
US7902058B2 (en) Inducing strain in the channels of metal gate transistors
US7422936B2 (en) Facilitating removal of sacrificial layers via implantation to form replacement metal gates
US7126199B2 (en) Multilayer metal gate electrode
US7138323B2 (en) Planarizing a semiconductor structure to form replacement metal gates
US8119508B2 (en) Forming integrated circuits with replacement metal gate electrodes
US7732285B2 (en) Semiconductor device having self-aligned epitaxial source and drain extensions
US7144783B2 (en) Reducing gate dielectric material to form a metal gate electrode extension
WO2006017190A1 (en) Forming dual metal complementary metal oxide semiconductor integrated circuits
US20060237801A1 (en) Compensating for induced strain in the channels of metal gate transistors
US20060046523A1 (en) Facilitating removal of sacrificial layers to form replacement metal gates
US7425490B2 (en) Reducing reactions between polysilicon gate electrodes and high dielectric constant gate dielectrics
US20060148150A1 (en) Tailoring channel dopant profiles
US20050287746A1 (en) Facilitating removal of sacrificial layers to form replacement metal gates

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KM KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NG NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SM SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LT LU LV MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 200580028272.1

Country of ref document: CN

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 1020077006761

Country of ref document: KR

122 Ep: pct application non-entry in european phase