WO2005098963A1 - A bulk non-planar transistor having a strained channel with enhanced mobility and methods of fabrication - Google Patents

A bulk non-planar transistor having a strained channel with enhanced mobility and methods of fabrication Download PDF

Info

Publication number
WO2005098963A1
WO2005098963A1 PCT/US2005/010505 US2005010505W WO2005098963A1 WO 2005098963 A1 WO2005098963 A1 WO 2005098963A1 US 2005010505 W US2005010505 W US 2005010505W WO 2005098963 A1 WO2005098963 A1 WO 2005098963A1
Authority
WO
WIPO (PCT)
Prior art keywords
semiconductor
silicon
capping layer
substrate
semiconductor body
Prior art date
Application number
PCT/US2005/010505
Other languages
French (fr)
Inventor
Nick Lindert
Stephen M. Cea
Original Assignee
Intel Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corporation filed Critical Intel Corporation
Priority to CN200580009823XA priority Critical patent/CN101189730B/en
Priority to DE112005000704T priority patent/DE112005000704B4/en
Publication of WO2005098963A1 publication Critical patent/WO2005098963A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • H01L29/78687Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys with a multilayer structure or superlattice structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Definitions

  • the present invention relates to the field of integrated circuit manufacturing and more particularly to the formation of a strain enhanced mobility bulk nonplanar transistor and its method of fabrication.
  • a tri-gate transistor 100 is illustrated in Figure 1 A and IB.
  • Figure 1 A is an illustration of a overhead/ side view of a tri-gate transistor 100
  • Figure IB is an illustration of a cross-sectional view taken through the gate electrode of a tri-gate transistor 100.
  • Tri-gate transistor 100 includes a silicon body 102 having a pair of laterally opposite sidewalls 103 and a top surface 104.
  • Silicon body 102 is formed on an insulating substrate including an oxide layer 106 which in turn is formed on a monocrystalline silicon substrate 108.
  • a gate dielectric 110 is formed on the top surface 104 and on the sidewalls 103 of silicon body 102.
  • a gate electrode 120 is formed on the gate dielectric layer 110 and surrounds the silicon body 102.
  • a pair of source/ drain regions 130 are formed in the silicon body 102 along laterally opposite sidewalls of gate electrode 120.
  • Transistor 130 can be said to be a tri-gate transistor because it essentially has three gates (Gi, G 2 , G 3 ) which essentially form three transistors.
  • Tri-gate transistor 100 has a first gate/ transistor on one side 103 of silicon body 102, a second gate/ transistor on a top surface 104 of silicon body 102 and a third gate/ transistor on the second side 103 of silicon body 102. Each transistor provides current flow proportional to the sides of silicon body 102.
  • the tri-gate transistor are attractive because they have large current per area which improves device performance.
  • Figure 1 A shows an overhead view of a standard tri-gate transistor.
  • Figure IB shows a cross-sectional view of standard tri-gate transistor.
  • Figure 2 is an illustration of a bulk tri-gate transistor having a strain induced mobility in accordance with an embodiment with the present invention.
  • Figures 3 A-3I illustrate a method of forming a bulk tri-gate transistor having a strain enhanced mobility in accordance with an embodiment of the present invention.
  • Figures 4 A-4C illustrate a method of forming a bulk tri-gate transistor having a strain enhanced mobility in accordance with an embodiment of the present invention.
  • Figure 5 illustrates crystal lattices for a bulk silicon, a strained silicon germanium semiconductor body and a stained silicon capping layer.
  • Embodiments of the present invention are bulk nonplanar transistors having strained enhanced mobility and their methods of fabrication.
  • numerous specific details have been set forth in order to provide a thorough understanding of the present invention. In other instances, well known semiconductor processing and fabrication techniques have not been set forth in particular detail in order to not unnecessarily obscure the present invention.
  • Embodiments of the present invention are bulk nonplanar transistors having strained enhanced mobility and their methods of fabrication.
  • Embodiments of the present invention include a semiconductor body which places a capping layer formed on or around the semiconductor body under strain. A capping layer under strain increases the mobility of carriers in the device which increases the current of the device which can be used to improve circuit speeds.
  • Transistor 200 is formed on a bulk semiconductor substrate 202.
  • the substrate 202 is a monocrystalline silicon substrate.
  • isolation regions 204 such as shallow trench isolation (STI) regions, which define the substrate active region 206 therebetween.
  • Substrate 202 need not necessarily be a silicon monocrystalline substrate and can be other types of substrates, such as but not limited to germanium (Ge), silicon germanium (Si x Ge y ), gallium arsenide (GaAs), InSb, GaP, and GaSb.
  • the active region 206 is typically doped to a p type conductivity level between lxlO 16 to lxlO 19 atoms/ cm 3 for an n type device and doped to an n type conductivity level between lxlO 16 to lxlO 19 atoms/ cm 3 for a p type device.
  • the active region 206 can be an undoped semiconductor, such as an intrinsic or undoped silicon monocrystalline substrate.
  • Transistor 200 has a semiconductor body 208 formed on active substrate region 206 of bulk substrate 202.
  • the semiconductor body 208 has a top surface 209 and a pair of laterally opposite sidewalls 211.
  • the top surface 209 is separated from the bottom surface formed on semiconductor substrate 206 by a distance which defines the body height.
  • the laterally opposite sidewalls 211 of the semiconductor body 208 are separated by a distance which defines the body width.
  • the semiconductor body 208 is a monocrystalline or single crystalline semiconductor film.
  • the semiconductor body 208 is formed from a semiconductor material different than the semiconductor used to form the bulk substrate 202.
  • the semiconductor body 208 is formed from a single crystalline semiconductor having a different lattice constant or size than the bulk semiconductor substrate 202 so that the semiconductor body 208 is placed under strain.
  • the bulk semiconductor substrate is a monocrystalline silicon substrate and the semiconductor body 208 is a single crystalline silicon-germanium alloy.
  • the silicon germanium alloy comprises between 5-40% germanium and ideally approximately between 15-25% germanium.
  • the bulk semiconductor substrate 202 is a monocrystalline silicon substrate and the semiconductor body 208 is a silicon-carbon alloy.
  • semiconductor body 208 is formed to a thickness less than the amount at which the exterior surfaces of the semiconductor body 208 will cause relaxation in the crystal lattice. In an embodiment of the present invention, semiconductor body 208 is formed to a thickness between 100-2000A and more particularly between 200-1000A. In an embodiment of the present invention, the thickness and height of the semiconductor body 208 are approximately the same.
  • the width of the semiconductor body 208 is between half the body 208 height to two times the body 208 height.
  • semiconductor body 208 is doped to a p type conductivity with a concentration between lxlO 16 to lxlO 19 atoms/ cm 3 for an n type semiconductor device and is doped to an n type conductivity with a concentration between lxlO 16 to lxlO 19 atoms/ cm 3 for a p type semiconductor device.
  • the semiconductor body 208 is intrinsic semiconductor, such as an undoped or intrinsic silicon film.
  • Transistor 200 includes a semiconductor capping layer 210 formed on the sidewalls 211 of semiconductor body 208 as well as on the top surface 209 of semiconductor body 208.
  • Semiconductor capping layer 210 is a single crystalline semiconductor film.
  • the semiconductor capping layer 210 is formed of a semiconductor material having a different lattice constant than the semiconductor body 208 so that a strain is formed in the capping layer.
  • the capping layer has a tensile strain. A tensile strain is thought to improve the mobility of electrons.
  • the capping layer has a compressive strain. A compressive strain is thought to improve hole mobility.
  • current flows in a direction perpendicular to the strain in capping layer 210.
  • the strain in the capping layer 210 on the sidewalls 211 of semiconductor body 208 is greater than the strain in the capping layer 210 on the top surface 209 of semiconductor body 208.
  • the semiconductor capping layer 210 is a single crystalline silicon film.
  • the capping layer 210 is a single crystalline silicon film formed on a silicon-germanium alloy body 208.
  • a single crystalline silicon film formed on a silicon-germanium alloy semiconductor body 208 will cause the single crystalline silicon film to have a tensile stress.
  • the capping layer 210 is a single crystalline silicon film formed on a silicon-carbon alloy semiconductor body 208.
  • a single crystalline silicon capping layer 210 formed on a silicon-carbon alloy semiconductor body 208 will cause the single crystalline silicon film 210 to have a compressive stress.
  • the semiconductor capping layer 210 is formed to a thickness less than the amount at which the lattice of the single crystalline film will relax. In an embodiment of the present, the semiconductor capping layer 210 is formed to a thickness between 50-300A. In an embodiment of the present invention, the thickness of the capping layer on the sidewalls 211 of semiconductor body
  • the semiconductor capping layer 209 of semiconductor body 208 as illustrated in Figure 2.
  • the semiconductor capping layer 209 of semiconductor body 208 as illustrated in Figure 2.
  • Transistor 200 includes a gate dielectric layer 212.
  • Gate dielectric layer 212 is formed on capping layer 210 formed on the sidewalls 211 of semiconductor body 208 and is formed on semiconductor capping layer 210 formed on the top surface 209 of semiconductor body 208.
  • Gate dielectric layer 210 can be any well known gate dielectric layer.
  • the gate dielectric layer is a silicon dioxide (S-O2), silicon oxynitride (SiO x N y ), or a silicon nitride (S-3N1) dielectric layer.
  • the gate dielectric layer 212 is a silicon oxynitride film formed to a thickness between 5-20A.
  • the gate dielectric layer 212 is a high K gate dielectric layer, such as a metal oxide dielectric, such as but not limited to tantalum pentaoxide (Ta 2 Os), titanium oxide (Ti0 2 ), hafnium oxide (HfO) and zirconium oxide (ZrO).
  • Gate dielectric layer 212 can be other types of high K dielectrics, such as but not limited to PZT and BST.
  • Transistor 200 includes a gate electrode 214.
  • Gate electrode 214 is formed on and around the gate dielectric layer 212 as shown in Figure 2.
  • Gate electrode 214 is formed on and adjacent to gate dielectric layer 212 formed on capping layer 210 formed on sidewall 211 of semiconductor body 208 and is formed on gate dielectric layer 212 formed on capping layer 210 formed on the top surface 209 of semiconductor body 208 and is formed on or adjacent to gate dielectric layer 212 formed on capping layer 210 formed on sidewall 211 of gate electrode 208 as shown in Figure 2.
  • Gate electrode 214 has a pair of laterally opposite sidewalls 216 separated by a distance which defines the gate length (Lg) of transistor 200.
  • gate electrode 214 can be formed of any suitable gate electrode material.
  • gate electrode 214 comprises polycrystalline silicon film doped to a concentration density between lxlO 19 to lxlO 20 atoms/ cm 3 .
  • Gate electrode 214 can be doped to an n type conductivity for an n type device and p type conductivity for a p type device.
  • the gate electrode can be a metal gate electrode.
  • the gate electrode 214 is formed of a metal film having a work function which is tailored for an n type device, such as a work function between 3.9 eV to 4.2 eV. In an embodiment of the present invention, the gate electrode 214 is formed from a metal film having a work function tailored for a p type device, such as a work function between 4.9 eV to 5.2 eV. In an embodiment of the present invention, the gate electrode 214 is formed from a material having midgap work function between 4.6 to 4.8 eV. A midgap work function is ideal for use when semiconductor body 208 and capping layer 210 are intrinsic semiconductor films.
  • Transistor 200 has a pair source/ drain regions formed in semiconductor body 208 as well as in capping layer on opposite sides of a laterally opposite sidewalls 216 of gate electrode 214 as shown in Figure 2.
  • the source/ drain regions 218 are doped to an n type conductivity when forming an n type device and doped to a p type conductivity when forming a p type device.
  • the source/ drain regions have doping concentration of between lxl 0 19 to lxl 0 21 atoms/ cm 3 .
  • the source/ drain regions 218 can be formed of uniform concentration or can include subregions of different concentrations or doping profiles, such as tip regions (e.g., source/ drain extensions). In an embodiment of the present invention, when transistor 200 is a symmetrical transistor the source and drain regions will have the same doping concentration profile. In an embodiment of the present invention, transistor 200 is an asymmetrical transistor, the source region and drain region may vary in order to obtain particular electrical characteristics. [0022]
  • the portion of the semiconductor body 208 and capping layer 210 located between the source/ drain regions 216 and beneath the gate electrode 214 defines a channel region of the transistor. The channel region can also be defined as the area of semiconductor body 208 and capping layer 210 surrounded by gate electrode 214.
  • the source/ drain regions typically extend slightly beneath the gate electrode through, for example, diffusion to define the channel region slightly smaller than the gate electrode length (Lg).
  • transistor 300 When transistor 300 is turned “ON" an inversion layer is formed in the channel region of the device which forms a conductive channel which enables current to travel between the source/ drain region 340.
  • the inversion layer or conductive channel forms in the surface of the capping layer on the sidewalls 211 of semiconductor body 208 as well as in the surface of capping layer 210 on the top surface 209 of semiconductor body 208.
  • the nonplanar transistor is characterized as having three channels and three gates, one gate (Gl) which extends between the source/ drain regions on one side 211of semiconductor body 208, a second gate (G2) which extends between the source/ drain regions on the top surface 209 of semiconductor body 208 and the third (G3) which extends between the source/ drain regions on sidewall 211 of semiconductor body 208.
  • the gate "width" (Gw) of transistor 200 is the sum of the width of the three channel regions.
  • the gate width of transistor 200 is equal to the height of semiconductor body 208 plus the thickness of the capping layer on the top surface of sidewall 211, plus the width of semiconductor body 208 plus the thickness of the capping layer on each of the sides 211 of semiconductor body plus the height of semiconductor body 208 plus the thickness of capping layer 210 on the top surface 209 of semiconductor body 208.
  • Larger "width" transistor can be obtained by using multiple semiconductor bodies 208 and capping layers surrounded by a single gate electrode, such as illustrated in Figure 31.
  • a tri-gate transistor 200 is illustrated in Figure 2, the present invention is equally applicable to other nonplanar transistors.
  • the present invention is applicable to a "f infer” or a double gate transistor or just two gates are formed on opposite sides of the semiconductor body.
  • the present invention is applicable to "omega” gates or wrap around gate devices where the gate electrode wraps around the semiconductor body as well as underneath a portion of the semiconductor body. Performance of "finfet” devices and “omega” devices can be improved by including a strained capping layer 210 formed on a semiconductor body 208 and thereby enhancing the mobility of carriers in the device.
  • a nonplanar device is a device which when turned “ON" forms a conductive channel or a portion of the conductive channel in a direction perpendicular to the plane of the substrate 202.
  • a nonplanar transistor can also be said to be a device where the conductive channel regions are formed both in the horizontal and vertical directions.
  • Figures 3 A-3I illustrate a method of forming a bulk nonplanar transistor having a strain enhanced mobility in accordance with an embodiment of the present invention.
  • semiconductor substrate 300 is a monocrystalline silicon substrate.
  • Substrate 300 need not necessarily be a silicon substrate and can be other types of substrates, such as a silicon germanium substrate, a germanium substrate, a silicon germanium alloy, a gallium arsenide, InSb, and GaP.
  • the semiconductor substrate 300 is an intrinsic (i.e., undoped) silicon substrate.
  • the semiconductor substrate 300 is doped to a p type or n type conductivity with a concentration between lxlO 16 to lxlO 19 atom/ cm 3 .
  • a mask having mask portions 302 for forming isolation regions is formed on substrate 300 as shown in Figure 3A.
  • the mask is an oxidation resistant mask.
  • the mask portions 302 comprise a thin pad oxide layer 304 and a thicker silicon nitride or oxidation resistant layer 306.
  • the mask portions 302 define active regions 308 in substrate 300 where transistor bodies are to be formed.
  • the mask portions 302 can be formed by blanket depositing a pad oxide layer and then a silicon nitride layer over substrate 300.
  • mask portions 302 have a width (WI) which is the minimum width or minimum feature dimension (i.e., critical dimension (CD)) which can be defined utilizing photolithography in the fabrication of the transistor. Additionally, in an embodiment of the present invention, mask portions 302 are separated by a distance Dl which is the minimum distance which can be defined utilizing photolithography in the fabrication process. That is, mask portions 302 have the smallest dimension and are spaced apart by the smallest dimension (i.e., critical dimensions) which can be reliably and achieved utilizing the photolithography process used to fabricate the transistor. In this way, mask portions 302 are defined to have the smallest size and greatest density capable of being achieved with the photolithography process used in fabrication of the transistor. [0027] In an embodiment of the present invention, mask portions 302 have a thickness (TI) which is equal to or greater than the thickness or height desired for the subsequently formed semiconductor body or bodies.
  • TI thickness
  • the exposed portions of semiconductor 300 are etched in alignment with the outside edges of mask portion 302 to form trench openings 310.
  • the trench openings are etched to a depth sufficient to isolate adjacent transistors from one another.
  • the trenches are filled with a dielectric layer 312 to form shallow trench isolation (STI) regions 312 in substrate 300.
  • the dielectric layer is formed by first growing a thin liner oxide in the bottom of sidewalls of trench 310.
  • trench 312 is filled by blanket depositing an oxide dielectric layer over the liner oxide by, for example, a high density plasma (HDP) chemical vapor deposition process.
  • HDP high density plasma
  • the fill dielectric layer will also form on the top of mask portions 302.
  • the fill dielectric layer can then be removed from the top of mask portions 302 by, for example, chemical mechanical polishing.
  • shallow trench isolation regions are ideally used in the present invention, other well known isolation regions and techniques, such as local oxidation of silicon (LOCOS) or recessed LOCOS may be utilized.
  • LOCOS local oxidation of silicon
  • mask portions 302 are removed from substrate 300 to form semiconductor body openings 314.
  • a silicon nitride portion 306 is removed utilizing an etchant which etches away the oxidation resistant or silicon nitride portion 306 without significantly etching the isolation regions 312.
  • the pad oxide portion 304 is removed.
  • Pad oxide portion 304 can be removed, for example, with a wet etchant comprising hydrofluoric acid (HF).
  • HF hydrofluoric acid
  • a semiconductor body film 316 is formed in opening 314 as shown in Figure 3E.
  • the semiconductor body film 316 is an epitaxial semiconductor film.
  • the semiconductor film when a strain enhanced semiconductor device is desired, the semiconductor film is formed from a single crystalline semiconductor film having a different lattice constant or different lattice size than the underlying semiconductor substrate upon which it is grown, so that the semiconductor film is under strain.
  • the single crystalline silicon film 316 has a larger lattice constant or lattice size than the underlying semiconductor substrate 300.
  • the single crystalline semiconductor film 316 has a smaller lattice size or constant than the underlying semiconductor substrate 300.
  • the semiconductor film 316 is an epitaxial silicon germanium alloy film selectively grown on a silicon monocrystalline substrate 300.
  • a silicon germanium alloy can be selectively grown in an epitaxial reactor utilizing a deposition gas comprising, dichlorosilance (DCS), H 2 , germane (GeH ), and HC1.
  • the silicon germanium alloy comprises between 5-40% germanium and ideally between 15-25% germanium.
  • epitaxial semiconductor film 316 is a single crystalline silicon carbon alloy formed on a silicon substrate 300. The single crystalline semiconductor film 316 is deposited to a thickness desired for the thickness of the semiconductor body.
  • the isolation regions 312 confines the semiconductor film 316 within the trench so that a semiconductor film with nearly vertical sidewalls is formed.
  • semiconductor film 316 can be blanket deposited over substrate 300 including within trench 314 and on top of isolation regions 312 and then polished back so that the semiconductor film 316 is removed from the top of the isolation regions and remains only within trenches 314 as shown in Figure 3E.
  • the semiconductor film 316 is an undoped or intrinsic semiconductor film.
  • the semiconductor film 316 when fabricating a p type device, doped to an n type conductivity with a concentration between lxl 0 16 to lxlO 19 atoms/ cm 3 .
  • the semiconductor film 316 when fabricating an n type device the semiconductor film 316 is doped to a p type conductivity with a concentration between lxlO 16 to lxlO 19 atoms/ cm 3 .
  • the semiconductor film 316 can be doped during deposition in an "insitu" process by including a dopant gas in the deposition process gas mix. Alternatively, the semiconductor film 316 can be subsequently doped by, for example, ion implantation or thermal diffusion to form a doped semiconductor film 316.
  • isolation regions 312 are etched back or recessed to expose the sidewalls 320 of semiconductor film 316 and thereby form semiconductor bodies 318 as shown in Figure 3F.
  • Semiconductor bodies 318 have nearly vertical sidewalls 320 because semiconductor film 316 was laterally confined by isolation regions 312 during deposition.
  • Isolation regions 312 are etched back with an etchant which does not significantly etch the semiconductor film 316.
  • semiconductor film 316 is a silicon or silicon alloy isolation regions 312 can be recessed utilizing a wet etchant comprising HF.
  • isolation regions are etched back to a level so that they are substantially planar with the top surface of the active regions 308 formed in semiconductor substrate 300 as shown in Figure 3F.
  • a semiconductor capping layer 322 is formed on the top surface 319 and sidewalls 320 of semiconductor body 318.
  • Semiconductor capping layer 322 is a single crystalline semiconductor film.
  • the semiconductor capping layer 322 is formed of a material having a different lattice constant or size than semiconductor body 318.
  • semiconductor capping layer 322 is a single crystalline silicon film.
  • semiconductor capping layer 322 is a single crystalline silicon film formed on a silicon germanium alloy body 318.
  • semiconductor capping layer 322 is a single crystalline silicon film formed on a silicon-carbon alloy semiconductor body 318.
  • a single crystalline silicon capping layer 322 can be selectively deposited in an epitaxial deposition reactor utilizing a process gas comprising DCS, HC1 and H 2 .
  • semiconductor capping layer 322 is formed to a thickness less than an amount which will cause substantial relaxation in semiconductor capping layer 322.
  • semiconductor capping layer 322 is formed to a thickness sufficient to enable the entire inversion layer to be formed in the capping layer when the transistor is turn "ON".
  • semiconductor capping layer 322 is formed to a thickness between 50- 300A.
  • semiconductor capping layer 322 is an undoped or intrinsic semiconductor film.
  • semiconductor capping layer 322 is doped to an n type conductivity between lxlO 16 to lxlO 19 atoms/ cm 3 when forming a p type device and is doped to a p type conductivity between lxlO 16 to lxlO 19 atoms/ cm 3 when forming an n type device.
  • semiconductor capping layer 322 is doped in an insitu deposition process.
  • capping layer 322 can be doped by other well known techniques, such as by ion implantation or solid source diffusion.
  • gate dielectric film 324 is formed on capping layer 322 formed on the sidewalls 320 of semiconductor body 318 and is formed on the capping layer 322 formed on the top surface 319 of semiconductor body 318 as shown in Figure 3H.
  • gate dielectric layer 324 is a grown gate dielectric layer, such as but not limited to a silicon dioxide layer, a silicon oxynitride layer or a combination thereof.
  • a silicon oxide or silicon oxynitride layer can be grown on semiconductor capping layer utilizing a well known dry/ wet oxidation process. When gate dielectric layer 324 is grown it will form only on semiconductor containing areas, such as capping layer 322 and not on isolation regions 312.
  • gate dielectric layer 324 can be a deposited dielectric layer.
  • gate dielectric layer 324 is a high K gate dielectric layer, such as a metal oxide dielectric layer, such as but not limited to hafnium oxide, zirconium oxide, tantalum oxide and titanium oxide.
  • a high K metal oxide dielectric layer can be deposited by any well known technique, such as chemical vapor deposition or sputter deposition. When gate dielectric layer 324 is deposited it will also form on isolation regions 312 .
  • a gate electrode material 326 is blanket deposited over substrate 300 so that it deposits onto and around gate dielectric layer 324.
  • the gate electrode material is deposited onto the gate dielectric layer 324 formed on capping layer 322 formed on the top surface of semiconductor body 318 and is formed or adjacent to capping layer 322 formed on the sidewalls 320 of semiconductor body 318.
  • the gate electrode material 326 is polycrystalline silicon.
  • the gate electrode material 326 is a metal film.
  • gate electrode material 326 is a metal film having a work function tailored for an n type device and in an embodiment of the present invention, the gate electrode material is metal film having a work function tailored for a p type device.
  • Gate electrode material 326 is formed to a thickness sufficient to completely cover or surround semiconductor bodies 318, capping layer 322 and gate dielectric layer 324 as shown in Figure 3H.
  • gate electrode material 326 and gate dielectric layer 324 are patterned by well known techniques to form a gate electrode 330 and a gate dielectric layer 328.
  • Gate electrode material 326 and gate dielectric layer 324 can be patterned utilizing well known photolithography and etching techniques.
  • Gate electrode 330 has a pair of laterally opposite sidewalls 332 which define the gate length of the device. In an embodiment of the present invention, laterally opposite sidewalls 332 run in a direction perpendicular to semiconductor bodies 318.
  • a subtractive process is shown for the formation of gate electrode 330, other well known techniques, such as a replacement gate process may be utilized to form gate electrode 330.
  • a pair of source/ drain regions 340 are formed in capping layer 332 and semiconductor body 318 on opposite sides of gate electrode 330.
  • source/ drain regions can be formed to an n type conductivity with a concentration between lxl 0 20 to lxl 0 21 atoms/ cm 3 .
  • source/ drain regions having a p type conductivity with a concentration between lxlO 20 to lxlO 21 atoms/ cm 3 can be formed. Any well known technique, such as ion implantation or thermal diffusion, may be utilized to form the source/ drain regions.
  • the gate electrode 330 can be used to mask the channel region of the transistor from the ion implantation process and thereby self -aligning the source/ drain regions 340 with the gate electrode 330.
  • source/ drain regions may include sub-regions, such as source/ drain extensions and source/ drain contact regions.
  • Well known processes including formation of spacers can be utilized to form the sub-regions.
  • suicide can be formed on the source/ drain regions 340 and on top of the gate electrode 330 to further decrease the electrical contact resistance. This completes the fabrication of bulk nonplanar transistor having strain enhanced mobility.
  • the capping layer increases the gate width of the transistor.
  • minimum feature dimension and spacing can be used to form the semiconductor bodies and then the capping layer can be formed on and around the minimally defined semiconductor bodies to increase the gate width of the device.
  • This increases the current per area of the device which improves device performance.
  • Formation of a capping layer on minimally defined and separated features reduces the distance between minimally spaced bodies to a distance less than the critical dimension or less than the dimension achievable with photolithography process used to define the device. In this way, the formation of a capping layer enables larger gate width to be achieved with each semiconductor body while still defining the bodies with the minimum critical dimensions (CD) and spacing.
  • CD critical dimensions
  • a capping layer to increase the gate width is valuable even in applications which do not require or desire stress enhanced mobility.
  • embodiments of the present invention include applications where, for example, silicon capping layers are formed on minimally spaced silicon bodies in order to increase the gate width of the fabricated transistor.
  • use of a capping layer to increase gate width per area is useful in non-bulk devices, such as tri-gate or nonplanar devices formed on insulated substrates, such as in silicon on insulator (SOI) substrates.
  • SOI silicon on insulator
  • Figure 5 illustrates how a bulk silicon monocrystalline silicon substrate, a silicon germanium alloy semiconductor body 320 and a silicon capping layer 322 can produce high tensile stress in the silicon capping layer 322.
  • Figure 3E When growing an epitaxial silicon germanium alloy film 316 on a monocrystalline substrate 300 ( Figure 3E) the lattice constant of the plane 502 of the silicon germanium film 318 parallel to the surface of the silicon monocrystalline substrate 300 is matched to the silicon lattice of the bulk silicon substrate 300.
  • the lattice constant of the plane 504 of the silicon germanium alloy 316 perpendicular to the silicon substrate surface is larger than the plane 502 parallel to the silicon substrate 300 due to the tetragonal distortion of the silicon germanium epitaxial film 316.
  • the isolation regions 312 are recessed ( Figure 3F) to form silicon germanium body 318 the silicon germanium lattice on the top 319 will expand and the lattice constant on the sides will contract due to the presence of free surface.
  • the lattice constant on the sidewall 320 of the silicon germanium alloy 318 will be larger than the lattice constant on the top surface 319 of the silicon germanium alloy which will be greater than the lattice constant of the silicon germanium alloy on the silicon monocrystalline substrate.
  • the silicon germanium alloy 318 When a silicon capping layer 322 is grown on the strained silicon germanium alloy, ( Figure 3G) the silicon germanium alloy 318 will impose its lengthened vertical cell dimension 504 on an already smaller cell dimension of the silicon capping layer 322 producing a orthorhombic strained silicon capping layer 322 on the sidewalls of the SiGe body 318.
  • the silicon capping layer formed on the sidewalls 322 of the silicon germanium alloy will witness a substantial tensile strain and a lower but significant tensile strain on the top surface 319 of the silicon germanium alloy.
  • the strain produced in silicon capping layer 322 is in a direction perpendicular to current flow in the device.
  • Figures 4 A-4C illustrate a method of forming a bulk nonplanar transistor having strain enhanced mobility wherein the capping layer is formed thicker on the top surface of the semiconductor body than on the sidewalls.
  • semiconductor body film 316 is grown between isolation regions 312 as described with respect to Figure 3E.
  • a first portion 410 of the capping layer is grown on semiconductor body 316 prior to recessing isolation regions 312.
  • silicon nitride layer 306 is formed thicker than necessary for the semiconductor body 318 so that additional room is provided to enable the first portion 410 of the semiconductor capping layer to be grown within the trench 310.
  • the first portion of the capping layer 410 can be confined within the isolation regions 312.
  • the isolation regions 312 are recessed back as described above to form a semiconductor body 318 having a capping layer 410 formed on the top surface thereof as shown in Figure 4B.
  • a second portion 412 of the capping layer is grown on the sidewalls 320 of the semiconductor body 318 and on the first portion 410 of the capping layer formed on the top surface 319 of semiconductor body 320.
  • the semiconductor capping layer 410 is formed to a thickness substantially equal to the thickness of the second portion of the capping layer 412.

Abstract

A method of a bulk tri-gate transistor having stained enhanced mobility and its method of fabrication. The present invention is a nonplanar transistor having a strained enhanced mobility and its method of fabrication. The transistor has a semiconductor body formed on a semiconductor substrate wherein the semiconductor body has a top surface on laterally opposite sidewalls. A semiconductor capping layer is formed on the top surface and on the sidewalls of the semiconductor body. A gate dielectric layer is formed on the semiconductor capping layer on the top surface of a semiconductor body and is formed on the capping layer on the sidewalls of the semiconductor body. A gate electrode having a pair of laterally opposite sidewalls is formed on and around the gate dielectric layer. A pair of source/ drain regions are formed in the semiconductor body on opposite sides of the gate electrode.

Description

A BULK NON- PLANAR TRANS I STOR HAVING A STRAINED CHANNEL WITH ENHANCED MOBILITY AND METHODS OF FABRICATION
BACKGROUND OF THE INVENTION
1. FIELD OF THE INVENTION
[0001] The present invention relates to the field of integrated circuit manufacturing and more particularly to the formation of a strain enhanced mobility bulk nonplanar transistor and its method of fabrication.
2. DISCUSSION OF RELATED ART
[0002] Modern integrated circuits, such as microprocessors, are made up of literally hundreds of millions of transistors coupled together. In order to improve the performance and power of integrated circuits, new transistor structures have been proposed. A nonplanar transistor, such as a tri-gate transistor, has been proposed to improve device performance. A tri-gate transistor 100 is illustrated in Figure 1 A and IB. Figure 1 A is an illustration of a overhead/ side view of a tri-gate transistor 100 and Figure IB is an illustration of a cross-sectional view taken through the gate electrode of a tri-gate transistor 100. Tri-gate transistor 100 includes a silicon body 102 having a pair of laterally opposite sidewalls 103 and a top surface 104. Silicon body 102 is formed on an insulating substrate including an oxide layer 106 which in turn is formed on a monocrystalline silicon substrate 108. A gate dielectric 110 is formed on the top surface 104 and on the sidewalls 103 of silicon body 102. A gate electrode 120 is formed on the gate dielectric layer 110 and surrounds the silicon body 102. A pair of source/ drain regions 130 are formed in the silicon body 102 along laterally opposite sidewalls of gate electrode 120. Transistor 130 can be said to be a tri-gate transistor because it essentially has three gates (Gi, G2, G3) which essentially form three transistors. Tri-gate transistor 100 has a first gate/ transistor on one side 103 of silicon body 102, a second gate/ transistor on a top surface 104 of silicon body 102 and a third gate/ transistor on the second side 103 of silicon body 102. Each transistor provides current flow proportional to the sides of silicon body 102. The tri-gate transistor are attractive because they have large current per area which improves device performance.
BRIEF DESCRIPTION OF THE DRAWINGS
[0003] Figure 1 A shows an overhead view of a standard tri-gate transistor.
[0004] Figure IB shows a cross-sectional view of standard tri-gate transistor.
[0005] Figure 2 is an illustration of a bulk tri-gate transistor having a strain induced mobility in accordance with an embodiment with the present invention. [0006] Figures 3 A-3I illustrate a method of forming a bulk tri-gate transistor having a strain enhanced mobility in accordance with an embodiment of the present invention.
[0007] Figures 4 A-4C illustrate a method of forming a bulk tri-gate transistor having a strain enhanced mobility in accordance with an embodiment of the present invention.
[0008] Figure 5 illustrates crystal lattices for a bulk silicon, a strained silicon germanium semiconductor body and a stained silicon capping layer.
DETAILED DESCRIPTION OF THE PRESENT INVENTION
[0009] Embodiments of the present invention are bulk nonplanar transistors having strained enhanced mobility and their methods of fabrication. In the following description, numerous specific details have been set forth in order to provide a thorough understanding of the present invention. In other instances, well known semiconductor processing and fabrication techniques have not been set forth in particular detail in order to not unnecessarily obscure the present invention. [0010] Embodiments of the present invention are bulk nonplanar transistors having strained enhanced mobility and their methods of fabrication. Embodiments of the present invention include a semiconductor body which places a capping layer formed on or around the semiconductor body under strain. A capping layer under strain increases the mobility of carriers in the device which increases the current of the device which can be used to improve circuit speeds. [0011] An example of a bulk nonplanar or tri-gate transistor 200 having strain enhanced mobility is illustrated in Figure 2. Transistor 200 is formed on a bulk semiconductor substrate 202. In an embodiment of the present invention, the substrate 202 is a monocrystalline silicon substrate. Formed in semiconductor substrate 202 are a pair of spaced apart isolation regions 204, such as shallow trench isolation (STI) regions, which define the substrate active region 206 therebetween. Substrate 202, however, need not necessarily be a silicon monocrystalline substrate and can be other types of substrates, such as but not limited to germanium (Ge), silicon germanium (SixGey), gallium arsenide (GaAs), InSb, GaP, and GaSb. The active region 206 is typically doped to a p type conductivity level between lxlO16 to lxlO19 atoms/ cm3 for an n type device and doped to an n type conductivity level between lxlO16 to lxlO19 atoms/ cm3 for a p type device. In other embodiments of the present invention, the active region 206 can be an undoped semiconductor, such as an intrinsic or undoped silicon monocrystalline substrate.
[0012] Transistor 200 has a semiconductor body 208 formed on active substrate region 206 of bulk substrate 202. The semiconductor body 208 has a top surface 209 and a pair of laterally opposite sidewalls 211. The top surface 209 is separated from the bottom surface formed on semiconductor substrate 206 by a distance which defines the body height. The laterally opposite sidewalls 211 of the semiconductor body 208 are separated by a distance which defines the body width. The semiconductor body 208 is a monocrystalline or single crystalline semiconductor film. In an embodiment of the present invention, the semiconductor body 208 is formed from a semiconductor material different than the semiconductor used to form the bulk substrate 202. In an embodiment of the present invention, the semiconductor body 208 is formed from a single crystalline semiconductor having a different lattice constant or size than the bulk semiconductor substrate 202 so that the semiconductor body 208 is placed under strain. In an embodiment of the present invention, the bulk semiconductor substrate is a monocrystalline silicon substrate and the semiconductor body 208 is a single crystalline silicon-germanium alloy. In an embodiment of the present invention, the silicon germanium alloy comprises between 5-40% germanium and ideally approximately between 15-25% germanium. [0013] In an embodiment of the present invention, the bulk semiconductor substrate 202 is a monocrystalline silicon substrate and the semiconductor body 208 is a silicon-carbon alloy. [0014] In an embodiment of the present invention, semiconductor body 208 is formed to a thickness less than the amount at which the exterior surfaces of the semiconductor body 208 will cause relaxation in the crystal lattice. In an embodiment of the present invention, semiconductor body 208 is formed to a thickness between 100-2000A and more particularly between 200-1000A. In an embodiment of the present invention, the thickness and height of the semiconductor body 208 are approximately the same.
[0015] In an embodiment of the present invention, the width of the semiconductor body 208 is between half the body 208 height to two times the body 208 height. In an embodiment of the present invention, semiconductor body 208 is doped to a p type conductivity with a concentration between lxlO16 to lxlO19 atoms/ cm3 for an n type semiconductor device and is doped to an n type conductivity with a concentration between lxlO16 to lxlO19 atoms/ cm3 for a p type semiconductor device. In an embodiment of the present invention, the semiconductor body 208 is intrinsic semiconductor, such as an undoped or intrinsic silicon film.
[0016] Transistor 200 includes a semiconductor capping layer 210 formed on the sidewalls 211 of semiconductor body 208 as well as on the top surface 209 of semiconductor body 208. Semiconductor capping layer 210 is a single crystalline semiconductor film. In an embodiment of the present invention, the semiconductor capping layer 210 is formed of a semiconductor material having a different lattice constant than the semiconductor body 208 so that a strain is formed in the capping layer. In an embodiment of the present invention, the capping layer has a tensile strain. A tensile strain is thought to improve the mobility of electrons. In an embodiment of the present invention, the capping layer has a compressive strain. A compressive strain is thought to improve hole mobility. In an embodiment of the present invention, current flows in a direction perpendicular to the strain in capping layer 210. In an embodiment of the present invention, the strain in the capping layer 210 on the sidewalls 211 of semiconductor body 208 is greater than the strain in the capping layer 210 on the top surface 209 of semiconductor body 208.
[0017] In an embodiment of the present invention, the semiconductor capping layer 210 is a single crystalline silicon film. In an embodiment of the present invention, the capping layer 210 is a single crystalline silicon film formed on a silicon-germanium alloy body 208. A single crystalline silicon film formed on a silicon-germanium alloy semiconductor body 208 will cause the single crystalline silicon film to have a tensile stress. In an embodiment of the present invention, the capping layer 210 is a single crystalline silicon film formed on a silicon-carbon alloy semiconductor body 208. A single crystalline silicon capping layer 210 formed on a silicon-carbon alloy semiconductor body 208 will cause the single crystalline silicon film 210 to have a compressive stress. [0018] In an embodiment of the present invention, the semiconductor capping layer 210 is formed to a thickness less than the amount at which the lattice of the single crystalline film will relax. In an embodiment of the present, the semiconductor capping layer 210 is formed to a thickness between 50-300A. In an embodiment of the present invention, the thickness of the capping layer on the sidewalls 211 of semiconductor body
208 is the same as the thickness of the capping layer 210 on the top surface
209 of semiconductor body 208 as illustrated in Figure 2. In an embodiment of the present invention, the semiconductor capping layer
210 is formed thicker on the top surface of the semiconductor body 208 than on the sidewalls 211, such as shown, for example, in Figure 4C. [0019] Transistor 200 includes a gate dielectric layer 212. Gate dielectric layer 212 is formed on capping layer 210 formed on the sidewalls 211 of semiconductor body 208 and is formed on semiconductor capping layer 210 formed on the top surface 209 of semiconductor body 208. Gate dielectric layer 210 can be any well known gate dielectric layer. In an embodiment of the present invention, the gate dielectric layer is a silicon dioxide (S-O2), silicon oxynitride (SiOxNy), or a silicon nitride (S-3N1) dielectric layer. In an embodiment of the present invention, the gate dielectric layer 212 is a silicon oxynitride film formed to a thickness between 5-20A. In an embodiment of the present invention, the gate dielectric layer 212 is a high K gate dielectric layer, such as a metal oxide dielectric, such as but not limited to tantalum pentaoxide (Ta2Os), titanium oxide (Ti02), hafnium oxide (HfO) and zirconium oxide (ZrO). Gate dielectric layer 212, however, can be other types of high K dielectrics, such as but not limited to PZT and BST.
[0020] Transistor 200 includes a gate electrode 214. Gate electrode 214 is formed on and around the gate dielectric layer 212 as shown in Figure 2. Gate electrode 214 is formed on and adjacent to gate dielectric layer 212 formed on capping layer 210 formed on sidewall 211 of semiconductor body 208 and is formed on gate dielectric layer 212 formed on capping layer 210 formed on the top surface 209 of semiconductor body 208 and is formed on or adjacent to gate dielectric layer 212 formed on capping layer 210 formed on sidewall 211 of gate electrode 208 as shown in Figure 2. Gate electrode 214 has a pair of laterally opposite sidewalls 216 separated by a distance which defines the gate length (Lg) of transistor 200. In an embodiment of the present invention, the laterally opposite sidewalls 216 of gate electrode 214 run in a direction perpendicular to the laterally opposite sidewalls 211 of semiconductor body 208. Gate electrode 214 can be formed of any suitable gate electrode material. In an embodiment of the present invention, gate electrode 214 comprises polycrystalline silicon film doped to a concentration density between lxlO19 to lxlO20 atoms/ cm3. Gate electrode 214 can be doped to an n type conductivity for an n type device and p type conductivity for a p type device. In an embodiment of the present invention, the gate electrode can be a metal gate electrode. In an embodiment of the present invention, the gate electrode 214 is formed of a metal film having a work function which is tailored for an n type device, such as a work function between 3.9 eV to 4.2 eV. In an embodiment of the present invention, the gate electrode 214 is formed from a metal film having a work function tailored for a p type device, such as a work function between 4.9 eV to 5.2 eV. In an embodiment of the present invention, the gate electrode 214 is formed from a material having midgap work function between 4.6 to 4.8 eV. A midgap work function is ideal for use when semiconductor body 208 and capping layer 210 are intrinsic semiconductor films. It is to be appreciated that gate electrode 214 need not necessarily be a single material and can be composite stack of thin films, such as but not limited to polycrystalline silicon/ metal electrode or metal polycrystalline silicon electrode. [0021] Transistor 200 has a pair source/ drain regions formed in semiconductor body 208 as well as in capping layer on opposite sides of a laterally opposite sidewalls 216 of gate electrode 214 as shown in Figure 2. The source/ drain regions 218 are doped to an n type conductivity when forming an n type device and doped to a p type conductivity when forming a p type device. In an embodiment of the present invention, the source/ drain regions have doping concentration of between lxl 019 to lxl 021 atoms/ cm3. The source/ drain regions 218 can be formed of uniform concentration or can include subregions of different concentrations or doping profiles, such as tip regions (e.g., source/ drain extensions). In an embodiment of the present invention, when transistor 200 is a symmetrical transistor the source and drain regions will have the same doping concentration profile. In an embodiment of the present invention, transistor 200 is an asymmetrical transistor, the source region and drain region may vary in order to obtain particular electrical characteristics. [0022] The portion of the semiconductor body 208 and capping layer 210 located between the source/ drain regions 216 and beneath the gate electrode 214 defines a channel region of the transistor. The channel region can also be defined as the area of semiconductor body 208 and capping layer 210 surrounded by gate electrode 214. The source/ drain regions typically extend slightly beneath the gate electrode through, for example, diffusion to define the channel region slightly smaller than the gate electrode length (Lg). When transistor 300 is turned "ON" an inversion layer is formed in the channel region of the device which forms a conductive channel which enables current to travel between the source/ drain region 340. The inversion layer or conductive channel forms in the surface of the capping layer on the sidewalls 211 of semiconductor body 208 as well as in the surface of capping layer 210 on the top surface 209 of semiconductor body 208.
[0023] By providing a gate dielectric layer 212 and a gate electrode 214 which surrounds the semiconductor body 208 and capping layer 210 on three sides, the nonplanar transistor is characterized as having three channels and three gates, one gate (Gl) which extends between the source/ drain regions on one side 211of semiconductor body 208, a second gate (G2) which extends between the source/ drain regions on the top surface 209 of semiconductor body 208 and the third (G3) which extends between the source/ drain regions on sidewall 211 of semiconductor body 208. The gate "width" (Gw) of transistor 200 is the sum of the width of the three channel regions. That is, the gate width of transistor 200 is equal to the height of semiconductor body 208 plus the thickness of the capping layer on the top surface of sidewall 211, plus the width of semiconductor body 208 plus the thickness of the capping layer on each of the sides 211 of semiconductor body plus the height of semiconductor body 208 plus the thickness of capping layer 210 on the top surface 209 of semiconductor body 208. Larger "width" transistor can be obtained by using multiple semiconductor bodies 208 and capping layers surrounded by a single gate electrode, such as illustrated in Figure 31.
[0024] Although a tri-gate transistor 200 is illustrated in Figure 2, the present invention is equally applicable to other nonplanar transistors. For example, the present invention is applicable to a "f infer" or a double gate transistor or just two gates are formed on opposite sides of the semiconductor body. Additionally, the present invention, is applicable to "omega" gates or wrap around gate devices where the gate electrode wraps around the semiconductor body as well as underneath a portion of the semiconductor body. Performance of "finfet" devices and "omega" devices can be improved by including a strained capping layer 210 formed on a semiconductor body 208 and thereby enhancing the mobility of carriers in the device. It is to be appreciated that a nonplanar device is a device which when turned "ON" forms a conductive channel or a portion of the conductive channel in a direction perpendicular to the plane of the substrate 202. A nonplanar transistor can also be said to be a device where the conductive channel regions are formed both in the horizontal and vertical directions.
[0025] Figures 3 A-3I illustrate a method of forming a bulk nonplanar transistor having a strain enhanced mobility in accordance with an embodiment of the present invention. First a semiconductor substrate 300 is provided as shown in Figure 3 A. In an embodiment of the present invention, semiconductor substrate 300 is a monocrystalline silicon substrate. Substrate 300 need not necessarily be a silicon substrate and can be other types of substrates, such as a silicon germanium substrate, a germanium substrate, a silicon germanium alloy, a gallium arsenide, InSb, and GaP. In an embodiment of the present invention, the semiconductor substrate 300 is an intrinsic (i.e., undoped) silicon substrate. In other embodiments of the present invention, the semiconductor substrate 300 is doped to a p type or n type conductivity with a concentration between lxlO16 to lxlO19 atom/ cm3. Next, a mask having mask portions 302 for forming isolation regions is formed on substrate 300 as shown in Figure 3A. In an embodiment of the present invention, the mask is an oxidation resistant mask. In an embodiment of the present invention, the mask portions 302 comprise a thin pad oxide layer 304 and a thicker silicon nitride or oxidation resistant layer 306. The mask portions 302 define active regions 308 in substrate 300 where transistor bodies are to be formed. The mask portions 302 can be formed by blanket depositing a pad oxide layer and then a silicon nitride layer over substrate 300. Next, well known photolithography techniques are used to mask, expose and develop a photoresist masking layer over locations where mask portions 302 are to be formed. The nitride film 306 and the pad oxide layers 304 are then etched in alignment with the formed photoresist mask to form mask portions 302 as shown in Figure 3A.
[0026] In an embodiment of the present invention, mask portions 302 have a width (WI) which is the minimum width or minimum feature dimension (i.e., critical dimension (CD)) which can be defined utilizing photolithography in the fabrication of the transistor. Additionally, in an embodiment of the present invention, mask portions 302 are separated by a distance Dl which is the minimum distance which can be defined utilizing photolithography in the fabrication process. That is, mask portions 302 have the smallest dimension and are spaced apart by the smallest dimension (i.e., critical dimensions) which can be reliably and achieved utilizing the photolithography process used to fabricate the transistor. In this way, mask portions 302 are defined to have the smallest size and greatest density capable of being achieved with the photolithography process used in fabrication of the transistor. [0027] In an embodiment of the present invention, mask portions 302 have a thickness (TI) which is equal to or greater than the thickness or height desired for the subsequently formed semiconductor body or bodies.
[0028] Next, as shown in Figure 3B, the exposed portions of semiconductor 300 are etched in alignment with the outside edges of mask portion 302 to form trench openings 310. The trench openings are etched to a depth sufficient to isolate adjacent transistors from one another.
[0029] Next, as shown in Figure 3C, the trenches are filled with a dielectric layer 312 to form shallow trench isolation (STI) regions 312 in substrate 300. In an embodiment of the present invention, the dielectric layer is formed by first growing a thin liner oxide in the bottom of sidewalls of trench 310. Next, trench 312 is filled by blanket depositing an oxide dielectric layer over the liner oxide by, for example, a high density plasma (HDP) chemical vapor deposition process. The fill dielectric layer will also form on the top of mask portions 302. The fill dielectric layer can then be removed from the top of mask portions 302 by, for example, chemical mechanical polishing. The chemical mechanical polishing process is continued until the top surface of mask portions 302 is revealed and the top surface of shallow trench isolation regions 312 substantially planar with the top surface of mask portion 302 as shown in Figure 3C. [0030] Although shallow trench isolation regions are ideally used in the present invention, other well known isolation regions and techniques, such as local oxidation of silicon (LOCOS) or recessed LOCOS may be utilized.
[0031] Next, as shown in Figure 3D, mask portions 302 are removed from substrate 300 to form semiconductor body openings 314. First a silicon nitride portion 306 is removed utilizing an etchant which etches away the oxidation resistant or silicon nitride portion 306 without significantly etching the isolation regions 312. After removing silicon nitride portion 306, the pad oxide portion 304 is removed. Pad oxide portion 304 can be removed, for example, with a wet etchant comprising hydrofluoric acid (HF). Removing of mask portions 302 forms a semiconductor body opening or trench 314 having substantially vertical sidewalls. The vertical sidewall enables the semiconductor body to be grown within the trench and confined therein to enable a semiconductor body to be formed with nearly vertical sidewalls.
[0032] Next, as shown in Figure 3E, a semiconductor body film 316 is formed in opening 314 as shown in Figure 3E. In an embodiment of the present invention, the semiconductor body film 316 is an epitaxial semiconductor film. In an embodiment of the present invention, when a strain enhanced semiconductor device is desired, the semiconductor film is formed from a single crystalline semiconductor film having a different lattice constant or different lattice size than the underlying semiconductor substrate upon which it is grown, so that the semiconductor film is under strain. In an embodiment of the present invention, the single crystalline silicon film 316 has a larger lattice constant or lattice size than the underlying semiconductor substrate 300. In an embodiment of the present invention, the single crystalline semiconductor film 316 has a smaller lattice size or constant than the underlying semiconductor substrate 300.
[0033] In an embodiment of the present invention, the semiconductor film 316 is an epitaxial silicon germanium alloy film selectively grown on a silicon monocrystalline substrate 300. A silicon germanium alloy can be selectively grown in an epitaxial reactor utilizing a deposition gas comprising, dichlorosilance (DCS), H2, germane (GeH ), and HC1. In an embodiment of the present invention, the silicon germanium alloy comprises between 5-40% germanium and ideally between 15-25% germanium. In an embodiment of the present invention, epitaxial semiconductor film 316 is a single crystalline silicon carbon alloy formed on a silicon substrate 300. The single crystalline semiconductor film 316 is deposited to a thickness desired for the thickness of the semiconductor body. In an embodiment of the present invention, it is grown or deposited to a thickness less than the height of the top surface of isolation regions 312. In this way, the isolation regions 312 confines the semiconductor film 316 within the trench so that a semiconductor film with nearly vertical sidewalls is formed. Alternatively, semiconductor film 316 can be blanket deposited over substrate 300 including within trench 314 and on top of isolation regions 312 and then polished back so that the semiconductor film 316 is removed from the top of the isolation regions and remains only within trenches 314 as shown in Figure 3E. [0034] In an embodiment of the present invention, the semiconductor film 316 is an undoped or intrinsic semiconductor film. In an embodiment of the present invention, when fabricating a p type device, the semiconductor film 316 doped to an n type conductivity with a concentration between lxl 016 to lxlO19 atoms/ cm3. In an embodiment of the present invention, when fabricating an n type device the semiconductor film 316 is doped to a p type conductivity with a concentration between lxlO16 to lxlO19 atoms/ cm3. The semiconductor film 316 can be doped during deposition in an "insitu" process by including a dopant gas in the deposition process gas mix. Alternatively, the semiconductor film 316 can be subsequently doped by, for example, ion implantation or thermal diffusion to form a doped semiconductor film 316.
[0035] Next, isolation regions 312 are etched back or recessed to expose the sidewalls 320 of semiconductor film 316 and thereby form semiconductor bodies 318 as shown in Figure 3F. Semiconductor bodies 318 have nearly vertical sidewalls 320 because semiconductor film 316 was laterally confined by isolation regions 312 during deposition. Isolation regions 312 are etched back with an etchant which does not significantly etch the semiconductor film 316. When semiconductor film 316 is a silicon or silicon alloy isolation regions 312 can be recessed utilizing a wet etchant comprising HF. In an embodiment of the present invention, isolation regions are etched back to a level so that they are substantially planar with the top surface of the active regions 308 formed in semiconductor substrate 300 as shown in Figure 3F. [0036] Next, as shown in Figure 3G, a semiconductor capping layer 322 is formed on the top surface 319 and sidewalls 320 of semiconductor body 318. Semiconductor capping layer 322 is a single crystalline semiconductor film. In an embodiment of the present invention, the semiconductor capping layer 322 is formed of a material having a different lattice constant or size than semiconductor body 318. In an embodiment of the present invention, semiconductor capping layer 322 is a single crystalline silicon film. In an embodiment of the present invention, semiconductor capping layer 322 is a single crystalline silicon film formed on a silicon germanium alloy body 318. In an embodiment of the present invention, semiconductor capping layer 322 is a single crystalline silicon film formed on a silicon-carbon alloy semiconductor body 318. A single crystalline silicon capping layer 322 can be selectively deposited in an epitaxial deposition reactor utilizing a process gas comprising DCS, HC1 and H2. In an embodiment of the present invention, semiconductor capping layer 322 is formed to a thickness less than an amount which will cause substantial relaxation in semiconductor capping layer 322. In an embodiment of the present invention, semiconductor capping layer 322 is formed to a thickness sufficient to enable the entire inversion layer to be formed in the capping layer when the transistor is turn "ON". In an embodiment of the present invention, semiconductor capping layer 322 is formed to a thickness between 50- 300A. In an embodiment of the present invention, semiconductor capping layer 322 is an undoped or intrinsic semiconductor film. In an embodiment of the present invention, semiconductor capping layer 322 is doped to an n type conductivity between lxlO16 to lxlO19 atoms/ cm3 when forming a p type device and is doped to a p type conductivity between lxlO16 to lxlO19 atoms/ cm3 when forming an n type device. In an embodiment of the present invention, semiconductor capping layer 322 is doped in an insitu deposition process. Alternatively, capping layer 322 can be doped by other well known techniques, such as by ion implantation or solid source diffusion.
[0037] Next, as shown in Figure 3H, a gate dielectric film 324 is formed on capping layer 322 formed on the sidewalls 320 of semiconductor body 318 and is formed on the capping layer 322 formed on the top surface 319 of semiconductor body 318 as shown in Figure 3H. In an embodiment of the present invention, gate dielectric layer 324 is a grown gate dielectric layer, such as but not limited to a silicon dioxide layer, a silicon oxynitride layer or a combination thereof. A silicon oxide or silicon oxynitride layer can be grown on semiconductor capping layer utilizing a well known dry/ wet oxidation process. When gate dielectric layer 324 is grown it will form only on semiconductor containing areas, such as capping layer 322 and not on isolation regions 312. Alternatively, gate dielectric layer 324 can be a deposited dielectric layer. In an embodiment of the present invention, gate dielectric layer 324 is a high K gate dielectric layer, such as a metal oxide dielectric layer, such as but not limited to hafnium oxide, zirconium oxide, tantalum oxide and titanium oxide. A high K metal oxide dielectric layer can be deposited by any well known technique, such as chemical vapor deposition or sputter deposition. When gate dielectric layer 324 is deposited it will also form on isolation regions 312 . [0038] Next, as shown in Figure 3H, a gate electrode material 326 is blanket deposited over substrate 300 so that it deposits onto and around gate dielectric layer 324. That is, the gate electrode material is deposited onto the gate dielectric layer 324 formed on capping layer 322 formed on the top surface of semiconductor body 318 and is formed or adjacent to capping layer 322 formed on the sidewalls 320 of semiconductor body 318. In an embodiment of the present invention, the gate electrode material 326 is polycrystalline silicon. In an embodiment of the present invention, the gate electrode material 326 is a metal film. In an embodiment of the present invention, gate electrode material 326 is a metal film having a work function tailored for an n type device and in an embodiment of the present invention, the gate electrode material is metal film having a work function tailored for a p type device. Gate electrode material 326 is formed to a thickness sufficient to completely cover or surround semiconductor bodies 318, capping layer 322 and gate dielectric layer 324 as shown in Figure 3H.
[0039] Next, as shown in Figure 31, the gate electrode material 326 and gate dielectric layer 324 are patterned by well known techniques to form a gate electrode 330 and a gate dielectric layer 328. Gate electrode material 326 and gate dielectric layer 324 can be patterned utilizing well known photolithography and etching techniques. Gate electrode 330 has a pair of laterally opposite sidewalls 332 which define the gate length of the device. In an embodiment of the present invention, laterally opposite sidewalls 332 run in a direction perpendicular to semiconductor bodies 318. Although, a subtractive process is shown for the formation of gate electrode 330, other well known techniques, such as a replacement gate process may be utilized to form gate electrode 330.
[0040] Next, as also shown in Figure 31, a pair of source/ drain regions 340 are formed in capping layer 332 and semiconductor body 318 on opposite sides of gate electrode 330. When forming an n type device, source/ drain regions can be formed to an n type conductivity with a concentration between lxl 020 to lxl 021 atoms/ cm3. In an embodiment of the present invention, when forming a p type device, source/ drain regions having a p type conductivity with a concentration between lxlO20 to lxlO21 atoms/ cm3 can be formed. Any well known technique, such as ion implantation or thermal diffusion, may be utilized to form the source/ drain regions. When ion implantation is used, the gate electrode 330 can be used to mask the channel region of the transistor from the ion implantation process and thereby self -aligning the source/ drain regions 340 with the gate electrode 330. Additionally, if desired, source/ drain regions may include sub-regions, such as source/ drain extensions and source/ drain contact regions. Well known processes including formation of spacers can be utilized to form the sub-regions. Additionally, if desired, suicide can be formed on the source/ drain regions 340 and on top of the gate electrode 330 to further decrease the electrical contact resistance. This completes the fabrication of bulk nonplanar transistor having strain enhanced mobility.
[0041] Well known "back end" techniques can be utilized to form metal contacts, metallization layers and interlayer dielectrics to interconnect various transistors together into functional integrated circuits, such as microprocessors.
[0042] A valuable aspect of the present invention, is that the capping layer increases the gate width of the transistor. In this way, minimum feature dimension and spacing can be used to form the semiconductor bodies and then the capping layer can be formed on and around the minimally defined semiconductor bodies to increase the gate width of the device. This increases the current per area of the device which improves device performance. Formation of a capping layer on minimally defined and separated features reduces the distance between minimally spaced bodies to a distance less than the critical dimension or less than the dimension achievable with photolithography process used to define the device. In this way, the formation of a capping layer enables larger gate width to be achieved with each semiconductor body while still defining the bodies with the minimum critical dimensions (CD) and spacing. Utilizing a capping layer to increase the gate width is valuable even in applications which do not require or desire stress enhanced mobility. As such, embodiments of the present invention include applications where, for example, silicon capping layers are formed on minimally spaced silicon bodies in order to increase the gate width of the fabricated transistor. Additionally, use of a capping layer to increase gate width per area is useful in non-bulk devices, such as tri-gate or nonplanar devices formed on insulated substrates, such as in silicon on insulator (SOI) substrates. [0043] In embodiments of the present invention, stacks of semiconductor films (i.e., bulk semiconductor 300, semiconductor body 318 and capping layer 322) are engineered to produce high strain in the capping layer 322 which can dramatically increase carrier mobility. Figure 5 illustrates how a bulk silicon monocrystalline silicon substrate, a silicon germanium alloy semiconductor body 320 and a silicon capping layer 322 can produce high tensile stress in the silicon capping layer 322. When growing an epitaxial silicon germanium alloy film 316 on a monocrystalline substrate 300 (Figure 3E) the lattice constant of the plane 502 of the silicon germanium film 318 parallel to the surface of the silicon monocrystalline substrate 300 is matched to the silicon lattice of the bulk silicon substrate 300. The lattice constant of the plane 504 of the silicon germanium alloy 316 perpendicular to the silicon substrate surface is larger than the plane 502 parallel to the silicon substrate 300 due to the tetragonal distortion of the silicon germanium epitaxial film 316. Once the isolation regions 312 are recessed (Figure 3F) to form silicon germanium body 318 the silicon germanium lattice on the top 319 will expand and the lattice constant on the sides will contract due to the presence of free surface. In general the lattice constant on the sidewall 320 of the silicon germanium alloy 318 will be larger than the lattice constant on the top surface 319 of the silicon germanium alloy which will be greater than the lattice constant of the silicon germanium alloy on the silicon monocrystalline substrate. When a silicon capping layer 322 is grown on the strained silicon germanium alloy, (Figure 3G) the silicon germanium alloy 318 will impose its lengthened vertical cell dimension 504 on an already smaller cell dimension of the silicon capping layer 322 producing a orthorhombic strained silicon capping layer 322 on the sidewalls of the SiGe body 318. Thus, the silicon capping layer formed on the sidewalls 322 of the silicon germanium alloy will witness a substantial tensile strain and a lower but significant tensile strain on the top surface 319 of the silicon germanium alloy. The strain produced in silicon capping layer 322 is in a direction perpendicular to current flow in the device.
[0044] Figures 4 A-4C illustrate a method of forming a bulk nonplanar transistor having strain enhanced mobility wherein the capping layer is formed thicker on the top surface of the semiconductor body than on the sidewalls. As illustrated in Figure 4A, semiconductor body film 316 is grown between isolation regions 312 as described with respect to Figure 3E. In this embodiment, however, a first portion 410 of the capping layer is grown on semiconductor body 316 prior to recessing isolation regions 312. In an embodiment of the present invention, silicon nitride layer 306 is formed thicker than necessary for the semiconductor body 318 so that additional room is provided to enable the first portion 410 of the semiconductor capping layer to be grown within the trench 310. In this way, the first portion of the capping layer 410 can be confined within the isolation regions 312. After formation of the first portion 410 of the capping layer, the isolation regions 312 are recessed back as described above to form a semiconductor body 318 having a capping layer 410 formed on the top surface thereof as shown in Figure 4B. Next, as shown in Figure 4C, a second portion 412 of the capping layer is grown on the sidewalls 320 of the semiconductor body 318 and on the first portion 410 of the capping layer formed on the top surface 319 of semiconductor body 320. In an embodiment of the present invention, the semiconductor capping layer 410 is formed to a thickness substantially equal to the thickness of the second portion of the capping layer 412. In this way, when a substantially square semiconductor body 318 is formed, the semiconductor body 318 plus capping layer will still provide a substantially square capped body. Next, processing can continue as illustrated in Figures 3H and 31 to complete fabrication of the bulk nonplanar transistor having a strain enhanced mobility.

Claims

IN THE CLAIMSWe claim:
1. A semiconductor device comprising: a semiconductor body on a semiconductor substrate, said semiconductor body having a top surface and laterally opposite sidewalls; a semiconductor capping layer formed on the top surface and on the sidewalls of said semiconductor body; a gate dielectric layer formed on said semiconductor capping layer on said top surface and on said sidewalls of said semiconductor body; a gate electrode having a pair of laterally opposite sidewalls formed on and around said gate dielectric layer; and a pair of source/ drain regions formed in said semiconductor body on opposite sides of said gate electrode.
2. The semiconductor device of claim 1 wherein said semiconductor capping layer have a tensile stress.
3. The semiconductor device of claim 2 wherein said semiconductor capping layer has greater tensile stress on the sidewalls of said semiconductor body and then on the top surface of said semiconductor body.
4. The semiconductor device of claim 2 wherein said source/ drain regions are n type conductivity.
5. The semiconductor device of claim 1 wherein said semiconductor substrate is a silicon substrate, wherein said semiconductor body is a silicon germanium alloy and wherein said semiconductor capping layer is a silicon film.
6. The semiconductor device of claim 1 wherein said semiconductor capping layer has a compressive stress.
7. The semiconductor device of claim 6 wherein said semiconductor capping layer has a greater compressive stress on the sidewalls than on the top surface of said semiconductor body.
8. The semiconductor device of claim 6 wherein said semiconductor substrate is a monocrystalline silicon substrate, wherein said semiconductor body comprises a silicon-carbon alloy and wherein said semiconductor capping is a silicon film.
9. The semiconductor device of claim 1 wherein said semiconductor substrate is a silicon substrate, wherein said semiconductor body is a silicon body, and wherein said semiconductor capping layer is a silicon capping layer.
10. A semiconductor device comprising: a silicon germanium body formed on a silicon monocrystalline substrate, said silicon germanium body having a top surface and a pair of laterally opposite sidewalls; a silicon film formed on said top surface and on said sidewalls of said silicon germanium body; a gate dielectric layer formed on said silicon film on said top surface of said semiconductor body and on said silicon film on said sidewalls of said semiconductor body; a gate electrode having a pair of laterally opposite sidewalls formed on and around said gate dielectric layer; and a pair of source/ drain regions formed in said semiconductor body on opposite sides of said gate electrode.
11. The semiconductor device of claim 10 wherein said silicon film is formed thicker on the top surface of said semiconductor body than on the sidewalls of said semiconductor body.
12. The semiconductor device of claim 10 wherein said silicon film has a thickness between 50-300A.
13. The semiconductor device of claim 10 wherein said silicon germanium alloy comprises between 5-40% germanium.
14. The semiconductor device of claim 13 wherein said silicon germanium alloy comprises approximately 15-25% germanium.
15. The semiconductor device of claim 10 wherein said source/ drain regions are n type conductivity.
16. A semiconductor device comprising: a silicon-carbon alloy body formed on a silicon monocrystalline substrate, said silicon carbon alloy body having a top surface and a pair of laterally opposite sidewalls; a silicon film formed on said top surface and on said sidewalls of said silicon carbon alloy body; a gate dielectric layer formed on said silicon film on said top surface of said silicon-carbon body and on said silicon film on said sidewalls of said silicon-carbon alloy body; a gate electrode having a pair of laterally opposite sidewalls formed on and around said gate dielectric layer; and a pair of source/ drain regions formed in said semiconductor body on opposite sides of said gate electrode.
17. The semiconductor device of claim 16 wherein said silicon film is formed to a thickness between 50-300A.
18. The semiconductor device of claim 17 wherein said silicon film has a thickness between 50-300A.
19. The semiconductor device of claim 16 wherein said source/ drain regions are p type conductivity.
20. A method of forming a semiconductor device comprising: forming a pair of isolation regions in a semiconductor substrate, said pair of isolation regions defining an active substrate region in said semiconductor substrate therebetween, said isolation region extending above said substrate; forming a semiconductor film on said active region of said semiconductor substrate between said pair of isolation regions; etching back said isolation regions to form a semiconductor body from said semiconductor film wherein said semiconductor body has a top surface and a pair of laterally opposite sidewalls; forming a semiconductor capping layer on said top surface and said sidewalls of said semiconductor body; forming a gate dielectric layer over said capping layer formed on said sidewalls of said top surface of said semiconductor body; forming a gate electrode having a pair of laterally opposite sidewalls on and around said gate dielectric layer; and forming a pair of source/ drain regions in said semiconductor body on opposite sides of said gate electrode.
21. The method of claim 20 wherein said semiconductor film is selectively grown from said active region of said semiconductor substrate.
22. The method of claim 20 wherein said capping layer is selectively grown from said semiconductor body.
23. The method of claim 20 wherein said isolation regions are etched back with a wet etchant.
24. The method of claim 20 wherein said semiconductor capping layer has a tensile stress.
25. The method of claim 24 wherein said semiconductor capping layer has a greater tensile stress on the sidewalls of said semiconductor body than on the top surface of said semiconductor body.
26. The method of claim 24 wherein said source/ drain regions are n type conductivity.
27. The method of claim 20 wherein said semiconductor substrate is a silicon substrate and wherein said semiconductor body is a silicon germanium alloy and wherein said semiconductor capping layer is silicon.
28. The method of claim 20 wherein said semiconductor capping layer has a compressive stress.
29. The method of claim 28 wherein said semiconductor capping layer has a greater compressive stress on the sidewalls than on the top surface of said semiconductor body.
30. The method of claim 28 wherein said semiconductor substrate is a monocrystalline silicon substrate, wherein said semiconductor body comprises a silicon-carbon alloy and wherein said semiconductor capping layer an expitaxial silicon.
31. The method of claim 28 wherein said source/ drain regions are p type conductivity.
32. A method of forming a semiconductor device comprising: forming a pair of spaced apart isolation regions in a semiconductor substrate, said spaced apart isolation regions defining an active substrate area in said substrate wherein said isolation regions extend above said active substrate area; forming a semiconductor film on said active area of said substrate between said isolation regions; forming a first capping layer on said top surface of said semiconductor film between said isolation regions; etching back said isolation regions to form a semiconductor body having a top surface with said first capping layer and a pair of laterally opposite sidewalls; forming a second capping layer on said first capping layer on the top surface of said semiconductor body and on said sidewalls of said semiconductor body; forming a gate dielectric layer on said second capping layer on said first capping layer on said semiconductor body and on said second capping layer on said sidewalls of said semiconductor body; forming a gate electrode having a pair of laterally opposite sidewalls on and around said gate dielectric layer; and forming a pair of source/ drain regions in said semiconductor body on opposite sides of said gate electrode.
33. The method of claim 32 wherein said first and second capping layer are epitaxial silicon and wherein said semiconductor body is a silicon germanium alloy and wherein said semiconductor substrate is a silicon monocrystalline substrate.
34. The method of claim 32 wherein said first and second capping layer are epitaxial silicon, wherein said semiconductor body is a silicon- carbon alloy and wherein said semiconductor substrate is a silicon monocrystalline substrate.
35. The method of claim 32 wherein said first and second semiconductor capping layers have a tensile stress.
36. The method of claim 32 wherein said first and second semiconductor capping layers have a compressive stress.
37. The method of claim 32 wherein said semiconductor film has a different lattice structure than said semiconductor substrate so that said semiconductor film has a stress formed therein.
38. A method of forming a semiconductor device comprising: forming a first semiconductor body and a second semiconductor body on a substrate, said first and said second semiconductor bodies each having a top surface and a pair of laterally opposite sidewalls, said first semiconductor body and said second semiconductor body separated by a distance; forming a semiconductor capping layer on said sidewalls and said top surface of said first and said second semiconductor bodies; forming a gate dielectric layer on said top surface and said sidewalls of said first and said second semiconductor bodies; and forming a gate electrode on said gate dielectric layer on said top surface of said first and second semiconductor bodies and adjacent to said gate dielectric layer on said sidewalls of said first and second semiconductor bodies.
39. The method of claim 38 wherein said semiconductor bodies are defined utilizing a photolithography process and wherein said distance separating said first and second bodies is the minimum dimension achievable by said photolithography process.
40. The method of claim 39 wherein said first and second semiconductor bodies having a width equal to the smallest dimension definable by said photolithography process.
41. The method of claim 38 wherein said semiconductor body is an epitaxial silicon film and wherein said semiconductor capping layer is an epitaxial silicon film.
42. The method of claim 38 wherein said semiconductor body is an epitaxial silicon germanium alloy film and wherein said semiconductor capping layer is an epitaxial silicon film.
PCT/US2005/010505 2004-03-31 2005-03-28 A bulk non-planar transistor having a strained channel with enhanced mobility and methods of fabrication WO2005098963A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN200580009823XA CN101189730B (en) 2004-03-31 2005-03-28 Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
DE112005000704T DE112005000704B4 (en) 2004-03-31 2005-03-28 Non-planar Bulk Transistor with strained channel with increased mobility and method of manufacture

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/816,311 2004-03-31
US10/816,311 US7154118B2 (en) 2004-03-31 2004-03-31 Bulk non-planar transistor having strained enhanced mobility and methods of fabrication

Publications (1)

Publication Number Publication Date
WO2005098963A1 true WO2005098963A1 (en) 2005-10-20

Family

ID=34964024

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2005/010505 WO2005098963A1 (en) 2004-03-31 2005-03-28 A bulk non-planar transistor having a strained channel with enhanced mobility and methods of fabrication

Country Status (6)

Country Link
US (3) US7154118B2 (en)
KR (1) KR100845175B1 (en)
CN (1) CN101189730B (en)
DE (1) DE112005000704B4 (en)
TW (1) TWI269358B (en)
WO (1) WO2005098963A1 (en)

Cited By (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102006001680B3 (en) * 2006-01-12 2007-08-09 Infineon Technologies Ag Manufacturing method for a FinFET transistor arrangement and corresponding FinFET transistor arrangement
WO2008039495A1 (en) * 2006-09-27 2008-04-03 Amberwave Systems Corporation Tri-gate field-effect transistors formed by aspect ratio trapping
US7736956B2 (en) 2005-08-17 2010-06-15 Intel Corporation Lateral undercut of metal gate in SOI device
US7777250B2 (en) 2006-03-24 2010-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures and related methods for device fabrication
EP2315239A1 (en) * 2009-10-23 2011-04-27 Imec A method of forming monocrystalline germanium or silicon germanium
US8067818B2 (en) 2004-10-25 2011-11-29 Intel Corporation Nonplanar device with thinned lower body portion and method of fabrication
US8183646B2 (en) 2005-02-23 2012-05-22 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US8216951B2 (en) 2006-09-27 2012-07-10 Taiwan Semiconductor Manufacturing Company, Ltd. Quantum tunneling devices and circuits with lattice-mismatched semiconductor structures
US8237151B2 (en) 2009-01-09 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US8253211B2 (en) 2008-09-24 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
US8268709B2 (en) 2004-09-29 2012-09-18 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US8274097B2 (en) 2008-07-01 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8304805B2 (en) 2009-01-09 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor diodes fabricated by aspect ratio trapping with coalesced films
US8344242B2 (en) 2007-09-07 2013-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-junction solar cells
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US8384196B2 (en) 2008-09-19 2013-02-26 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of devices by epitaxial layer overgrowth
US8405164B2 (en) 2003-06-27 2013-03-26 Intel Corporation Tri-gate transistor device with stress incorporation layer and method of fabrication
US8519436B2 (en) 2005-05-17 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US8624103B2 (en) 2007-04-09 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-based multi-junction solar cell modules and methods for making the same
US8629446B2 (en) 2009-04-02 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Devices formed from a non-polar plane of a crystalline material and method of making the same
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
US8822248B2 (en) 2008-06-03 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial growth of crystalline material
US8847279B2 (en) 2006-09-07 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction using aspect ratio trapping
US8872284B2 (en) 2012-03-20 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with metal gate stressor
US8981427B2 (en) 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
WO2015047341A1 (en) 2013-09-27 2015-04-02 Intel Corporation Non-planar semiconductor devices having multi-layered compliant substrates
US9123633B2 (en) 2013-02-01 2015-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming semiconductor regions in trenches
US9153645B2 (en) 2005-05-17 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US9508890B2 (en) 2007-04-09 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photovoltaics on silicon
US9780190B2 (en) 2007-06-15 2017-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
US9837321B2 (en) 2014-08-05 2017-12-05 Taiwan Semiconductor Manufacturing Company, Ltd. Nonplanar device and strain-generating channel dielectric
WO2017218014A1 (en) 2016-06-17 2017-12-21 Intel Corporation Field effect transistors with gate electrode self-aligned to semiconductor fin
US9876016B2 (en) 2011-12-30 2018-01-23 Intel Corporation Wrap-around trench contact structure and methods of fabrication
US9984872B2 (en) 2008-09-19 2018-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Fabrication and structures of crystalline material
US10468551B2 (en) 2006-10-19 2019-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. Light-emitter-based devices with lattice-mismatched semiconductor structures
US11342438B1 (en) 2012-07-17 2022-05-24 Unm Rainforest Innovations Device with heteroepitaxial structure made using a growth mask
US11476338B2 (en) 2015-09-11 2022-10-18 Intel Corporation Aluminum indium phosphide subfin germanium channel transistors

Families Citing this family (231)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7358121B2 (en) * 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US7074656B2 (en) * 2003-04-29 2006-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Doping of semiconductor fin devices
EP1643560A4 (en) * 2003-05-30 2007-04-11 Matsushita Electric Ind Co Ltd Semiconductor device and method for manufacturing same
US20070010040A1 (en) * 2003-06-26 2007-01-11 Rj Mears, Llc Method for Making a Semiconductor Device Including a Strained Superlattice Layer Above a Stress Layer
US20070015344A1 (en) * 2003-06-26 2007-01-18 Rj Mears, Llc Method for Making a Semiconductor Device Including a Strained Superlattice Between at Least One Pair of Spaced Apart Stress Regions
US7531828B2 (en) * 2003-06-26 2009-05-12 Mears Technologies, Inc. Semiconductor device including a strained superlattice between at least one pair of spaced apart stress regions
US20070020860A1 (en) * 2003-06-26 2007-01-25 Rj Mears, Llc Method for Making Semiconductor Device Including a Strained Superlattice and Overlying Stress Layer and Related Methods
US20070020833A1 (en) * 2003-06-26 2007-01-25 Rj Mears, Llc Method for Making a Semiconductor Device Including a Channel with a Non-Semiconductor Layer Monolayer
US7598515B2 (en) * 2003-06-26 2009-10-06 Mears Technologies, Inc. Semiconductor device including a strained superlattice and overlying stress layer and related methods
US7612366B2 (en) * 2003-06-26 2009-11-03 Mears Technologies, Inc. Semiconductor device including a strained superlattice layer above a stress layer
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US7105390B2 (en) 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US7268058B2 (en) 2004-01-16 2007-09-11 Intel Corporation Tri-gate transistors and methods to fabricate same
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
KR100541657B1 (en) * 2004-06-29 2006-01-11 삼성전자주식회사 Multi-gate transistor fabrication method and multi-gate transistor fabricated thereby
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US7598134B2 (en) * 2004-07-28 2009-10-06 Micron Technology, Inc. Memory device forming methods
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US7253493B2 (en) * 2004-08-24 2007-08-07 Micron Technology, Inc. High density access transistor having increased channel width and methods of fabricating such devices
US7679145B2 (en) * 2004-08-31 2010-03-16 Intel Corporation Transistor performance enhancement using engineered strains
US8673706B2 (en) * 2004-09-01 2014-03-18 Micron Technology, Inc. Methods of forming layers comprising epitaxial silicon
US7144779B2 (en) * 2004-09-01 2006-12-05 Micron Technology, Inc. Method of forming epitaxial silicon-comprising material
US7132355B2 (en) * 2004-09-01 2006-11-07 Micron Technology, Inc. Method of forming a layer comprising epitaxial silicon and a field effect transistor
US7531395B2 (en) * 2004-09-01 2009-05-12 Micron Technology, Inc. Methods of forming a layer comprising epitaxial silicon, and methods of forming field effect transistors
US7332439B2 (en) 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US7393733B2 (en) * 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US7193279B2 (en) * 2005-01-18 2007-03-20 Intel Corporation Non-planar MOS structure with a strained channel region
FR2881877B1 (en) * 2005-02-04 2007-08-31 Soitec Silicon On Insulator MULTI-LAYER CHANNEL FIELD EFFECT TRANSISTOR WITH MULTI-LAYER CHANNEL
US20060202266A1 (en) 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
US20060214233A1 (en) * 2005-03-22 2006-09-28 Ananthanarayanan Hari P FinFET semiconductor device
FR2885733B1 (en) * 2005-05-16 2008-03-07 St Microelectronics Crolles 2 THREE-GRID TRANSISTOR STRUCTURE
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US20060286759A1 (en) * 2005-06-21 2006-12-21 Texas Instruments, Inc. Metal oxide semiconductor (MOS) device having both an accumulation and a enhancement mode transistor device on a similar substrate and a method of manufacture therefor
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7247887B2 (en) * 2005-07-01 2007-07-24 Synopsys, Inc. Segmented channel MOS transistor
US7265008B2 (en) 2005-07-01 2007-09-04 Synopsys, Inc. Method of IC production using corrugated substrate
US7190050B2 (en) * 2005-07-01 2007-03-13 Synopsys, Inc. Integrated circuit on corrugated substrate
US7288802B2 (en) * 2005-07-27 2007-10-30 International Business Machines Corporation Virtual body-contacted trigate
US7381649B2 (en) * 2005-07-29 2008-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for a multiple-gate FET device and a method for its fabrication
US7348642B2 (en) 2005-08-03 2008-03-25 International Business Machines Corporation Fin-type field effect transistor
US20070090416A1 (en) 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US7479421B2 (en) 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US20070102756A1 (en) * 2005-11-10 2007-05-10 Bohumil Lojek FinFET transistor fabricated in bulk semiconducting material
DE102006027178A1 (en) * 2005-11-21 2007-07-05 Infineon Technologies Ag A multi-fin device array and method of fabricating a multi-fin device array
US7485503B2 (en) 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
US8183556B2 (en) 2005-12-15 2012-05-22 Intel Corporation Extreme high mobility CMOS logic
KR100713924B1 (en) * 2005-12-23 2007-05-07 주식회사 하이닉스반도체 Fin transistor and method for forming thereof
US7525160B2 (en) * 2005-12-27 2009-04-28 Intel Corporation Multigate device with recessed strain regions
US20070161214A1 (en) 2006-01-06 2007-07-12 International Business Machines Corporation High k gate stack on III-V compound semiconductors
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
JP2007299951A (en) * 2006-04-28 2007-11-15 Toshiba Corp Semiconductor device and its manufacturing method
WO2007133775A2 (en) 2006-05-15 2007-11-22 Carnegie Mellon University Integrated circuit, device, system, and method of fabrication
US7422960B2 (en) 2006-05-17 2008-09-09 Micron Technology, Inc. Method of forming gate arrays on a partial SOI substrate
JP2007329200A (en) * 2006-06-06 2007-12-20 Toshiba Corp Method of manufacturing semiconductor device
JP4552908B2 (en) * 2006-07-26 2010-09-29 エルピーダメモリ株式会社 Manufacturing method of semiconductor device
US8143646B2 (en) 2006-08-02 2012-03-27 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
US7727908B2 (en) 2006-08-03 2010-06-01 Micron Technology, Inc. Deposition of ZrA1ON films
US7537994B2 (en) * 2006-08-28 2009-05-26 Micron Technology, Inc. Methods of forming semiconductor devices, assemblies and constructions
US7759747B2 (en) 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
US7776765B2 (en) 2006-08-31 2010-08-17 Micron Technology, Inc. Tantalum silicon oxynitride high-k dielectrics and metal gates
US7456471B2 (en) * 2006-09-15 2008-11-25 International Business Machines Corporation Field effect transistor with raised source/drain fin straps
US7829407B2 (en) * 2006-11-20 2010-11-09 International Business Machines Corporation Method of fabricating a stressed MOSFET by bending SOI region
US7728364B2 (en) * 2007-01-19 2010-06-01 International Business Machines Corporation Enhanced mobility CMOS transistors with a V-shaped channel with self-alignment to shallow trench isolation
US8735990B2 (en) * 2007-02-28 2014-05-27 International Business Machines Corporation Radiation hardened FinFET
JP5003515B2 (en) 2007-03-20 2012-08-15 ソニー株式会社 Semiconductor device
US8927353B2 (en) 2007-05-07 2015-01-06 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method of forming the same
US8450165B2 (en) 2007-05-14 2013-05-28 Intel Corporation Semiconductor device having tipless epitaxial source/drain regions
US20080293192A1 (en) * 2007-05-22 2008-11-27 Stefan Zollner Semiconductor device with stressors and methods thereof
US8174073B2 (en) * 2007-05-30 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structures with multiple FinFETs
US20090001415A1 (en) * 2007-06-30 2009-01-01 Nick Lindert Multi-gate transistor with strained body
US7692254B2 (en) * 2007-07-16 2010-04-06 International Business Machines Corporation Fin-type field effect transistor structure with merged source/drain silicide and method of forming the structure
KR20090116088A (en) * 2008-05-06 2009-11-11 삼성전자주식회사 A capacitor-less one transistor semiconductor memory device having improved data retention abilities and operation characteristics
US7969808B2 (en) * 2007-07-20 2011-06-28 Samsung Electronics Co., Ltd. Memory cell structures, memory arrays, memory devices, memory controllers, and memory systems, and methods of manufacturing and operating the same
KR101308048B1 (en) * 2007-10-10 2013-09-12 삼성전자주식회사 Semiconductor memory device
KR20090075063A (en) * 2008-01-03 2009-07-08 삼성전자주식회사 Semiconductor memory device comprising memory cell array having dynamic memory cells using floating body transistor and method of operating the same
US7982269B2 (en) * 2008-04-17 2011-07-19 International Business Machines Corporation Transistors having asymmetric strained source/drain portions
JP5159413B2 (en) * 2008-04-24 2013-03-06 株式会社東芝 Semiconductor device and manufacturing method thereof
US7833891B2 (en) * 2008-07-23 2010-11-16 International Business Machines Corporation Semiconductor device manufacturing method using oxygen diffusion barrier layer between buried oxide layer and high K dielectric layer
KR20100070158A (en) * 2008-12-17 2010-06-25 삼성전자주식회사 Semiconductor memory device comprising capacitor-less dynamic memory cells, and method of operating the same
KR101442177B1 (en) * 2008-12-18 2014-09-18 삼성전자주식회사 Methods of fabricating a semiconductor device having a capacitor-less one transistor memory cell
US8058692B2 (en) 2008-12-29 2011-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-gate transistors with reverse T-shaped fins
US8305829B2 (en) * 2009-02-23 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Memory power gating circuit for controlling internal voltage of a memory array, system and method for controlling the same
US8305790B2 (en) * 2009-03-16 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical anti-fuse and related applications
US8957482B2 (en) * 2009-03-31 2015-02-17 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical fuse and related applications
CN101853882B (en) 2009-04-01 2016-03-23 台湾积体电路制造股份有限公司 There is the high-mobility multiple-gate transistor of the switch current ratio of improvement
US8816391B2 (en) * 2009-04-01 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain engineering of devices with high-mobility channels
US8912602B2 (en) * 2009-04-14 2014-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US8455860B2 (en) 2009-04-30 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing source/drain resistance of III-V based transistors
US9768305B2 (en) * 2009-05-29 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Gradient ternary or quaternary multiple-gate transistor
US8617976B2 (en) 2009-06-01 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain re-growth for manufacturing III-V based transistors
US8461015B2 (en) * 2009-07-08 2013-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. STI structure and method of forming bottom void in same
US8482073B2 (en) * 2010-03-25 2013-07-09 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit including FINFETs and methods for forming the same
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US9484462B2 (en) 2009-09-24 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of fin field effect transistor
US8623728B2 (en) * 2009-07-28 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high germanium concentration SiGe stressor
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US8472227B2 (en) * 2010-01-27 2013-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and methods for forming the same
US8264021B2 (en) * 2009-10-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods for forming the same
US8298925B2 (en) 2010-11-08 2012-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US8264032B2 (en) * 2009-09-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Accumulation type FinFET, circuits and fabrication method thereof
US8759943B2 (en) 2010-10-08 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor having notched fin structure and method of making the same
US8629478B2 (en) * 2009-07-31 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure for high mobility multiple-gate transistor
US8980719B2 (en) 2010-04-28 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping fin field-effect transistors
US20110097867A1 (en) * 2009-10-22 2011-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of controlling gate thicknesses in forming fusi gates
US8269283B2 (en) 2009-12-21 2012-09-18 Intel Corporation Methods and apparatus to reduce layout based strain variations in non-planar transistor structures
US9040393B2 (en) 2010-01-14 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor structure
JP5166458B2 (en) * 2010-01-22 2013-03-21 株式会社東芝 Semiconductor device and manufacturing method thereof
US8609495B2 (en) * 2010-04-08 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid gate process for fabricating finfet device
US8207038B2 (en) * 2010-05-24 2012-06-26 International Business Machines Corporation Stressed Fin-FET devices with low contact resistance
DE102010038742B4 (en) * 2010-07-30 2016-01-21 Globalfoundries Dresden Module One Llc & Co. Kg Method and semiconductor device based on a deformation technology in three-dimensional transistors based on a deformed channel semiconductor material
US8603924B2 (en) 2010-10-19 2013-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming gate dielectric material
US9048181B2 (en) 2010-11-08 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US8769446B2 (en) 2010-11-12 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method and device for increasing fin device density for unaligned fins
US8936978B2 (en) * 2010-11-29 2015-01-20 International Business Machines Corporation Multigate structure formed with electroless metal deposition
US8877602B2 (en) 2011-01-25 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms of doping oxide for forming shallow trench isolation
US8592915B2 (en) 2011-01-25 2013-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Doped oxide for shallow trench isolation (STI)
US8431453B2 (en) 2011-03-31 2013-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma doping to reduce dielectric loss during removal of dummy layers in a gate structure
US9761666B2 (en) * 2011-06-16 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel field effect transistor
US9287385B2 (en) 2011-09-01 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-fin device and method of making same
US8441072B2 (en) * 2011-09-02 2013-05-14 United Microelectronics Corp. Non-planar semiconductor structure and fabrication method thereof
TWI499006B (en) * 2011-10-07 2015-09-01 Etron Technology Inc Split gate memory cell structure
US9368502B2 (en) * 2011-10-17 2016-06-14 GlogalFoundries, Inc. Replacement gate multigate transistor for embedded DRAM
US9893163B2 (en) 2011-11-04 2018-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. 3D capacitor and method of manufacturing same
CN103117305A (en) * 2011-11-16 2013-05-22 中芯国际集成电路制造(上海)有限公司 Fin type field-effect tube and substrate thereof
DE112011105987T5 (en) * 2011-12-19 2014-09-11 Intel Corporation Nonplanar III-N transistor
DE112011105995B4 (en) * 2011-12-23 2020-08-06 Intel Corporation Manufacturing process for a non-planar all-round gate circuit
US8659097B2 (en) * 2012-01-16 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Control fin heights in FinFET structures
US9171925B2 (en) * 2012-01-24 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate devices with replaced-channels and methods for forming the same
US9466696B2 (en) 2012-01-24 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US9281378B2 (en) 2012-01-24 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Fin recess last process for FinFET fabrication
US9559099B2 (en) 2012-03-01 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for FinFETs
US8742509B2 (en) * 2012-03-01 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for FinFETs
KR101835655B1 (en) 2012-03-06 2018-03-07 삼성전자주식회사 FinFET and method of fabricating the same
US8836016B2 (en) 2012-03-08 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
US8994002B2 (en) 2012-03-16 2015-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET having superlattice stressor
KR101894221B1 (en) * 2012-03-21 2018-10-04 삼성전자주식회사 Field effect transistor and semiconductor device including the same
CN103325833B (en) * 2012-03-21 2018-08-07 三星电子株式会社 Field-effect transistor and semiconductor devices and integrated circuit device including it
US8716765B2 (en) 2012-03-23 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8629512B2 (en) * 2012-03-28 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Gate stack of fin field effect transistor with slanted sidewalls
US9368388B2 (en) * 2012-04-13 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for FinFETs
US9559189B2 (en) 2012-04-16 2017-01-31 United Microelectronics Corp. Non-planar FET
US8709910B2 (en) 2012-04-30 2014-04-29 United Microelectronics Corp. Semiconductor process
US9059321B2 (en) * 2012-05-14 2015-06-16 International Business Machines Corporation Buried channel field-effect transistors
US8829610B2 (en) 2012-05-15 2014-09-09 United Microelectronics Corp. Method for forming semiconductor layout patterns, semiconductor layout patterns, and semiconductor structure
CN103426765B (en) * 2012-05-24 2016-12-14 中芯国际集成电路制造(上海)有限公司 The forming method of semiconductor device, the forming method of fin field effect pipe
CN103515430B (en) * 2012-06-19 2016-08-10 中芯国际集成电路制造(上海)有限公司 Fin formula field effect transistor and manufacture method thereof
KR101909204B1 (en) * 2012-06-25 2018-10-17 삼성전자 주식회사 Semiconductor device having embedded strain-inducing pattern and method of forming the same
US8497171B1 (en) * 2012-07-05 2013-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET method and structure with embedded underlying anti-punch through layer
US8847281B2 (en) * 2012-07-27 2014-09-30 Intel Corporation High mobility strained channels for fin-based transistors
US9817928B2 (en) 2012-08-31 2017-11-14 Synopsys, Inc. Latch-up suppression and substrate noise coupling reduction through a substrate back-tie for 3D integrated circuits
US9190346B2 (en) 2012-08-31 2015-11-17 Synopsys, Inc. Latch-up suppression and substrate noise coupling reduction through a substrate back-tie for 3D integrated circuits
US20140091279A1 (en) * 2012-09-28 2014-04-03 Jessica S. Kachian Non-planar semiconductor device having germanium-based active region with release etch-passivation surface
US8633516B1 (en) 2012-09-28 2014-01-21 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain stack stressor for semiconductor device
CN103715087B (en) * 2012-09-29 2016-12-21 中芯国际集成电路制造(上海)有限公司 Fin formula field effect transistor and manufacture method thereof
US8716803B2 (en) * 2012-10-04 2014-05-06 Flashsilicon Incorporation 3-D single floating gate non-volatile memory device
US9349837B2 (en) 2012-11-09 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Recessing STI to increase Fin height in Fin-first process
US9443962B2 (en) 2012-11-09 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Recessing STI to increase fin height in fin-first process
CN103839810B (en) * 2012-11-21 2017-02-22 中芯国际集成电路制造(上海)有限公司 Fin field effect transistor chip and manufacturing method thereof
US8946063B2 (en) * 2012-11-30 2015-02-03 International Business Machines Corporation Semiconductor device having SSOI substrate with relaxed tensile stress
CN103855020B (en) * 2012-12-04 2016-06-29 中芯国际集成电路制造(上海)有限公司 Transistor and forming method thereof
US8772117B2 (en) * 2012-12-05 2014-07-08 Globalfoundries Inc. Combination FinFET and planar FET semiconductor device and methods of making such a device
EP2741337B1 (en) 2012-12-07 2018-04-11 IMEC vzw Semiconductor heterostructure field effect transistor and method for making thereof
US8847324B2 (en) 2012-12-17 2014-09-30 Synopsys, Inc. Increasing ION /IOFF ratio in FinFETs and nano-wires
US9379018B2 (en) 2012-12-17 2016-06-28 Synopsys, Inc. Increasing Ion/Ioff ratio in FinFETs and nano-wires
US8890119B2 (en) 2012-12-18 2014-11-18 Intel Corporation Vertical nanowire transistor with axially engineered semiconductor and gate metallization
US9054215B2 (en) 2012-12-18 2015-06-09 Intel Corporation Patterning of vertical nanowire transistor channel and gate with directed self assembly
US8768271B1 (en) 2012-12-19 2014-07-01 Intel Corporation Group III-N transistors on nanoscale template structures
US8957476B2 (en) * 2012-12-20 2015-02-17 Intel Corporation Conversion of thin transistor elements from silicon to silicon germanium
US8956942B2 (en) 2012-12-21 2015-02-17 Stmicroelectronics, Inc. Method of forming a fully substrate-isolated FinFET transistor
US9076813B1 (en) 2013-01-15 2015-07-07 Stc.Unm Gate-all-around metal-oxide-semiconductor transistors with gate oxides
US9087902B2 (en) * 2013-02-27 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
US9159824B2 (en) 2013-02-27 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
JP6309299B2 (en) * 2013-02-27 2018-04-11 ルネサスエレクトロニクス株式会社 Semiconductor device having compressive strain channel region and manufacturing method thereof
US9385234B2 (en) 2013-02-27 2016-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
US8963258B2 (en) * 2013-03-13 2015-02-24 Taiwan Semiconductor Manufacturing Company FinFET with bottom SiGe layer in source/drain
US9111801B2 (en) * 2013-04-04 2015-08-18 Stmicroelectronics, Inc. Integrated circuit devices and fabrication techniques
US8796666B1 (en) * 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9276087B2 (en) 2013-05-10 2016-03-01 Samsung Electronics Co., Ltd. Methods of manufacturing FINFET semiconductor devices using sacrificial gate patterns and selective oxidization of a fin
CN104217948B (en) * 2013-05-31 2018-04-03 中国科学院微电子研究所 Semiconductor making method
US9093531B2 (en) 2013-06-11 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of semiconductor device
US9178043B2 (en) 2013-06-21 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Non-planar transistors with replacement fins and methods of forming the same
US8957478B2 (en) * 2013-06-24 2015-02-17 International Business Machines Corporation Semiconductor device including source/drain formed on bulk and gate channel formed on oxide layer
CN105474370B (en) * 2013-06-28 2019-02-22 英特尔公司 The device based on zero defect fin is formed in epitaxial lateral overgrowth region
US9263455B2 (en) 2013-07-23 2016-02-16 Micron Technology, Inc. Methods of forming an array of conductive lines and methods of forming an array of recessed access gate lines
US8952420B1 (en) 2013-07-29 2015-02-10 Stmicroelectronics, Inc. Method to induce strain in 3-D microfabricated structures
CN104347709B (en) * 2013-08-09 2018-09-04 联华电子股份有限公司 Semiconductor device
US9105582B2 (en) 2013-08-15 2015-08-11 United Microelectronics Corporation Spatial semiconductor structure and method of fabricating the same
US9496397B2 (en) 2013-08-20 2016-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. FinFet device with channel epitaxial region
US9099559B2 (en) * 2013-09-16 2015-08-04 Stmicroelectronics, Inc. Method to induce strain in finFET channels from an adjacent region
US9425042B2 (en) 2013-10-10 2016-08-23 Taiwan Semiconductor Manufacturing Company Limited Hybrid silicon germanium substrate for device fabrication
US9425257B2 (en) 2013-11-20 2016-08-23 Taiwan Semiconductor Manufacturing Company Limited Non-planar SiGe channel PFET
US9508854B2 (en) * 2013-12-06 2016-11-29 Ecole Polytechnique Federale De Lausanne (Epfl) Single field effect transistor capacitor-less memory device and method of operating the same
US20150162435A1 (en) * 2013-12-09 2015-06-11 Globalfoundries Inc. Asymmetric channel growth of a cladding layer over fins of a field effect transistor (finfet) device
WO2015094164A1 (en) * 2013-12-16 2015-06-25 Intel Corporation Dual strained cladding layers for semiconductor devices
US10109711B2 (en) * 2013-12-16 2018-10-23 Intel Corporation CMOS FinFET device having strained SiGe fins and a strained Si cladding layer on the NMOS channel
KR102094535B1 (en) 2014-03-21 2020-03-30 삼성전자주식회사 Transistor and method for fabricating the same
SG11201606392UA (en) * 2014-03-27 2016-09-29 Intel Corp High mobility strained channels for fin-based nmos transistors
US9490365B2 (en) 2014-06-12 2016-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
US9502538B2 (en) 2014-06-12 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd Structure and formation method of fin-like field effect transistor
US9490346B2 (en) 2014-06-12 2016-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
CN106463533B (en) 2014-06-20 2021-09-28 英特尔公司 Monolithic integration of high voltage transistors and low voltage non-planar transistors
KR102155327B1 (en) * 2014-07-07 2020-09-11 삼성전자주식회사 Field effect transistor and methods for manufacturing the same
CN105355658B (en) * 2014-08-18 2019-10-18 联华电子股份有限公司 Fin-shaped field-effect transistor element and its manufacturing method
US9634125B2 (en) 2014-09-18 2017-04-25 United Microelectronics Corporation Fin field effect transistor device and fabrication method thereof
KR102255174B1 (en) * 2014-10-10 2021-05-24 삼성전자주식회사 Semiconductor device having active region and method of forming the same
US9929242B2 (en) 2015-01-12 2018-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9502567B2 (en) 2015-02-13 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor fin structure with extending gate structure
KR102235612B1 (en) * 2015-01-29 2021-04-02 삼성전자주식회사 Semiconductor device having work-function metal and method of forming the same
CN105990240B (en) * 2015-03-04 2019-06-28 中芯国际集成电路制造(上海)有限公司 A kind of semiconductor devices and preparation method thereof, electronic device
US10833175B2 (en) * 2015-06-04 2020-11-10 International Business Machines Corporation Formation of dislocation-free SiGe finFET using porous silicon
CN107735864B (en) 2015-06-08 2021-08-31 美商新思科技有限公司 Substrate and transistor with 2D material channel on 3D geometry
US9425313B1 (en) 2015-07-07 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9953881B2 (en) 2015-07-20 2018-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a FinFET device
US9548216B1 (en) 2015-07-26 2017-01-17 United Microelectronics Corp. Method of adjusting channel widths of semiconductive devices
KR102465353B1 (en) * 2015-12-02 2022-11-10 삼성전자주식회사 Field effect transistor and semiconductor device comprising the same
US20170236841A1 (en) * 2016-02-11 2017-08-17 Qualcomm Incorporated Fin with an epitaxial cladding layer
KR101846991B1 (en) 2016-08-11 2018-04-09 가천대학교 산학협력단 SiGe P-CHANNEL TRI-GATE TRANSISTOR BASED ON BULK SILICON AND FABRICATION METHOD THEREOF
WO2018063192A1 (en) * 2016-09-28 2018-04-05 Intel Corporation Transistors with lattice matched gate structure
US11538905B2 (en) 2016-09-30 2022-12-27 Intel Corporation Nanowire transistors employing carbon-based layers
US11004954B2 (en) * 2016-09-30 2021-05-11 Intel Corporation Epitaxial buffer to reduce sub-channel leakage in MOS transistors
US9847392B1 (en) * 2016-10-11 2017-12-19 United Microelectronics Corp. Semiconductor device and method for fabricating the same
CN108063143B (en) * 2016-11-09 2020-06-05 上海新昇半导体科技有限公司 Complementary transistor device structure and manufacturing method thereof
US10741560B2 (en) * 2017-10-26 2020-08-11 International Business Machines Corporation High resistance readout FET for cognitive device
WO2019125424A1 (en) * 2017-12-20 2019-06-27 Intel Corporation Transistor with isolation below source and drain
US10727352B2 (en) * 2018-01-26 2020-07-28 International Business Machines Corporation Long-channel fin field effect transistors
US11378750B2 (en) * 2020-01-17 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium photodetector embedded in a multi-mode interferometer
US11257932B2 (en) * 2020-01-30 2022-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor device structure and method for forming the same
CN111509048A (en) * 2020-04-28 2020-08-07 上海华力集成电路制造有限公司 N-type fin transistor and manufacturing method thereof

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5545586A (en) * 1990-11-27 1996-08-13 Nec Corporation Method of making a transistor having easily controllable impurity profile
US20020011612A1 (en) * 2000-07-31 2002-01-31 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US20020036290A1 (en) * 2000-09-28 2002-03-28 Kabushiki Kaisha Toshiba Semiconductor device having MIS field effect transistors or three-dimensional structure
EP1202335A2 (en) * 2000-10-18 2002-05-02 International Business Machines Corporation Method of fabricating semiconductor side wall fin
US6475869B1 (en) * 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region
US20030057486A1 (en) * 2001-09-27 2003-03-27 International Business Machines Corporation Fin field effect transistor with self-aligned gate
JP2003298051A (en) * 2002-01-30 2003-10-17 Soko Lee Double-gate fet device and manufacturing method of the same
US20030201458A1 (en) * 2002-03-19 2003-10-30 Clark William F. Strained fin fets structure and method
US6645797B1 (en) * 2002-12-06 2003-11-11 Advanced Micro Devices, Inc. Method for forming fins in a FinFET device using sacrificial carbon layer
US20030227036A1 (en) * 2002-02-22 2003-12-11 Naoharu Sugiyama Semiconductor device
US6709982B1 (en) * 2002-11-26 2004-03-23 Advanced Micro Devices, Inc. Double spacer FinFET formation
US20040256647A1 (en) * 2003-06-23 2004-12-23 Sharp Laboratories Of America Inc. Strained silicon finFET device

Family Cites Families (363)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4231149A (en) 1978-10-10 1980-11-04 Texas Instruments Incorporated Narrow band-gap semiconductor CCD imaging device and method of fabrication
GB2156149A (en) 1984-03-14 1985-10-02 Philips Electronic Associated Dielectrically-isolated integrated circuit manufacture
US4487652A (en) 1984-03-30 1984-12-11 Motorola, Inc. Slope etch of polyimide
US4711701A (en) 1986-09-16 1987-12-08 Texas Instruments Incorporated Self-aligned transistor method
US5514885A (en) 1986-10-09 1996-05-07 Myrick; James J. SOI methods and apparatus
US4818715A (en) * 1987-07-09 1989-04-04 Industrial Technology Research Institute Method of fabricating a LDDFET with self-aligned silicide
US4907048A (en) * 1987-11-23 1990-03-06 Xerox Corporation Double implanted LDD transistor self-aligned with gate
US4905063A (en) * 1988-06-21 1990-02-27 American Telephone And Telegraph Company, At&T Bell Laboratories Floating gate memories
JPH0214578A (en) * 1988-07-01 1990-01-18 Fujitsu Ltd Semiconductor device
KR910010043B1 (en) 1988-07-28 1991-12-10 한국전기통신공사 Microscopic line forming method for using spacer
US4994873A (en) * 1988-10-17 1991-02-19 Motorola, Inc. Local interconnect for stacked polysilicon device
US5346834A (en) * 1988-11-21 1994-09-13 Hitachi, Ltd. Method for manufacturing a semiconductor device and a semiconductor memory device
US4906589A (en) * 1989-02-06 1990-03-06 Industrial Technology Research Institute Inverse-T LDDFET with self-aligned silicide
JPH02302044A (en) 1989-05-16 1990-12-14 Fujitsu Ltd Manufacture of semiconductor device
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
KR930003790B1 (en) 1990-07-02 1993-05-10 삼성전자 주식회사 Dielectric meterial
US5278102A (en) * 1990-08-18 1994-01-11 Fujitsu Limited SOI device and a fabrication process thereof
JP3061406B2 (en) 1990-09-28 2000-07-10 株式会社東芝 Semiconductor device
US5521859A (en) 1991-03-20 1996-05-28 Fujitsu Limited Semiconductor memory device having thin film transistor and method of producing the same
JPH05152293A (en) * 1991-04-30 1993-06-18 Sgs Thomson Microelectron Inc Stepped wall interconnector and manufacture of gate
US5346836A (en) 1991-06-06 1994-09-13 Micron Technology, Inc. Process for forming low resistance contacts between silicide areas and upper level polysilicon interconnects
US5292670A (en) 1991-06-10 1994-03-08 Texas Instruments Incorporated Sidewall doping technique for SOI transistors
US5179037A (en) * 1991-12-24 1993-01-12 Texas Instruments Incorporated Integration of lateral and vertical quantum well transistors in the same epitaxial stack
US5391506A (en) * 1992-01-31 1995-02-21 Kawasaki Steel Corporation Manufacturing method for semiconductor devices with source/drain formed in substrate projection.
JPH05243572A (en) 1992-02-27 1993-09-21 Fujitsu Ltd Semiconductor device
US5405454A (en) 1992-03-19 1995-04-11 Matsushita Electric Industrial Co., Ltd. Electrically insulated silicon structure and producing method therefor
JP2572003B2 (en) * 1992-03-30 1997-01-16 三星電子株式会社 Method of manufacturing thin film transistor having three-dimensional multi-channel structure
JPH0793441B2 (en) 1992-04-24 1995-10-09 ヒュンダイ エレクトロニクス インダストリーズ カンパニー リミテッド Thin film transistor and manufacturing method thereof
JPH06177089A (en) 1992-12-04 1994-06-24 Fujitsu Ltd Manufacture of semiconductor device
KR960002088B1 (en) * 1993-02-17 1996-02-10 삼성전자주식회사 Making method of semiconductor device with soi structure
US5357119A (en) 1993-02-19 1994-10-18 Board Of Regents Of The University Of California Field effect devices having short period superlattice structures using Si and Ge
JPH06310547A (en) 1993-02-25 1994-11-04 Mitsubishi Electric Corp Semiconductor device and manufacture thereof
EP0623963A1 (en) 1993-05-06 1994-11-09 Siemens Aktiengesellschaft MOSFET on SOI substrate
US5739544A (en) * 1993-05-26 1998-04-14 Matsushita Electric Industrial Co., Ltd. Quantization functional device utilizing a resonance tunneling effect and method for producing the same
GB2282736B (en) 1993-05-28 1996-12-11 Nec Corp Radio base station for a mobile communications system
US6730549B1 (en) 1993-06-25 2004-05-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for its preparation
JP3778581B2 (en) 1993-07-05 2006-05-24 三菱電機株式会社 Semiconductor device and manufacturing method thereof
JP3460863B2 (en) 1993-09-17 2003-10-27 三菱電機株式会社 Method for manufacturing semiconductor device
US5888304A (en) * 1996-04-02 1999-03-30 Applied Materials, Inc. Heater with shadow ring and purge above wafer surface
US5479033A (en) 1994-05-27 1995-12-26 Sandia Corporation Complementary junction heterostructure field-effect transistor
JP3361922B2 (en) 1994-09-13 2003-01-07 株式会社東芝 Semiconductor device
JP3378414B2 (en) 1994-09-14 2003-02-17 株式会社東芝 Semiconductor device
JPH08153880A (en) * 1994-09-29 1996-06-11 Toshiba Corp Semiconductor device and fabrication thereof
US5602049A (en) 1994-10-04 1997-02-11 United Microelectronics Corporation Method of fabricating a buried structure SRAM cell
JPH08125152A (en) * 1994-10-28 1996-05-17 Canon Inc Semiconductor device, correlation operating unit empolying it, ad converter, da converter, and signal processing system
JP3078720B2 (en) 1994-11-02 2000-08-21 三菱電機株式会社 Semiconductor device and manufacturing method thereof
US5576227A (en) 1994-11-02 1996-11-19 United Microelectronics Corp. Process for fabricating a recessed gate MOS device
GB2295488B (en) 1994-11-24 1996-11-20 Toshiba Cambridge Res Center Semiconductor device
US5716879A (en) 1994-12-15 1998-02-10 Goldstar Electron Company, Ltd. Method of making a thin film transistor
JPH08204191A (en) 1995-01-20 1996-08-09 Sony Corp Field-effect transistor and its manufacture
US5665203A (en) 1995-04-28 1997-09-09 International Business Machines Corporation Silicon etching method
JP3303601B2 (en) 1995-05-19 2002-07-22 日産自動車株式会社 Groove type semiconductor device
KR0165398B1 (en) * 1995-05-26 1998-12-15 윤종용 Vertical transistor manufacturing method
US5658806A (en) 1995-10-26 1997-08-19 National Science Council Method for fabricating thin-film transistor with bottom-gate or dual-gate configuration
US5814895A (en) 1995-12-22 1998-09-29 Sony Corporation Static random access memory having transistor elements formed on side walls of a trench in a semiconductor substrate
KR100205442B1 (en) 1995-12-26 1999-07-01 구본준 Thin film transistor and method of fabricating the same
US5595919A (en) * 1996-02-20 1997-01-21 Chartered Semiconductor Manufacturing Pte Ltd. Method of making self-aligned halo process for reducing junction capacitance
DE19607209A1 (en) 1996-02-26 1997-08-28 Gregor Kohlruss Cleaning device for cleaning flat objects
JPH09293793A (en) * 1996-04-26 1997-11-11 Mitsubishi Electric Corp Semiconductor device provided with thin film transistor and manufacture thereof
US5793088A (en) 1996-06-18 1998-08-11 Integrated Device Technology, Inc. Structure for controlling threshold voltage of MOSFET
JP3710880B2 (en) 1996-06-28 2005-10-26 株式会社東芝 Nonvolatile semiconductor memory device
TW548686B (en) * 1996-07-11 2003-08-21 Semiconductor Energy Lab CMOS semiconductor device and apparatus using the same
US5817560A (en) * 1996-09-12 1998-10-06 Advanced Micro Devices, Inc. Ultra short trench transistors and process for making same
US6399970B2 (en) 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
US6063675A (en) 1996-10-28 2000-05-16 Texas Instruments Incorporated Method of forming a MOSFET using a disposable gate with a sidewall dielectric
US6163053A (en) * 1996-11-06 2000-12-19 Ricoh Company, Ltd. Semiconductor device having opposite-polarity region under channel
JPH10150185A (en) * 1996-11-20 1998-06-02 Mitsubishi Electric Corp Semiconductor device and its manufacture
US5827769A (en) * 1996-11-20 1998-10-27 Intel Corporation Method for fabricating a transistor with increased hot carrier resistance by nitridizing and annealing the sidewall oxide of the gate electrode
US5773331A (en) 1996-12-17 1998-06-30 International Business Machines Corporation Method for making single and double gate field effect transistors with sidewall source-drain contacts
US5908313A (en) 1996-12-31 1999-06-01 Intel Corporation Method of forming a transistor
JP4086926B2 (en) 1997-01-29 2008-05-14 富士通株式会社 Semiconductor device and manufacturing method thereof
JPH118390A (en) 1997-06-18 1999-01-12 Mitsubishi Electric Corp Semiconductor device and its manufacture
US6054355A (en) * 1997-06-30 2000-04-25 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device which includes forming a dummy gate
US6251763B1 (en) 1997-06-30 2001-06-26 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing same
JPH1140811A (en) 1997-07-22 1999-02-12 Hitachi Ltd Semiconductor device and manufacture thereof
US5952701A (en) 1997-08-18 1999-09-14 National Semiconductor Corporation Design and fabrication of semiconductor structure having complementary channel-junction insulated-gate field-effect transistors whose gate electrodes have work functions close to mid-gap semiconductor value
US5776821A (en) 1997-08-22 1998-07-07 Vlsi Technology, Inc. Method for forming a reduced width gate electrode
US6066869A (en) 1997-10-06 2000-05-23 Micron Technology, Inc. Circuit and method for a folded bit line memory cell with vertical transistor and trench capacitor
US5976767A (en) 1997-10-09 1999-11-02 Micron Technology, Inc. Ammonium hydroxide etch of photoresist masked silicon
US5856225A (en) * 1997-11-24 1999-01-05 Chartered Semiconductor Manufacturing Ltd Creation of a self-aligned, ion implanted channel region, after source and drain formation
US6120846A (en) 1997-12-23 2000-09-19 Advanced Technology Materials, Inc. Method for the selective deposition of bismuth based ferroelectric thin films by chemical vapor deposition
US5888309A (en) * 1997-12-29 1999-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Lateral etch inhibited multiple for forming a via through a microelectronics layer susceptible to etching within a fluorine containing plasma followed by an oxygen containing plasma
US6117741A (en) 1998-01-09 2000-09-12 Texas Instruments Incorporated Method of forming a transistor having an improved sidewall gate structure
US6294416B1 (en) 1998-01-23 2001-09-25 Texas Instruments-Acer Incorporated Method of fabricating CMOS transistors with self-aligned planarization twin-well by using fewer mask counts
US6097065A (en) 1998-03-30 2000-08-01 Micron Technology, Inc. Circuits and methods for dual-gated transistors
US6307235B1 (en) 1998-03-30 2001-10-23 Micron Technology, Inc. Another technique for gated lateral bipolar transistors
US6087208A (en) 1998-03-31 2000-07-11 Advanced Micro Devices, Inc. Method for increasing gate capacitance by using both high and low dielectric gate material
US6215190B1 (en) 1998-05-12 2001-04-10 International Business Machines Corporation Borderless contact to diffusion with respect to gate conductor and methods for fabricating
US6232641B1 (en) * 1998-05-29 2001-05-15 Kabushiki Kaisha Toshiba Semiconductor apparatus having elevated source and drain structure and manufacturing method therefor
US6114201A (en) 1998-06-01 2000-09-05 Texas Instruments-Acer Incorporated Method of manufacturing a multiple fin-shaped capacitor for high density DRAMs
US6317444B1 (en) 1998-06-12 2001-11-13 Agere System Optoelectronics Guardian Corp. Optical device including carbon-doped contact layers
US6165880A (en) 1998-06-15 2000-12-26 Taiwan Semiconductor Manufacturing Company Double spacer technology for making self-aligned contacts (SAC) on semiconductor integrated circuits
US6130123A (en) 1998-06-30 2000-10-10 Intel Corporation Method for making a complementary metal gate electrode technology
JP2000037842A (en) 1998-07-27 2000-02-08 Dainippon Printing Co Ltd Electromagnetic wave absorbing decorative material
US6696366B1 (en) * 1998-08-17 2004-02-24 Lam Research Corporation Technique for etching a low capacitance dielectric layer
JP2000156502A (en) 1998-09-21 2000-06-06 Texas Instr Inc <Ti> Integrated circuit and method
US6114206A (en) 1998-11-06 2000-09-05 Advanced Micro Devices, Inc. Multiple threshold voltage transistor implemented by a damascene process
US6262456B1 (en) 1998-11-06 2001-07-17 Advanced Micro Devices, Inc. Integrated circuit having transistors with different threshold voltages
US5985726A (en) 1998-11-06 1999-11-16 Advanced Micro Devices, Inc. Damascene process for forming ultra-shallow source/drain extensions and pocket in ULSI MOSFET
US6153485A (en) 1998-11-09 2000-11-28 Chartered Semiconductor Manufacturing Ltd. Salicide formation on narrow poly lines by pulling back of spacer
US6200865B1 (en) * 1998-12-04 2001-03-13 Advanced Micro Devices, Inc. Use of sacrificial dielectric structure to form semiconductor device with a self-aligned threshold adjust and overlying low-resistance gate
US6362111B1 (en) * 1998-12-09 2002-03-26 Texas Instruments Incorporated Tunable gate linewidth reduction process
TW406312B (en) 1998-12-18 2000-09-21 United Microelectronics Corp The method of etching doped poly-silicon
TW449919B (en) 1998-12-18 2001-08-11 Koninkl Philips Electronics Nv A method of manufacturing a semiconductor device
US6380558B1 (en) 1998-12-29 2002-04-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating the same
US6150222A (en) 1999-01-07 2000-11-21 Advanced Micro Devices, Inc. Method of making a high performance transistor with elevated spacer formation and self-aligned channel regions
FR2788629B1 (en) 1999-01-15 2003-06-20 Commissariat Energie Atomique TRANSISTOR MIS AND METHOD FOR FABRICATING SUCH A TRANSISTOR ON A SEMICONDUCTOR SUBSTRATE
US6174820B1 (en) * 1999-02-16 2001-01-16 Sandia Corporation Use of silicon oxynitride as a sacrificial material for microelectromechanical devices
JP2000243854A (en) 1999-02-22 2000-09-08 Toshiba Corp Semiconductor device and its manufacture
US6093621A (en) 1999-04-05 2000-07-25 Vanguard International Semiconductor Corp. Method of forming shallow trench isolation
US7045468B2 (en) * 1999-04-09 2006-05-16 Intel Corporation Isolated junction structure and method of manufacture
US6459123B1 (en) 1999-04-30 2002-10-01 Infineon Technologies Richmond, Lp Double gated transistor
DE60001601T2 (en) * 1999-06-18 2003-12-18 Lucent Technologies Inc Manufacturing process for manufacturing a CMOS integrated circuit with vertical transistors
JP2001015704A (en) 1999-06-29 2001-01-19 Hitachi Ltd Semiconductor integrated circuit
US6218309B1 (en) * 1999-06-30 2001-04-17 Lam Research Corporation Method of achieving top rounding and uniform etch depths while etching shallow trench isolation features
US6501131B1 (en) 1999-07-22 2002-12-31 International Business Machines Corporation Transistors having independently adjustable parameters
TW432594B (en) 1999-07-31 2001-05-01 Taiwan Semiconductor Mfg Manufacturing method for shallow trench isolation
US6259135B1 (en) 1999-09-24 2001-07-10 International Business Machines Corporation MOS transistors structure for reducing the size of pitch limited circuits
FR2799305B1 (en) 1999-10-05 2004-06-18 St Microelectronics Sa METHOD FOR MANUFACTURING A SEMICONDUCTOR DEVICE WITH ENVELOPING GRID AND DEVICE OBTAINED
EP1091413A3 (en) 1999-10-06 2005-01-12 Lsi Logic Corporation Fully-depleted, fully-inverted, short-length and vertical channel, dual-gate, cmos fet
US6541829B2 (en) 1999-12-03 2003-04-01 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6252284B1 (en) * 1999-12-09 2001-06-26 International Business Machines Corporation Planarized silicon fin device
KR100311049B1 (en) 1999-12-13 2001-10-12 윤종용 Nonvolatile semiconductor memory device and manufacturing method thereof
US6303479B1 (en) 1999-12-16 2001-10-16 Spinnaker Semiconductor, Inc. Method of manufacturing a short-channel FET with Schottky-barrier source and drain contacts
JP4923318B2 (en) 1999-12-17 2012-04-25 ソニー株式会社 Nonvolatile semiconductor memory device and operation method thereof
JP4194237B2 (en) 1999-12-28 2008-12-10 株式会社リコー Voltage generation circuit and reference voltage source circuit using field effect transistor
US7391087B2 (en) 1999-12-30 2008-06-24 Intel Corporation MOS transistor structure and method of fabrication
JP3613113B2 (en) 2000-01-21 2005-01-26 日本電気株式会社 Semiconductor device and manufacturing method thereof
US6319807B1 (en) 2000-02-07 2001-11-20 United Microelectronics Corp. Method for forming a semiconductor device by using reverse-offset spacer process
JP3846706B2 (en) 2000-02-23 2006-11-15 信越半導体株式会社 Polishing method and polishing apparatus for wafer outer peripheral chamfer
US6483156B1 (en) 2000-03-16 2002-11-19 International Business Machines Corporation Double planar gated SOI MOSFET structure
FR2806832B1 (en) 2000-03-22 2002-10-25 Commissariat Energie Atomique METAL SOURCE AND DRAIN MOS TRANSISTOR, AND METHOD FOR MANUFACTURING SUCH A TRANSISTOR
JP3906005B2 (en) 2000-03-27 2007-04-18 株式会社東芝 Manufacturing method of semiconductor device
KR100332834B1 (en) 2000-03-29 2002-04-15 윤덕용 A fabrication method of sub-micron gate using anisotropic etching
TW466606B (en) 2000-04-20 2001-12-01 United Microelectronics Corp Manufacturing method for dual metal gate electrode
JP2001338987A (en) 2000-05-26 2001-12-07 Nec Microsystems Ltd Forming method of shallow trench isolation region of mos transistor
FR2810161B1 (en) * 2000-06-09 2005-03-11 Commissariat Energie Atomique ELECTRONIC MEMORY WITH DAMASCENE ARCHITECTURE AND METHOD OF MAKING SAID MEMORY
US6526996B1 (en) * 2000-06-12 2003-03-04 Promos Technologies, Inc. Dry clean method instead of traditional wet clean after metal etch
US6391782B1 (en) 2000-06-20 2002-05-21 Advanced Micro Devices, Inc. Process for forming multiple active lines and gate-all-around MOSFET
KR100545706B1 (en) 2000-06-28 2006-01-24 주식회사 하이닉스반도체 Semiconductor device manufacturing method
JP4112358B2 (en) 2000-07-04 2008-07-02 インフィネオン テクノロジーズ アクチエンゲゼルシャフト Field effect transistor
JP2002047034A (en) * 2000-07-31 2002-02-12 Shinetsu Quartz Prod Co Ltd Quarts glass jig for process device utilizing plasma
US6403981B1 (en) 2000-08-07 2002-06-11 Advanced Micro Devices, Inc. Double gate transistor having a silicon/germanium channel region
KR100338778B1 (en) 2000-08-21 2002-05-31 윤종용 Method for fabricating MOS transistor using selective silicide process
US6358800B1 (en) * 2000-09-18 2002-03-19 Vanguard International Semiconductor Corporation Method of forming a MOSFET with a recessed-gate having a channel length beyond photolithography limit
US6387820B1 (en) 2000-09-19 2002-05-14 Advanced Micro Devices, Inc. BC13/AR chemistry for metal overetching on a high density plasma etcher
JP2002100762A (en) * 2000-09-22 2002-04-05 Mitsubishi Electric Corp Semiconductor device and manufacturing method therefor
US6562665B1 (en) 2000-10-16 2003-05-13 Advanced Micro Devices, Inc. Fabrication of a field effect transistor with a recess in a semiconductor pillar in SOI technology
US6645840B2 (en) 2000-10-19 2003-11-11 Texas Instruments Incorporated Multi-layered polysilicon process
US6413802B1 (en) 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US6716684B1 (en) 2000-11-13 2004-04-06 Advanced Micro Devices, Inc. Method of making a self-aligned triple gate silicon-on-insulator device
US6396108B1 (en) * 2000-11-13 2002-05-28 Advanced Micro Devices, Inc. Self-aligned double gate silicon-on-insulator (SOI) device
US6472258B1 (en) 2000-11-13 2002-10-29 International Business Machines Corporation Double gate trench transistor
US6479866B1 (en) 2000-11-14 2002-11-12 Advanced Micro Devices, Inc. SOI device with self-aligned selective damage implant, and method
JP2002198441A (en) 2000-11-16 2002-07-12 Hynix Semiconductor Inc Method for forming dual metal gate of semiconductor element
AU2001267880A1 (en) 2000-11-22 2002-06-03 Hitachi Ltd. Semiconductor device and method for fabricating the same
US6552401B1 (en) 2000-11-27 2003-04-22 Micron Technology Use of gate electrode workfunction to improve DRAM refresh
US20020100942A1 (en) 2000-12-04 2002-08-01 Fitzgerald Eugene A. CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6921947B2 (en) 2000-12-15 2005-07-26 Renesas Technology Corp. Semiconductor device having recessed isolation insulation film
US6413877B1 (en) * 2000-12-22 2002-07-02 Lam Research Corporation Method of preventing damage to organo-silicate-glass materials during resist stripping
JP2002198368A (en) 2000-12-26 2002-07-12 Nec Corp Method for fabricating semiconductor device
US6537901B2 (en) * 2000-12-29 2003-03-25 Hynix Semiconductor Inc. Method of manufacturing a transistor in a semiconductor device
TW561530B (en) 2001-01-03 2003-11-11 Macronix Int Co Ltd Process for fabricating CMOS transistor of IC devices employing double spacers for preventing short-channel effect
US6975014B1 (en) 2001-01-09 2005-12-13 Advanced Micro Devices, Inc. Method for making an ultra thin FDSOI device with improved short-channel performance
US6359311B1 (en) * 2001-01-17 2002-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Quasi-surrounding gate and a method of fabricating a silicon-on-insulator semiconductor device with the same
US6403434B1 (en) 2001-02-09 2002-06-11 Advanced Micro Devices, Inc. Process for manufacturing MOS transistors having elevated source and drain regions and a high-k gate dielectric
US6475890B1 (en) * 2001-02-12 2002-11-05 Advanced Micro Devices, Inc. Fabrication of a field effect transistor with an upside down T-shaped semiconductor pillar in SOI technology
JP2002246310A (en) 2001-02-14 2002-08-30 Sony Corp Method of forming thin semiconductor film, method of manufacturing semiconductor device, device used for executing the methods, and electro-optic device
US6630388B2 (en) 2001-03-13 2003-10-07 National Institute Of Advanced Industrial Science And Technology Double-gate field-effect transistor, integrated circuit using the transistor and method of manufacturing the same
TW582071B (en) 2001-03-20 2004-04-01 Macronix Int Co Ltd Method for etching metal in a semiconductor
JP3940565B2 (en) 2001-03-29 2007-07-04 株式会社東芝 Semiconductor device and manufacturing method thereof
JP2002298051A (en) 2001-03-30 2002-10-11 Mizuho Bank Ltd Point exchange service system
US6458662B1 (en) 2001-04-04 2002-10-01 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having an asymmetrical dual-gate silicon-germanium (SiGe) channel MOSFET and a device thereby formed
KR100414217B1 (en) 2001-04-12 2004-01-07 삼성전자주식회사 Semiconductor device having gate all around type transistor and method of forming the same
US6645861B2 (en) 2001-04-18 2003-11-11 International Business Machines Corporation Self-aligned silicide process for silicon sidewall source and drain contacts
US6787402B1 (en) 2001-04-27 2004-09-07 Advanced Micro Devices, Inc. Double-gate vertical MOSFET transistor and fabrication method
US6902947B2 (en) * 2001-05-07 2005-06-07 Applied Materials, Inc. Integrated method for release and passivation of MEMS structures
SG112804A1 (en) * 2001-05-10 2005-07-28 Inst Of Microelectronics Sloped trench etching process
KR100363332B1 (en) * 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US6635923B2 (en) 2001-05-24 2003-10-21 International Business Machines Corporation Damascene double-gate MOSFET with vertical channel regions
US6506692B2 (en) * 2001-05-30 2003-01-14 Intel Corporation Method of making a semiconductor device using a silicon carbide hard mask
US6737333B2 (en) 2001-07-03 2004-05-18 Texas Instruments Incorporated Semiconductor device isolation structure and method of forming
JP2003017508A (en) * 2001-07-05 2003-01-17 Nec Corp Field effect transistor
US6501141B1 (en) 2001-08-13 2002-12-31 Taiwan Semiconductor Manufacturing Company, Ltd Self-aligned contact with improved isolation and method for forming
US6534807B2 (en) * 2001-08-13 2003-03-18 International Business Machines Corporation Local interconnect junction on insulator (JOI) structure
JP2003100902A (en) 2001-09-21 2003-04-04 Mitsubishi Electric Corp Manufacturing method for semiconductor device
US6492212B1 (en) 2001-10-05 2002-12-10 International Business Machines Corporation Variable threshold voltage double gated transistors and method of fabrication
US20030085194A1 (en) 2001-11-07 2003-05-08 Hopkins Dean A. Method for fabricating close spaced mirror arrays
US7385262B2 (en) * 2001-11-27 2008-06-10 The Board Of Trustees Of The Leland Stanford Junior University Band-structure modulation of nano-structures in an electric field
US6967351B2 (en) 2001-12-04 2005-11-22 International Business Machines Corporation Finfet SRAM cell using low mobility plane for cell stability and method for forming
US6657259B2 (en) 2001-12-04 2003-12-02 International Business Machines Corporation Multiple-plane FinFET CMOS
US6610576B2 (en) * 2001-12-13 2003-08-26 International Business Machines Corporation Method for forming asymmetric dual gate transistor
EP1321310A1 (en) 2001-12-21 2003-06-25 Schächter, Friedrich Test method for writing instruments
US6555879B1 (en) 2002-01-11 2003-04-29 Advanced Micro Devices, Inc. SOI device with metal source/drain and method of fabrication
US6722946B2 (en) * 2002-01-17 2004-04-20 Nutool, Inc. Advanced chemical mechanical polishing system with smart endpoint detection
US6583469B1 (en) 2002-01-28 2003-06-24 International Business Machines Corporation Self-aligned dog-bone structure for FinFET applications and methods to fabricate the same
KR100442089B1 (en) * 2002-01-29 2004-07-27 삼성전자주식회사 Method of forming mos transistor having notched gate
DE10203998A1 (en) 2002-02-01 2003-08-21 Infineon Technologies Ag Production of a toothed structure in crystal structure in/on substrate used in production of floating gate transistor comprises forming trenches using a mask on the substrate and etching process and the unmasked region of substrate
US6784071B2 (en) 2003-01-31 2004-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Bonded SOI wafer with <100> device layer and <110> substrate for performance improvement
US20030151077A1 (en) * 2002-02-13 2003-08-14 Leo Mathew Method of forming a vertical double gate semiconductor device and structure thereof
US6660598B2 (en) 2002-02-26 2003-12-09 International Business Machines Corporation Method of forming a fully-depleted SOI ( silicon-on-insulator) MOSFET having a thinned channel region
JP4370104B2 (en) 2002-03-05 2009-11-25 シャープ株式会社 Semiconductor memory device
US6639827B2 (en) 2002-03-12 2003-10-28 Intel Corporation Low standby power using shadow storage
US6605498B1 (en) 2002-03-29 2003-08-12 Intel Corporation Semiconductor transistor having a backfilled channel material
US6784076B2 (en) 2002-04-08 2004-08-31 Micron Technology, Inc. Process for making a silicon-on-insulator ledge by implanting ions from silicon source
FR2838238B1 (en) * 2002-04-08 2005-04-15 St Microelectronics Sa SEMICONDUCTOR DEVICE WITH ENVELOPING GRID ENCAPSULATED IN AN INSULATING MEDIUM
US6762469B2 (en) 2002-04-19 2004-07-13 International Business Machines Corporation High performance CMOS device structure with mid-gap metal gate
US6713396B2 (en) * 2002-04-29 2004-03-30 Hewlett-Packard Development Company, L.P. Method of fabricating high density sub-lithographic features on a substrate
US6537885B1 (en) * 2002-05-09 2003-03-25 Infineon Technologies Ag Transistor and method of manufacturing a transistor having a shallow junction formation using a two step EPI layer
US6642090B1 (en) 2002-06-03 2003-11-04 International Business Machines Corporation Fin FET devices from bulk semiconductor and method for forming
US7074623B2 (en) 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
US6680240B1 (en) 2002-06-25 2004-01-20 Advanced Micro Devices, Inc. Silicon-on-insulator device with strained device film and method for making the same with partial replacement of isolation oxide
CN1284216C (en) * 2002-07-01 2006-11-08 台湾积体电路制造股份有限公司 EFT structure with elongation strain channel layer and mfg. method thereof
US7105891B2 (en) 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US6974729B2 (en) * 2002-07-16 2005-12-13 Interuniversitair Microelektronica Centrum (Imec) Integrated semiconductor fin device and a method for manufacturing such device
KR100477543B1 (en) * 2002-07-26 2005-03-18 동부아남반도체 주식회사 Method for forming short-channel transistor
US6919238B2 (en) 2002-07-29 2005-07-19 Intel Corporation Silicon on insulator (SOI) transistor and methods of fabrication
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
JP2004071996A (en) * 2002-08-09 2004-03-04 Hitachi Ltd Manufacturing method for semiconductor integrated circuit device
US6891234B1 (en) 2004-01-07 2005-05-10 Acorn Technologies, Inc. Transistor with workfunction-induced charge layer
US6833556B2 (en) 2002-08-12 2004-12-21 Acorn Technologies, Inc. Insulated gate field effect transistor having passivated schottky barriers to the channel
US6984585B2 (en) * 2002-08-12 2006-01-10 Applied Materials Inc Method for removal of residue from a magneto-resistive random access memory (MRAM) film stack using a sacrificial mask layer
JP3865233B2 (en) 2002-08-19 2007-01-10 富士通株式会社 CMOS integrated circuit device
US7358121B2 (en) * 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US7163851B2 (en) * 2002-08-26 2007-01-16 International Business Machines Corporation Concurrent Fin-FET and thick-body device fabrication
JP5179692B2 (en) 2002-08-30 2013-04-10 富士通セミコンダクター株式会社 Semiconductor memory device and manufacturing method thereof
US6770516B2 (en) 2002-09-05 2004-08-03 Taiwan Semiconductor Manufacturing Company Method of forming an N channel and P channel FINFET device on the same semiconductor substrate
JP3651802B2 (en) 2002-09-12 2005-05-25 株式会社東芝 Manufacturing method of semiconductor device
US6794313B1 (en) * 2002-09-20 2004-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Oxidation process to improve polysilicon sidewall roughness
JP3556651B2 (en) * 2002-09-27 2004-08-18 沖電気工業株式会社 Method for manufacturing semiconductor device
US6800910B2 (en) 2002-09-30 2004-10-05 Advanced Micro Devices, Inc. FinFET device incorporating strained silicon in the channel region
KR100481209B1 (en) 2002-10-01 2005-04-08 삼성전자주식회사 MOS Transistor having multiple channels and method of manufacturing the same
JP4294935B2 (en) * 2002-10-17 2009-07-15 株式会社ルネサステクノロジ Semiconductor device
US6706571B1 (en) * 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US6833588B2 (en) 2002-10-22 2004-12-21 Advanced Micro Devices, Inc. Semiconductor device having a U-shaped gate structure
US6706581B1 (en) 2002-10-29 2004-03-16 Taiwan Semiconductor Manufacturing Company Dual gate dielectric scheme: SiON for high performance devices and high k for low power devices
US6611029B1 (en) 2002-11-08 2003-08-26 Advanced Micro Devices, Inc. Double gate semiconductor device having separate gates
US6787439B2 (en) * 2002-11-08 2004-09-07 Advanced Micro Devices, Inc. Method using planarizing gate material to improve gate critical dimension in semiconductor devices
US6855990B2 (en) * 2002-11-26 2005-02-15 Taiwan Semiconductor Manufacturing Co., Ltd Strained-channel multiple-gate transistor
US6825506B2 (en) 2002-11-27 2004-11-30 Intel Corporation Field effect transistor and method of fabrication
US6821834B2 (en) 2002-12-04 2004-11-23 Yoshiyuki Ando Ion implantation methods and transistor cell layout for fin type transistors
KR100487922B1 (en) * 2002-12-06 2005-05-06 주식회사 하이닉스반도체 A transistor of a semiconductor device and a method for forming the same
US7728360B2 (en) 2002-12-06 2010-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple-gate transistor structure
US6686231B1 (en) * 2002-12-06 2004-02-03 Advanced Micro Devices, Inc. Damascene gate process with sacrificial oxide in semiconductor devices
US6869868B2 (en) * 2002-12-13 2005-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a MOSFET device with metal containing gate structures
US6794718B2 (en) * 2002-12-19 2004-09-21 International Business Machines Corporation High mobility crystalline planes in double-gate CMOS technology
JP4418760B2 (en) 2002-12-20 2010-02-24 インターナショナル・ビジネス・マシーンズ・コーポレーション Integrated antifuse structure for fin-type FET and CMOS devices
US6780694B2 (en) 2003-01-08 2004-08-24 International Business Machines Corporation MOS transistor
US7259425B2 (en) 2003-01-23 2007-08-21 Advanced Micro Devices, Inc. Tri-gate and gate around MOSFET devices and methods for making same
US6762483B1 (en) 2003-01-23 2004-07-13 Advanced Micro Devices, Inc. Narrow fin FinFET
US6803631B2 (en) 2003-01-23 2004-10-12 Advanced Micro Devices, Inc. Strained channel finfet
US6885055B2 (en) 2003-02-04 2005-04-26 Lee Jong-Ho Double-gate FinFET device and fabricating method thereof
KR100543472B1 (en) 2004-02-11 2006-01-20 삼성전자주식회사 Semiconductor device having depletion barrier layer at source/drain regions and method of forming the same
WO2004073044A2 (en) 2003-02-13 2004-08-26 Massachusetts Institute Of Technology Finfet device and method to make same
US6855606B2 (en) * 2003-02-20 2005-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-rod devices
US7105894B2 (en) 2003-02-27 2006-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Contacts to semiconductor fin devices
KR100499159B1 (en) 2003-02-28 2005-07-01 삼성전자주식회사 Semiconductor device having a recessed channel and method of manufacturing the same
US6787854B1 (en) 2003-03-12 2004-09-07 Advanced Micro Devices, Inc. Method for forming a fin in a finFET device
US6716690B1 (en) * 2003-03-12 2004-04-06 Advanced Micro Devices, Inc. Uniformly doped source/drain junction in a double-gate MOSFET
US6800885B1 (en) 2003-03-12 2004-10-05 Advance Micro Devices, Inc. Asymmetrical double gate or all-around gate MOSFET devices and methods for making same
TW582099B (en) 2003-03-13 2004-04-01 Ind Tech Res Inst Method of adhering material layer on transparent substrate and method of forming single crystal silicon on transparent substrate
JP4563652B2 (en) * 2003-03-13 2010-10-13 シャープ株式会社 MEMORY FUNCTIONAL BODY, PARTICLE FORMING METHOD, MEMORY ELEMENT, SEMICONDUCTOR DEVICE, AND ELECTRONIC DEVICE
US6844238B2 (en) * 2003-03-26 2005-01-18 Taiwan Semiconductor Manufacturing Co., Ltd Multiple-gate transistors with improved gate control
US20040191980A1 (en) * 2003-03-27 2004-09-30 Rafael Rios Multi-corner FET for better immunity from short channel effects
US6790733B1 (en) * 2003-03-28 2004-09-14 International Business Machines Corporation Preserving TEOS hard mask using COR for raised source-drain including removable/disposable spacer
US6764884B1 (en) * 2003-04-03 2004-07-20 Advanced Micro Devices, Inc. Method for forming a gate in a FinFET device and thinning a fin in a channel region of the FinFET device
US6902962B2 (en) 2003-04-04 2005-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon-on-insulator chip with multiple crystal orientations
TWI231994B (en) * 2003-04-04 2005-05-01 Univ Nat Taiwan Strained Si FinFET
US7442415B2 (en) 2003-04-11 2008-10-28 Sharp Laboratories Of America, Inc. Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
JP2004319704A (en) 2003-04-15 2004-11-11 Seiko Instruments Inc Semiconductor device
TW200506093A (en) 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
US20070108514A1 (en) 2003-04-28 2007-05-17 Akira Inoue Semiconductor device and method of fabricating the same
US6867433B2 (en) * 2003-04-30 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
JP3976703B2 (en) * 2003-04-30 2007-09-19 エルピーダメモリ株式会社 Manufacturing method of semiconductor device
US6838322B2 (en) * 2003-05-01 2005-01-04 Freescale Semiconductor, Inc. Method for forming a double-gated semiconductor device
JP4084843B2 (en) * 2003-06-12 2008-04-30 日本電産株式会社 Hydrodynamic bearing device and manufacturing method thereof
US6830998B1 (en) 2003-06-17 2004-12-14 Advanced Micro Devices, Inc. Gate dielectric quality for replacement metal gate transistors
US20040262683A1 (en) * 2003-06-27 2004-12-30 Bohr Mark T. PMOS transistor strain optimization with raised junction regions
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US6960517B2 (en) * 2003-06-30 2005-11-01 Intel Corporation N-gate transistor
US6716686B1 (en) 2003-07-08 2004-04-06 Advanced Micro Devices, Inc. Method for forming channels in a finfet device
US6921982B2 (en) * 2003-07-21 2005-07-26 International Business Machines Corporation FET channel having a strained lattice structure along multiple surfaces
KR100487566B1 (en) 2003-07-23 2005-05-03 삼성전자주식회사 Fin field effect transistors and methods of formiing the same
KR100487567B1 (en) * 2003-07-24 2005-05-03 삼성전자주식회사 Method for fabricating a finfet in a semiconductor device
EP1519420A2 (en) 2003-09-25 2005-03-30 Interuniversitaire Microelectronica Centrum vzw ( IMEC) Multiple gate semiconductor device and method for forming same
US6835618B1 (en) * 2003-08-05 2004-12-28 Advanced Micro Devices, Inc. Epitaxially grown fin for FinFET
US7172943B2 (en) * 2003-08-13 2007-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-gate transistors formed on bulk substrates
KR100496891B1 (en) 2003-08-14 2005-06-23 삼성전자주식회사 Silicon fin for finfet and method for fabricating the same
US7355253B2 (en) * 2003-08-22 2008-04-08 International Business Machines Corporation Strained-channel Fin field effect transistor (FET) with a uniform channel thickness and separate gates
US6998301B1 (en) * 2003-09-03 2006-02-14 Advanced Micro Devices, Inc. Method for forming a tri-gate MOSFET
US6877728B2 (en) 2003-09-04 2005-04-12 Lakin Manufacturing Corporation Suspension assembly having multiple torsion members which cooperatively provide suspension to a wheel
JP4439358B2 (en) 2003-09-05 2010-03-24 株式会社東芝 Field effect transistor and manufacturing method thereof
US7170126B2 (en) * 2003-09-16 2007-01-30 International Business Machines Corporation Structure of vertical strained silicon devices
US6970373B2 (en) 2003-10-02 2005-11-29 Intel Corporation Method and apparatus for improving stability of a 6T CMOS SRAM cell
US7612416B2 (en) 2003-10-09 2009-11-03 Nec Corporation Semiconductor device having a conductive portion below an interlayer insulating film and method for producing the same
US20050139860A1 (en) 2003-10-22 2005-06-30 Snyder John P. Dynamic schottky barrier MOSFET device and method of manufacture
US6946377B2 (en) 2003-10-29 2005-09-20 Texas Instruments Incorporated Multiple-gate MOSFET device with lithography independent silicon body thickness and methods for fabricating the same
US7138320B2 (en) 2003-10-31 2006-11-21 Advanced Micro Devices, Inc. Advanced technique for forming a transistor having raised drain and source regions
KR100515061B1 (en) 2003-10-31 2005-09-14 삼성전자주식회사 Semiconductor devices having a fin field effect transistor and methods for forming the same
US6867460B1 (en) * 2003-11-05 2005-03-15 International Business Machines Corporation FinFET SRAM cell with chevron FinFET logic
US6885072B1 (en) 2003-11-18 2005-04-26 Applied Intellectual Properties Co., Ltd. Nonvolatile memory with undercut trapping structure
US7545001B2 (en) 2003-11-25 2009-06-09 Taiwan Semiconductor Manufacturing Company Semiconductor device having high drive current and method of manufacture therefor
US7183137B2 (en) 2003-12-01 2007-02-27 Taiwan Semiconductor Manufacturing Company Method for dicing semiconductor wafers
US7075150B2 (en) 2003-12-02 2006-07-11 International Business Machines Corporation Ultra-thin Si channel MOSFET using a self-aligned oxygen implant and damascene technique
US7018551B2 (en) * 2003-12-09 2006-03-28 International Business Machines Corporation Pull-back method of forming fins in FinFets
US7388258B2 (en) * 2003-12-10 2008-06-17 International Business Machines Corporation Sectional field effect devices
US7662689B2 (en) * 2003-12-23 2010-02-16 Intel Corporation Strained transistor integration for CMOS
US7223679B2 (en) 2003-12-24 2007-05-29 Intel Corporation Transistor gate electrode having conductor material layer
US7105390B2 (en) 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US7078282B2 (en) 2003-12-30 2006-07-18 Intel Corporation Replacement gate flow facilitating high yield and incorporation of etch stop layers and/or stressed films
US7045407B2 (en) 2003-12-30 2006-05-16 Intel Corporation Amorphous etch stop for the anisotropic etching of substrates
US7247578B2 (en) 2003-12-30 2007-07-24 Intel Corporation Method of varying etch selectivities of a film
US6997415B2 (en) * 2003-12-31 2006-02-14 Gulfstream Aerospace Corporation Method and arrangement for aircraft fuel dispersion
US7705345B2 (en) * 2004-01-07 2010-04-27 International Business Machines Corporation High performance strained silicon FinFETs device and method for forming same
US6974736B2 (en) 2004-01-09 2005-12-13 International Business Machines Corporation Method of forming FET silicide gate structures incorporating inner spacers
US7056794B2 (en) 2004-01-09 2006-06-06 International Business Machines Corporation FET gate structure with metal gate electrode and silicide contact
US7268058B2 (en) 2004-01-16 2007-09-11 Intel Corporation Tri-gate transistors and methods to fabricate same
US7385247B2 (en) 2004-01-17 2008-06-10 Samsung Electronics Co., Ltd. At least penta-sided-channel type of FinFET transistor
JP2005209782A (en) 2004-01-21 2005-08-04 Toshiba Corp Semiconductor device
US7250645B1 (en) 2004-01-22 2007-07-31 Advanced Micro Devices, Inc. Reversed T-shaped FinFET
US7224029B2 (en) 2004-01-28 2007-05-29 International Business Machines Corporation Method and structure to create multiple device widths in FinFET technology in both bulk and SOI
KR100587672B1 (en) 2004-02-02 2006-06-08 삼성전자주식회사 Method for forming FINFET using damascene process
EP1566844A3 (en) 2004-02-20 2006-04-05 Samsung Electronics Co., Ltd. Multi-gate transistor and method for manufacturing the same
US7060539B2 (en) 2004-03-01 2006-06-13 International Business Machines Corporation Method of manufacture of FinFET devices with T-shaped fins and devices manufactured thereby
JP4852694B2 (en) 2004-03-02 2012-01-11 独立行政法人産業技術総合研究所 Semiconductor integrated circuit and manufacturing method thereof
US6921691B1 (en) 2004-03-18 2005-07-26 Infineon Technologies Ag Transistor with dopant-bearing metal in source and drain
KR100576361B1 (en) 2004-03-23 2006-05-03 삼성전자주식회사 Three dimensional CMOS field effect transistor and method of fabricating the same
US7141480B2 (en) 2004-03-26 2006-11-28 Texas Instruments Incorporated Tri-gate low power device and method for manufacturing the same
US8450806B2 (en) 2004-03-31 2013-05-28 International Business Machines Corporation Method for fabricating strained silicon-on-insulator structures and strained silicon-on insulator structures formed thereby
US7154118B2 (en) * 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US20050224797A1 (en) 2004-04-01 2005-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS fabricated on different crystallographic orientation substrates
US20050230763A1 (en) 2004-04-15 2005-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a microelectronic device with electrode perturbing sill
KR100642632B1 (en) 2004-04-27 2006-11-10 삼성전자주식회사 Methods of fabricating a semiconductor device and semiconductor devices fabricated thereby
US7084018B1 (en) 2004-05-05 2006-08-01 Advanced Micro Devices, Inc. Sacrificial oxide for minimizing box undercut in damascene FinFET
US20050255642A1 (en) 2004-05-11 2005-11-17 Chi-Wen Liu Method of fabricating inlaid structure
US6864540B1 (en) * 2004-05-21 2005-03-08 International Business Machines Corp. High performance FET with elevated source/drain region
KR100625177B1 (en) 2004-05-25 2006-09-20 삼성전자주식회사 method of manufacturing multi-bridge channel type MOS transistor
KR100634372B1 (en) 2004-06-04 2006-10-16 삼성전자주식회사 Semiconductor devices and methods for forming the same
US7132360B2 (en) 2004-06-10 2006-11-07 Freescale Semiconductor, Inc. Method for treating a semiconductor surface to form a metal-containing layer
WO2005122276A1 (en) 2004-06-10 2005-12-22 Nec Corporation Semiconductor device and manufacturing method thereof
US7291886B2 (en) 2004-06-21 2007-11-06 International Business Machines Corporation Hybrid substrate technology for high-mobility planar and multiple-gate MOSFETs
US8669145B2 (en) * 2004-06-30 2014-03-11 International Business Machines Corporation Method and structure for strained FinFET devices
US7348284B2 (en) * 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US20060040054A1 (en) * 2004-08-18 2006-02-23 Pearlstein Ronald M Passivating ALD reactor chamber internal surfaces to prevent residue buildup
US7105934B2 (en) 2004-08-30 2006-09-12 International Business Machines Corporation FinFET with low gate capacitance and low extrinsic resistance
US7250367B2 (en) * 2004-09-01 2007-07-31 Micron Technology, Inc. Deposition methods using heteroleptic precursors
US7071064B2 (en) 2004-09-23 2006-07-04 Intel Corporation U-gate transistors and methods of fabrication
US7332439B2 (en) * 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US7247547B2 (en) 2005-01-05 2007-07-24 International Business Machines Corporation Method of fabricating a field effect transistor having improved junctions
US7875547B2 (en) 2005-01-12 2011-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Contact hole structures and contact structures and fabrication methods thereof
US20060172480A1 (en) 2005-02-03 2006-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Single metal gate CMOS device design
US7238564B2 (en) 2005-03-10 2007-07-03 Taiwan Semiconductor Manufacturing Company Method of forming a shallow trench isolation structure
US7177177B2 (en) 2005-04-07 2007-02-13 International Business Machines Corporation Back-gate controlled read SRAM cell
KR100699839B1 (en) 2005-04-21 2007-03-27 삼성전자주식회사 Semiconductor device having multi-channel and Method of manufacturing the same
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7319074B2 (en) 2005-06-13 2008-01-15 United Microelectronics Corp. Method of defining polysilicon patterns
US7279375B2 (en) * 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US20070023795A1 (en) * 2005-07-15 2007-02-01 Kabushiki Kaisha Toshiba Semiconductor device and method of fabricating the same
US7352034B2 (en) * 2005-08-25 2008-04-01 International Business Machines Corporation Semiconductor structures integrating damascene-body FinFET's and planar devices on a common substrate and methods for forming such semiconductor structures
US7416943B2 (en) * 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US8513066B2 (en) 2005-10-25 2013-08-20 Freescale Semiconductor, Inc. Method of making an inverted-T channel transistor

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5545586A (en) * 1990-11-27 1996-08-13 Nec Corporation Method of making a transistor having easily controllable impurity profile
US20020011612A1 (en) * 2000-07-31 2002-01-31 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US20020036290A1 (en) * 2000-09-28 2002-03-28 Kabushiki Kaisha Toshiba Semiconductor device having MIS field effect transistors or three-dimensional structure
EP1202335A2 (en) * 2000-10-18 2002-05-02 International Business Machines Corporation Method of fabricating semiconductor side wall fin
US6475869B1 (en) * 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region
US20030057486A1 (en) * 2001-09-27 2003-03-27 International Business Machines Corporation Fin field effect transistor with self-aligned gate
JP2003298051A (en) * 2002-01-30 2003-10-17 Soko Lee Double-gate fet device and manufacturing method of the same
US20030227036A1 (en) * 2002-02-22 2003-12-11 Naoharu Sugiyama Semiconductor device
US20030201458A1 (en) * 2002-03-19 2003-10-30 Clark William F. Strained fin fets structure and method
US6709982B1 (en) * 2002-11-26 2004-03-23 Advanced Micro Devices, Inc. Double spacer FinFET formation
US6645797B1 (en) * 2002-12-06 2003-11-11 Advanced Micro Devices, Inc. Method for forming fins in a FinFET device using sacrificial carbon layer
US20040256647A1 (en) * 2003-06-23 2004-12-23 Sharp Laboratories Of America Inc. Strained silicon finFET device

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
BURENKOV A ET AL: "Corner Effect in Double and Triple Gate FinFETs", EUROPEAN SOLID-STATE DEVICE RESEARCH, 2003 33RD CONFERENCE ON. ESSDERC '03 SEPT. 16-18, 2003, PISCATAWAY, NJ, USA,IEEE, 16 September 2003 (2003-09-16), pages 135 - 138, XP010676716, ISBN: 0-7803-7999-4 *
CHANG S T ET AL: "3-D simulation of strained Si/SiGe heterojunction FinFETs", SEMICONDUCTOR DEVICE RESEARCH SYMPOSIUM, 2003 INTERNATIONAL DEC. 10-12, 2003, PISCATAWAY, NJ, USA,IEEE, 10 December 2003 (2003-12-10), pages 176 - 177, XP010687197, ISBN: 0-7803-8139-4 *
PATENT ABSTRACTS OF JAPAN vol. 2003, no. 12 5 December 2003 (2003-12-05) *

Cited By (109)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8405164B2 (en) 2003-06-27 2013-03-26 Intel Corporation Tri-gate transistor device with stress incorporation layer and method of fabrication
US8268709B2 (en) 2004-09-29 2012-09-18 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US8399922B2 (en) 2004-09-29 2013-03-19 Intel Corporation Independently accessed double-gate and tri-gate transistors
US9741809B2 (en) 2004-10-25 2017-08-22 Intel Corporation Nonplanar device with thinned lower body portion and method of fabrication
US8749026B2 (en) 2004-10-25 2014-06-10 Intel Corporation Nonplanar device with thinned lower body portion and method of fabrication
US9190518B2 (en) 2004-10-25 2015-11-17 Intel Corporation Nonplanar device with thinned lower body portion and method of fabrication
US8502351B2 (en) 2004-10-25 2013-08-06 Intel Corporation Nonplanar device with thinned lower body portion and method of fabrication
US8067818B2 (en) 2004-10-25 2011-11-29 Intel Corporation Nonplanar device with thinned lower body portion and method of fabrication
US10236356B2 (en) 2004-10-25 2019-03-19 Intel Corporation Nonplanar device with thinned lower body portion and method of fabrication
US9368583B2 (en) 2005-02-23 2016-06-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US8816394B2 (en) 2005-02-23 2014-08-26 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US9748391B2 (en) 2005-02-23 2017-08-29 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US9048314B2 (en) 2005-02-23 2015-06-02 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US9614083B2 (en) 2005-02-23 2017-04-04 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US8664694B2 (en) 2005-02-23 2014-03-04 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US10121897B2 (en) 2005-02-23 2018-11-06 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US8183646B2 (en) 2005-02-23 2012-05-22 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US8368135B2 (en) 2005-02-23 2013-02-05 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US8629477B2 (en) 2005-05-17 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US8987028B2 (en) 2005-05-17 2015-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US10522629B2 (en) 2005-05-17 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US8796734B2 (en) 2005-05-17 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US8519436B2 (en) 2005-05-17 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US9219112B2 (en) 2005-05-17 2015-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US9431243B2 (en) 2005-05-17 2016-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US9153645B2 (en) 2005-05-17 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US11251272B2 (en) 2005-05-17 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US7736956B2 (en) 2005-08-17 2010-06-15 Intel Corporation Lateral undercut of metal gate in SOI device
DE102006001680B3 (en) * 2006-01-12 2007-08-09 Infineon Technologies Ag Manufacturing method for a FinFET transistor arrangement and corresponding FinFET transistor arrangement
US7692246B2 (en) 2006-01-12 2010-04-06 Infineon Technologies Ag Production method for a FinFET transistor arrangement, and corresponding FinFET transistor arrangement
US8878243B2 (en) 2006-03-24 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures and related methods for device fabrication
US7777250B2 (en) 2006-03-24 2010-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures and related methods for device fabrication
US10074536B2 (en) 2006-03-24 2018-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures and related methods for device fabrication
US9318325B2 (en) 2006-09-07 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction using aspect ratio trapping
US9818819B2 (en) 2006-09-07 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction using aspect ratio trapping
US8847279B2 (en) 2006-09-07 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction using aspect ratio trapping
US8629047B2 (en) 2006-09-27 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Quantum tunneling devices and circuits with lattice-mismatched semiconductor structures
US8860160B2 (en) 2006-09-27 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Quantum tunneling devices and circuits with lattice-mismatched semiconductor structures
US8216951B2 (en) 2006-09-27 2012-07-10 Taiwan Semiconductor Manufacturing Company, Ltd. Quantum tunneling devices and circuits with lattice-mismatched semiconductor structures
US9559712B2 (en) 2006-09-27 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Quantum tunneling devices and circuits with lattice-mismatched semiconductor structures
US9105522B2 (en) 2006-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Quantum tunneling devices and circuits with lattice-mismatched semiconductor structures
US7799592B2 (en) 2006-09-27 2010-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Tri-gate field-effect transistors formed by aspect ratio trapping
WO2008039495A1 (en) * 2006-09-27 2008-04-03 Amberwave Systems Corporation Tri-gate field-effect transistors formed by aspect ratio trapping
US10468551B2 (en) 2006-10-19 2019-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. Light-emitter-based devices with lattice-mismatched semiconductor structures
US9853176B2 (en) 2007-04-09 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-based multi-junction solar cell modules and methods for making the same
US9040331B2 (en) 2007-04-09 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US10680126B2 (en) 2007-04-09 2020-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Photovoltaics on silicon
US9543472B2 (en) 2007-04-09 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US9853118B2 (en) 2007-04-09 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US9508890B2 (en) 2007-04-09 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photovoltaics on silicon
US8624103B2 (en) 2007-04-09 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-based multi-junction solar cell modules and methods for making the same
US9231073B2 (en) 2007-04-09 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US9780190B2 (en) 2007-06-15 2017-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
US10002981B2 (en) 2007-09-07 2018-06-19 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-junction solar cells
US8344242B2 (en) 2007-09-07 2013-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-junction solar cells
US8822248B2 (en) 2008-06-03 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial growth of crystalline material
US10961639B2 (en) 2008-06-03 2021-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial growth of crystalline material
US9365949B2 (en) 2008-06-03 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial growth of crystalline material
US9224754B2 (en) 2008-06-23 2015-12-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US9806193B2 (en) 2008-06-23 2017-10-31 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US9450092B2 (en) 2008-06-23 2016-09-20 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US8741733B2 (en) 2008-06-23 2014-06-03 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US9356103B2 (en) 2008-07-01 2016-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8274097B2 (en) 2008-07-01 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8994070B2 (en) 2008-07-01 2015-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8629045B2 (en) 2008-07-01 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US9640395B2 (en) 2008-07-01 2017-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US9287128B2 (en) 2008-07-15 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
US8981427B2 (en) 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
US9607846B2 (en) 2008-07-15 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
US8384196B2 (en) 2008-09-19 2013-02-26 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of devices by epitaxial layer overgrowth
US9934967B2 (en) 2008-09-19 2018-04-03 Taiwan Semiconductor Manufacturing Co., Ltd. Formation of devices by epitaxial layer overgrowth
US9984872B2 (en) 2008-09-19 2018-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Fabrication and structures of crystalline material
US8253211B2 (en) 2008-09-24 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
US8809106B2 (en) 2008-09-24 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method for semiconductor sensor structures with reduced dislocation defect densities
US9455299B2 (en) 2008-09-24 2016-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for semiconductor sensor structures with reduced dislocation defect densities
US9105549B2 (en) 2008-09-24 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
US8237151B2 (en) 2009-01-09 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US8304805B2 (en) 2009-01-09 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor diodes fabricated by aspect ratio trapping with coalesced films
US8629446B2 (en) 2009-04-02 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Devices formed from a non-polar plane of a crystalline material and method of making the same
US9299562B2 (en) 2009-04-02 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Devices formed from a non-polar plane of a crystalline material and method of making the same
US9576951B2 (en) 2009-04-02 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Devices formed from a non-polar plane of a crystalline material and method of making the same
EP2315239A1 (en) * 2009-10-23 2011-04-27 Imec A method of forming monocrystalline germanium or silicon germanium
US11776959B2 (en) 2011-12-30 2023-10-03 Intel Corporation Wrap-around trench contact structure and methods of fabrication
US10861851B2 (en) 2011-12-30 2020-12-08 Intel Corporation Wrap-around trench contact structure and methods of fabrication
US9876016B2 (en) 2011-12-30 2018-01-23 Intel Corporation Wrap-around trench contact structure and methods of fabrication
US9240484B2 (en) 2012-03-20 2016-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with metal gate stressor
US9054213B2 (en) 2012-03-20 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with metal gate stressor
US8872284B2 (en) 2012-03-20 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with metal gate stressor
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
US11342441B2 (en) 2012-07-17 2022-05-24 Unm Rainforest Innovations Method of forming a seed area and growing a heteroepitaxial layer on the seed area
US11349011B2 (en) 2012-07-17 2022-05-31 Unm Rainforest Innovations Method of making heteroepitaxial structures and device formed by the method
US11342442B2 (en) 2012-07-17 2022-05-24 Unm Rainforest Innovations Semiconductor product comprising a heteroepitaxial layer grown on a seed area of a nanostructured pedestal
US11342438B1 (en) 2012-07-17 2022-05-24 Unm Rainforest Innovations Device with heteroepitaxial structure made using a growth mask
US11456370B2 (en) 2012-07-17 2022-09-27 Unm Rainforest Innovations Semiconductor product comprising a heteroepitaxial layer grown on a seed area of a nanostructured pedestal
US11374106B2 (en) 2012-07-17 2022-06-28 Unm Rainforest Innovations Method of making heteroepitaxial structures and device formed by the method
US9780174B2 (en) 2013-02-01 2017-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming semiconductor regions in trenches
US9123633B2 (en) 2013-02-01 2015-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming semiconductor regions in trenches
WO2015047341A1 (en) 2013-09-27 2015-04-02 Intel Corporation Non-planar semiconductor devices having multi-layered compliant substrates
EP3050089A1 (en) * 2013-09-27 2016-08-03 Intel Corporation Non-planar semiconductor devices having multi-layered compliant substrates
EP3050089A4 (en) * 2013-09-27 2017-05-03 Intel Corporation Non-planar semiconductor devices having multi-layered compliant substrates
US9837321B2 (en) 2014-08-05 2017-12-05 Taiwan Semiconductor Manufacturing Company, Ltd. Nonplanar device and strain-generating channel dielectric
US10410930B2 (en) 2014-08-05 2019-09-10 Taiwan Semiconductor Manufacturing Company, Ltd. Nonplanar device and strain-generating channel dielectric
US11476338B2 (en) 2015-09-11 2022-10-18 Intel Corporation Aluminum indium phosphide subfin germanium channel transistors
EP3472867A4 (en) * 2016-06-17 2020-12-02 INTEL Corporation Field effect transistors with gate electrode self-aligned to semiconductor fin
US11276755B2 (en) 2016-06-17 2022-03-15 Intel Corporation Field effect transistors with gate electrode self-aligned to semiconductor fin
WO2017218014A1 (en) 2016-06-17 2017-12-21 Intel Corporation Field effect transistors with gate electrode self-aligned to semiconductor fin
CN109478566A (en) * 2016-06-17 2019-03-15 英特尔公司 With the field effect transistor with the self-aligning gate electrode of semiconductor fin

Also Published As

Publication number Publication date
TW200535979A (en) 2005-11-01
KR100845175B1 (en) 2008-07-10
CN101189730A (en) 2008-05-28
US7154118B2 (en) 2006-12-26
TWI269358B (en) 2006-12-21
CN101189730B (en) 2011-04-20
US7781771B2 (en) 2010-08-24
US20080142841A1 (en) 2008-06-19
US20050218438A1 (en) 2005-10-06
US7326634B2 (en) 2008-02-05
KR20060130704A (en) 2006-12-19
DE112005000704B4 (en) 2012-08-30
US20050224800A1 (en) 2005-10-13
DE112005000704T5 (en) 2007-09-06

Similar Documents

Publication Publication Date Title
US7154118B2 (en) Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US9653552B2 (en) Body-tied, strained-channel multi-gate device and methods
KR100903902B1 (en) Non-planar mos structure with a strained channel region
US7241653B2 (en) Nonplanar device with stress incorporation layer and method of fabrication
US7872303B2 (en) FinFET with longitudinal stress in a channel
EP2270868B1 (en) Methods of fabrication of a nonplanar semiconductor device with partially or fully wrapped around gate electrode
US9093466B2 (en) Epitaxial extension CMOS transistor
KR20050112400A (en) Semiconductor device having a channel layer and method of manufacturing the same
KR20110038594A (en) Semiconductor device having silicon on stressed liner(sol)
KR20060059088A (en) Method of fabricating transistor including buried insulating layer and transistor fabricated thereby
US9502420B1 (en) Structure and method for highly strained germanium channel fins for high mobility pFINFETs
US11581423B2 (en) Integrated circuit devices including an element having a non-linear shaped upper surface and methods of forming the same

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SM SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 200580009823.X

Country of ref document: CN

WWE Wipo information: entry into national phase

Ref document number: 1020067020446

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 1120050007049

Country of ref document: DE

WWP Wipo information: published in national office

Ref document number: 1020067020446

Country of ref document: KR

122 Ep: pct application non-entry in european phase
RET De translation (de og part 6b)

Ref document number: 112005000704

Country of ref document: DE

Date of ref document: 20070906

Kind code of ref document: P

REG Reference to national code

Ref country code: DE

Ref legal event code: 8607