WO2005010997A2 - Nonplanar device with stress incorporation layer and method of fabrication - Google Patents

Nonplanar device with stress incorporation layer and method of fabrication Download PDF

Info

Publication number
WO2005010997A2
WO2005010997A2 PCT/US2003/039727 US0339727W WO2005010997A2 WO 2005010997 A2 WO2005010997 A2 WO 2005010997A2 US 0339727 W US0339727 W US 0339727W WO 2005010997 A2 WO2005010997 A2 WO 2005010997A2
Authority
WO
WIPO (PCT)
Prior art keywords
silicon
film
semiconductor
semiconductor body
gate
Prior art date
Application number
PCT/US2003/039727
Other languages
French (fr)
Other versions
WO2005010997A3 (en
Inventor
Scott Hareland
Robert Chau
Brian Doyle
Suman Datta
Been-Yih Jin
Original Assignee
Intel Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corporation filed Critical Intel Corporation
Priority to AU2003297043A priority Critical patent/AU2003297043A1/en
Priority to EP03817697A priority patent/EP1639652B1/en
Publication of WO2005010997A2 publication Critical patent/WO2005010997A2/en
Publication of WO2005010997A3 publication Critical patent/WO2005010997A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7849Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being provided under the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures

Definitions

  • the present invention relates to the field of semiconductor integrated circuit manufacturing and more specifically to a non-planar transistor having stress incorporation layer.
  • FIG. 1 illustrates a standard fully depleted silicon on insulator (SOI) transistor 100.
  • SOI transistor 100 includes a single crystalline silicon substrate 102 having an insulating layer 104, such as a buried oxide formed thereon.
  • a single crystalline silicon body 106 is formed on the insulating layer 104.
  • a gate dielectric layer 108 is formed on the single crystalline silicon body 106 and a gate electrode 110 formed on the gate dielectric 108.
  • Source 112 and drain 114 regions are formed in the silicon body 106 along laterally opposite sides of gate electrode 110.
  • a double gate (DG) device such as shown in Figures 2A and 2B, have been proposed to alleviate the silicon thickness issue.
  • the double gate (DG) device 200 includes a silicon body 202 formed on an insulating substrate 204.
  • a gate dielectric 206 is formed on two sides of the silicon body 202 and a gate electrode 208 is formed adjacent to the gate dielectric 206 formed on the two sides of the silicon body 202.
  • Double gate (DG) device 200 essentially has two gates, one on either side of the channel of the device.
  • the most manufacturable form of the double gate (DG) device 200 requires that the body 202 patterning be done with photolithography that is 0.7 x smaller than that used to pattern the gate length (Lg) of the device. In order to obtain high density integrated circuits, it is generally desirable to have the most aggressive lithography occur with respect to the gate length (Lg) of the gate electrode 208.
  • silicon body 202 requires a silicon body etch which can produce a silicon body 202 with an aspect ratio (height to width) of about 5: 1.
  • Figure 1 is an illustration of a cross-sectional view of a depleted substrate transitor.
  • Figures 2A and 2B illustrate a double gate depleted substrate transistor.
  • Figure 3A and 3B is an illustration of a tri-gate transistor having a stress incorporation film in accordance with the present invention.
  • Figure 4 is an illustration of a tri-gate transistor in accordance with an embodiment of the present invention.
  • Figures 5A-5E illustrate methods of fabricating a tri-gate transistor with a stress incorporation film in accordance with embodiments of the present invention.
  • Figure 6 is a plot which illustrates body heights and body widths which can be used to obtain partially depleted and fully depleted tri-gate transistors having gate lengths (Lg) of 30 nm and 20 nm.
  • the present invention is a novel tri-gate or non-planar transistor structure with a stress incorporating layer and its method of fabrication.
  • numerous specific details are set forth in order to provide a thorough understanding in the present invention. In other instances, well-known semiconductor process and manufacturing techniques have not been described in particular detail in order to not unnecessarily obscure the present invention.
  • the present invention is novel non-planar or tri-gate transistor structure and its method of fabrication.
  • the tri-gate transistor includes a stress film formed around the channel region of the device to provides a stress to the channel region to improve carrier mobility. Greater carrier mobility results in increased transistor drive current.
  • the stress film is formed beneath the channel region so that it completely surrounds the channel.
  • the stress film By completely surrounding the channel region with the stress film, the stress film provides stress to all sides of the channel, thereby providing stress over a large area and maximizing and improving device performance.
  • the film stress properties such a type of stress (i.e., compressive or tensile) and the amount of stress can be varied in order to optimize performance for different transistor types (e.g., PMOS and NMOS).
  • the tri-gate transistor is a semiconductor on insulator (SOI) transistor.
  • SOI semiconductor on insulator
  • the tri-gate transistor is ideal for use in fully depleted substrate transistor applications.
  • the tri-gate transistor includes a thin semiconductor body formed on an substrate, the substrate can be an insulating substrate or a semiconductor substrate.
  • a gate dielectric is formed on the top surface and the sidewalls of the semiconductor body.
  • a gate electrode is formed on the gate dielectric on the top surface of the semiconductor body and is formed adjacent to the gate dielectric formed on the sidewalls of the semiconductor body.
  • Source and drain regions are formed in the semiconductor body on opposite sides of the gate electrode. Because the gate electrode and the gate dielectric surround the semiconductor body on three sides, the transistor essentially has three separate channels and gates.
  • the gate "width" of a transistor is equal to the sum of each of the three sides of the semiconductor body. Larger "width" transistors can be formed by connecting several tri-gate transistors together.
  • the semiconductor body can be fully depleted when the transistor is turned ON", thereby enabling the formation of a fully depleted transistor with gate lengths of less than 30 nanometers without requiring the use of ultra-thin semiconductor bodies or requiring photolithographic patterning of the semiconductor bodies to dimensions less than the gate length (Lg) of the device. That is, the structure of the tri-gate transistor of the present invention enables a fully depleted transistor to be fabricated where the thickness of the semiconductor body and width of the semiconductor body are equal to the gate length of the device.
  • the novel tri-gate transistor of the present invention can be operated in a fully depleted manner, the device is characterized by ideal (i.e., very sharp) subthreshold slope and a reduced drain induced barrier lowering (DIBL) short channel effect of less than 100mV V and ideally about 60 mV/V which results in a lower leakage current when the device is turned “OFF” resulting in lower power consumption.
  • DIBL drain induced barrier lowering
  • Tri-gate transistor 300 is formed on an substrate 302.
  • substrate 302 is an insulating substrate which includes a lower monocrystalline silicon substrate 304 upon which is formed in insulating layer 306, such as a silicon dioxide film.
  • Tri-gate transistor 300 can be formed on any well- known insulating substrate such as substrates formed from silicon dioxide, nitrides, oxides, and shappires.
  • the substrate 302 can be a semiconductor substrate, such as but not limited to monocrystalline silicon substrate and gallium arsenide substrate.
  • Tri-gate transistor 300 includes a semiconductor body 308 and typically a plurality of semiconductor bodies 308 formed on insulator 306 of insulating substrate 302.
  • Semiconductor body 308 can be formed of any well-known semiconductor material in which carrier mobility can be enhanced by applying a stress to the semiconductor, such as but not limited to silicon (Si) and silicon germanium (Si x Ge y ) where the Ge content is less than about 25%.
  • III- V semiconductors such as gallium arsenide (GaAs), InSb, GaP, and GaSb may also benefit from applying a stress to them. It is thought that direct band gap materials may not benefit from applying a stress thereto, while non-direct band gaps will benefit.
  • Semiconductor body 308 is formed of a material which can be reversibly altered from an insulating state to a conductive state by applying external electrical controls. Semiconductor body 308 is ideally a single crystalline film when the best electrical performance of transistor 300, is desired. For example, semiconductor body 308 is a single crystalline film when transistor 300 is used in high performance applications, such as in a high density circuit, such as a microprocessor.
  • Semiconductor body 308 can be a polycrystalline film when transistor 300 is used in applications requiring less stringent performance, such as in liquid crystal displays.
  • Insulator 306 insulates semiconductor body 308 from monocrystalline silicon substrate 302.
  • semiconductor body 308 is a single crystalline silicon film.
  • Each semiconductor body or bodies 308 has a pair of laterally opposite sidewalls 310 and 312 separated by a distance which defines a semiconductor body width 314.
  • each semiconductor body 308 has a top surface 316 opposite a bottom surface 318 formed on substrate 302. The distance between the top surface 316 and the bottom surface 318 defines a body height 320.
  • the body height 320 is substantially equal to the body width 314.
  • the body 308 has a width 314 and height 320 less than 30 nanometers and ideally less than 20 nanometers. In an embodiment of the present invention, the body height 320 is between ⁇ A the body width 314 to 2 times the body width 314.
  • Tri-gate transistor 300 has a gate dielectric layer 322. Gate dielectric layer 322 is formed on and around three sides of each of the semiconductor bodies 308 as shown in Figure 3A and 3B. Gate dielectric layer 322 is formed on or adjacent to sidewall 312, on top surface 316 and on or adjacent to sidewall 310 of body 308 as shown in Figure 3. Gate dielectric layer 322 can be any well-known gate dielectric layer.
  • the gate dielectric layer is a silicon dioxide (SiO 2 ), silicon oxynitride (SiO x N y ) or a silicon nitride (Si 3 N 4 ) dielectric layer.
  • the gate dielectric layer 322 is a silicon oxynitride film formed to a thickness of between 5- 2 ⁇ A.
  • gate dielectric layer 322 is a high K gate dielectric layer, such as a metal oxide dielectric, such as but not limited to tantalum pentaoxide (Ta 2 0 5 ), titantium oxide (Ti0 2 ), hafnium oxide (HfO 2 ), HfSiO ⁇ N y , zirconium oxide (ZrO 2 ) and lanthanum oxide La0 2 ).
  • Gate dielectric layer 322 can be other types of high K dielectrics, such as but not limited to PZT.
  • Tri-gate device 300 has a gate electrode 324. Gate electrode 324 is formed on and around gate dielectric layer 322 as shown in Figure 3A and 3B.
  • Gate electrode 324 is formed on or adjacent to gate dielectric 322 formed on sidewall 312 of semiconductor body 308, is formed on gate dielectric 322 formed on the top surface 316 of semiconductor body 308, and is formed adjacent to or on gate dielectric layer 322 formed on sidewall 310 of semiconductor body 308.
  • Gate electrode 324 has a pair of laterally opposite sidewalls 326 and 328 separated by a distance which defines the gate length (Lg) 330 of transistor 300.
  • the laterally opposite sidewalls 326 and 328 of the gate electrode 324 run in a direction perpendicular to the laterally opposite sidewalls 310 and 312 of semiconductor body 308.
  • Gate electrode 324 can be formed of any suitable gate electrode material.
  • gate electrode 324 comprises of polycrystalline silicon doped to a concentration density between 1x10 19 atoms/cm 3 - 1x10 21 atoms/cm 3 .
  • the gate electrode can be a metal gate electrode, such as but not limited to, tungsten, tantalum, titanium, and their nitrides or alloys of various metallic systems.
  • the gate electrode is formed from a material having a work function between 3.9-5.3 eV. It is to be appreciated, the gate electrode 324 need not necessarily be a single material and can be a composite stack of thin films, such as but not limited to a polycrystalline silicon/metal electrode or a metal/polycrystalline silicon electrode.
  • Tri-gate transistor 300 has a source region 330 and a drain region 332.
  • Source region 330 and drain region 332 are formed in semiconductor body 308 on opposite sides of gate electrode 324 as shown in Figure 3A.
  • the source region 330 and the drain region 332 are formed of the same conductivity type such as N- type or P-type conductivity.
  • source region 330 and drain region 332 have a doping concentration of between 1x10 19 and 1x10 21 atoms/cm 3 .
  • Source region 330 and drain region 332 can be formed of uniform concentration or can include subregions of different concentrations or doping profiles such as tip regions (e.g., source/drain extensions).
  • source region 330 and drain region 332 will have the same doping concentration and profile.
  • the doping concentration and profile of the source region 330 and the drain region 332 may vary in order to obtain a particular electrical characteristic.
  • the portion of semiconductor body 308 located between source region 330 and drain region 332, defines the channel region 350 of transistor 300.
  • the channel region 350 can also be defined as the area of the semiconductor body 308 surrounded by the gate electrode 324.
  • the source/drain region may extend slightly beneath the gate electrode through, for example, diffusion to define a channel region slightly smaller than the gate electrode length (Lg).
  • channel region 350 is intrinsic or undoped monocrystalline silicon. In an embodiment of the present invention, channel region 350 is doped monocrystalline silicon. When channel region 350 is doped it is typically doped to a conductivity level of between 1x10 16 to 1x10 19 atoms/cm3. In an embodiment of the present invention, when the channel region is doped it is typically doped to the opposite conductivity type of the source region 330 and the drain region 332. For example, when the source and drain regions are N-type conductivity the channel region would be doped to p type conductivity. Similarly, when the source and drain regions are P type conductivity the channel region would be N-type conductivity.
  • a tri-gate transistor 300 can be formed into either a NMOS transistor or a PMOS transistor respectively.
  • Channel region 350 can be uniformly doped or can be doped non-uniformly or with differing concentrations to provide particular electrical and performance characteristics.
  • channel regions 350 can include well-known "halo" regions, if desired.
  • the tri-gate transistor is characterized in having three channels and three gates, one (g1) which extends between the source and drain regions on side 312 of silicon body 308, a second (g2) which extends between the source and drain regions on the top surface 316 of silicon body 308, and the third (g3) which extends between the source and drain regions on the sidewall 310 of silicon body 308 as shown in Figure 3A and Figure 3B.
  • the gate "width" (Gw) of transistor 300 is the sum of the widths of the three channel regions.
  • the gate width of transistor 300 is equal to the height 320 of silicon body 308 at sidewall 310, plus the width of silicon body of 308 at the top surface 316, plus the height 320 of silicon body 308 at sidewall 312.
  • Larger "width" transistors can be obtained by using multiple devices coupled together (e.g., multiple silicon bodies 308 surrounded by a single gate electrode 324 as shown in Figure 3A).
  • transistor 300 can include an additional or multiple semiconductor bodies or fingers 308 as shown in Figure 3A.
  • Each semiconductor body 308 has a gate dielectric layer 322 formed on its top surface and sidewalls as shown in Figure 3A and Figure 3B.
  • Gate electrode 324 is formed on and adjacent to each gate dielectric 322 on each of the semiconductor bodies 308.
  • Each semiconductor body 308 also includes a source region 330 and a drain region 332 formed in the semiconductor body 308 on opposite sides of gate electrode 324 as shown in Figure 3A.
  • each semiconductor body 308 is formed with the same width and height (thickness) as the other semiconductor bodies 308.
  • each source regions 330 and drain regions 332 of the semiconductor bodies 308 are electrically coupled together by the semiconductor material used to form semiconductor body 308 to form a source landing pad 460 and a drain landing pad 480 as shown in Figure 3A.
  • the source regions 330 and drain regions 332 can be coupled together by higher levels of metalization (e.g., metal 1, metal 2, metal 3...) used to electrically interconnect various transistors 300 together into functional circuits.
  • the gate width of transistor 300 as shown in Figure 3A would be equal to the sum of the gate width created by each of the semiconductor bodies 308. In this way, the tri-gate transistor 300 can be formed with any gate width desired.
  • the tri-gate device of the present invention includes a film 360 which imparts a stress to the channel region 350 of the device.
  • a film 360 which imparts a stress to the channel region 350 of the device.
  • the mobility of the carriers (i.e., electrons or holes) for the device can be increased and the device performance improved.
  • stress incorporating film 360 is formed on and around exposed portions of semiconductor body 308 as shown in Figure 3A and Figure 3B. Additionally, in an embodiment of the present invention, the stress incorporating film 360 is formed over and around the gate electrode 324.
  • the stress incorporating film 360 is formed directly on exposed top portion 322 of silicon body 308 as well as directly on or adjacent to sides 310 and 312 of semiconductor body 360. Additionally, in an embodiment of the present invention, the stress incorporating film 360 is also formed directly on and adjacent to gate electrode 324. [0026] Additionally, in an embodiment of the present invention, the stress incorporating film 360 is also formed directly beneath the bottom surface 318 of semiconductor body 308 including beneath the channel region 350 of the semiconductor body 308 as shown in Figure 3A and Figure 3B.
  • the stress incorporating film can be formed beneath the semiconductor body or bodies by first removing a portion of the barried oxide or insulator 306 beneath the semiconductor body and then back filling the region with a stress incorporating film. By including a stress incorporating film directly beneath the bottom surface of the channel region 350 of semiconductor bodies 308, the stress material 360 completely surrounds the channel and provides stress from all side of the channel and not just the top.
  • the film 360 has a compressive stress so that the semiconductor body and especially the channel region of the semiconductor body is under a tensile stress.
  • a channel region width a tensile stress improves the mobility of electrons and therefore is ideal for use in a NMOS device where the carriers are electrons.
  • the stress incorporating film 360 is a film having suitable compressive stress to produce a tensile stress between 0.5-5.0 GPa and ideally about 1 GPa in the channel region of the semiconductor body.
  • the stress incorporating film 360 has a thickness between 10-200 nanometers.
  • the stress film 360 improves carrier mobility by 20-80 percent.
  • the film 360 has a tensile stress so that the semiconductor body 308 and especially the channel region 350 of the semiconductor body is under a compressive stress.
  • a channel region under a compressive stress improves the mobility of holes and therefore is ideal for use in a PMOS device where the carriers are holes.
  • the film 360 is a film having a suitable tensile stress to produce a compressive stress between 0.5-5.0 GPa in the channel region of the silicon body 308.
  • the thin film 360 is a silicon nitride film having a tensile stress.
  • a thin oxide or passivating film 319 is formed on the underside 318 of the semiconductor bodies in order to help reduce parasitic leakage effects.
  • the passivating film 319 includes Si0 2 and can be formed to a thickness greater than about 1 nanometer.
  • transistor 300 when transistor 300 is turned “ON” a depletion region is formed in channel region 350 along with an inversion layer at the surfaces of region 350 (i.e., an inversion layer is formed on the side surfaces and top surface of the semiconductor body).
  • the inversion layer has the same conductivity type as the source and drain regions and forms a conductive channel between the source and drain regions to allow current to flow therebetween.
  • the depletion region depletes free carriers from beneath the inversion layer.
  • the depletion region extends to the bottom of channel region 350, thus the transistor can be said to be a "fully depleted” transistor. Fully depleted transistors have improved electrical performance characteristics over non-fully depleted or partially depleted transistors.
  • operating transistor 300 in a fully depleted manner gives transistor 300 an ideal or very steep subthreshold slope.
  • the tri-gate transistor can be fabricated with very steep sub-threshold slope of less than 80 mV/decade, and ideally about 60 mV/decade even when fabricated with semiconductor body thicknesses o less than 30 nm.
  • transistor 300 in the fully depleted manner transistor 300 has an improved drain induced barrier (DIBL) low in effect which provides for better "OFF" state leakage which results in lower leakage and thereby lower power consumption.
  • DIBL drain induced barrier
  • the tri-gate transistor 300 has a DIBL effect of less than lOOmV ⁇ / and ideally less than 40 mV/V.
  • Figure 6 is an illustration of two plots 602 and 604 which set forth the body height and body width which will produce either fully depleted (F.D) or partially depleted (P.D) tri-gate transistors having gate length (Lg) of 30 nm (602) and 20 nm (604) respectively.
  • the body height, body width and gate length are chosen to have dimensions in which a fully depleted transistor will be formed.
  • the tri-gate transistor has a body height, body width and gate length such that a partially depleted transistor is formed.
  • the tri-gate transistor of the present invention can be said to be a non- planar transistor because the inversion layer of the channel region 350 is formed in both the horizontal and vertical directions in semiconductor body 308.
  • the semiconductor device of the present invention can also be considered a non- planar device because the electric field from the gate electrode 324 is applied from both horizontal (g2) and vertical sides (g1 and g3).
  • tri-gate transistor 300 can include other films or features, such as a silicon or other semiconductor film 410, sidewall spacer 420 and suicide 430 formed prior to forming the stress incorporating film 360 as shown in Figure 4.
  • a semiconductor film 410 is formed on the source region 330 and on the drain region 332 of semiconductor body 308 to form "raised" source and drain regions.
  • Semiconductor film 410 can be a silicon film or a silicon alloy such as silicon germanium (Si x Ge y ).
  • the semiconductor film 410 is a single crystalline silicon film formed of the same conductivity type as the source region 330 and drain region 332.
  • the semiconductor film can be a silicon alloy such as silicon germanium wherein silicon comprises approximately 1 to 99 atomic percent of the alloy.
  • the semiconductor film 410 need not necessarily be a single crystalline semiconductor film and in an embodiment can be a polycrystalline film.
  • Semiconductor film 410 can be electrically isolated from a gate electrode 324 by a pair of dielectric sidewall spacers 420 such as silicon nitride or silicon oxide or composites thereof. Sidewall spacers 420 run along the laterally opposite sidewalls 326 and 328 of gate electrode 324 as shown in Figure 4 thereby isolating the semiconductor film 410 from gate electrode 324 as shown in Figure 4.
  • An embodiment of the present invention sidewalls spacers 420 have a thickness of between 20-200A.
  • a suicide film 430 such as, but not limited to, titanium suicide, nickel suicide, and cobalt suicide is formed on the source region 330 and drain region 332.
  • suicide film 430 is formed on a silicon film 410 of silicon body 308 as shown in Figure 4. Suicide film 430 however can also be formed directly onto the top surface 316 of silicon body 308.
  • suicide film 430 can be formed on silicon body 308 by first forming a silicon film such as an undoped silicon film and a silicon body and then completely consuming the silicon film during the suicide process.
  • Dielectric spacers 420 enables suicide film 430 to be formed on semiconductor body 308 or silicon film 410 in a self-aligned process (i.e., a salicide process).
  • a semiconductor or silicon film 440 can also be formed on the top of gate electrode 324 as can a suicide film 450 on the top surface gate electrode 325 as can be a suicide film 450.
  • Suicide film 450 and silicon film 440 are typically formed at the same time as suicide film 430 and silicon film 420 on silicon body 308.
  • FIG. 5A-5E A method of fabricating a tri-gate transistor in accordance with embodiments of the present invention is illustrated in Figures 5A-5E.
  • the fabrication of a tri-gate transistor begins with substrate 502.
  • a silicon or semiconductor film 508 is formed on substrate 502 as shown in Figure 5A.
  • the substrate 502 is an insulating substrate, such as shown in Figure 5A.
  • insulating substrate 502 includes a lower monocrystalline silicon substrate 504 and a top insulating layer 506, such as a silicon dioxide film or silicon nitride film.
  • Insulating layer 506 isolates semiconductor film 508 from substrate 504, and in embodiment is formed to a thickness between 200-2000A. Insulating layer 506 is sometimes referred to as a "buried oxide" layer.
  • a silicon or semiconductor film 508 is formed on an insulating substrate 502, a silicon or semiconductor on insulating (SOI) substrate 500 is created.
  • the substrate 502 can be a semiconductor substrate, such as but not limited to a silicon monocrystalline substrate and a gallium arsenide substrate.
  • semiconductor film 508 is ideally a silicon film, in other embodiments it can be other types of semiconductor films in which carrier mobility can be enhanced when under stress, such as but not limited to a silicon germanium alloy (Si x Ge y ) with less than 25% Ge, and lll-V materials such as, gallium arsenide (GaAs), InSb, GaP and GaSb.
  • semiconductor film 508 is an intrinsic (i.e., undoped) silicon film.
  • semiconductor film 508 is doped to a p type or n type conductivity with a concentration level between 1x10 16 -1x10 19 atoms/cm 3 .
  • Semiconductor film 508 can be insitu doped (i.e., doped while it is deposited) or doped after it is formed on substrate 502 by for example ion-implantation. Doping after formation enables both PMOS and NMOS tri-gate devices to be fabricated easily on the same insulating substrate. The doping level of the semiconductor body at this point determines the doping level of the channel region of the device. [0038] Semiconductor film 508 is formed to a thickness which is approximately equal to the height desired for the subsequently formed semiconductor body or bodies of the fabricated tri-gate transistor. In an embodiment of the present invention, semiconductor film 508 has a thickness or height 509 of less than 30 nanometers and ideally less than 20 nanometers.
  • semiconductor film 508 is formed to the thickness approximately equal to the gate "length" desired of the fabricated tri-gate transistor. In an embodiment of the present invention, semiconductor film 508 is formed thicker than desired gate length of the device. In an embodiment of the present invention, semiconductor film 508 is formed to a thickness which will enable the fabricated tri-gate transistor to be operated in a fully depleted manner for its designed gate length (Lg).
  • Semiconductor film 508 can be formed on insulating substrate 502 in any well- known method.
  • a silicon on insulator substrate known as the SIMOX technique
  • oxygen atoms are implanted at a high dose into a single crystalline silicon substrate and then anneal to form the buried oxide 506 within the substrate.
  • the portion of the single crystalline silicon substrate above the buried oxide becomes the silicon film 508.
  • Another technique currently used to form SOI substrates is an epitaxial silicon film transfer technique which is generally referred to as bonded SOI.
  • bonded SOI In this technique a first silicon wafer has a thin oxide grown on its surface that will later serve as the buried oxide 506 in the SOI structure.
  • a high dose hydrogen implant is made into the first silicon wafer to form a high stress region below the silicon surface of the first wafer.
  • This first wafer is then flipped over and bonded to the surface of a second silicon wafer.
  • the first wafer is then cleaved along the high stress plain created by the hydrogen implant. This results in a SOI structure with a thin silicon layer on top, the buried oxide underneath all on top of the single crystalline silicon substrate.
  • Well-known smoothing techniques such as HC smoothing or chemical mechanical polishing (CMP) can be used to smooth the top surface of semiconductor film 508 to its desired thickness.
  • isolation regions can be formed into SOI substrate 502 in order to isolate the various transistors to be formed therein from one another. Isolation regions can be formed by etching away portions of the substrate film 508 surrounding a tri-gate transistor, by for example well-known photolithographic and etching techniques, and then back filling the etched regions with an insulating film, such as Si0 2 .
  • the fins or bodies 520 are patterned to have a width 518 which is equal to or greater than the width desired of the gate length (Lg) of the fabricated transistor. In this way, the most stringent photolithography constraints used to fabricate the transistor are associated with the gate electrode patterning and not the semiconductor body or fin definition. In an embodiment of the present invention, the semiconductor bodies or fins will have a width 518 less than or equal to 30 nanometers and ideally less than or equal to 20 nanometers.
  • the semiconductor bodies or fins have a width 518 approximately equal to the silicon body height 509. In an embodiment of the present invention, the fins or bodies 520 have a width 518 which is between Vz the semiconductor body height 509 and two times the semiconductor body height 509.
  • the photolithography and etching step can also be used to form source landing pads 522 and drain landing pads 524 from the semiconductor film.
  • the landing pads can be used to connect together the various source regions and to connect together the various drain regions of the fabricated transistor.
  • the semicoductor film 508 can be patterned into fins and landing pads utilizing well known photolithography and etching techniques which generally include the formation of a photoresist mask by masking, exposing, and developing a blanket deposited photoresist film as is well known in the art, and then etching semiconductor film in alignment with the photoresist mask to form one or more silicon bodies or fins 520 and source and drain landing pads 522 and 524 respectively.
  • Semiconductor film 508 is etched until the underlying buried oxide layer 506 is exposed.
  • Well-known semiconductor etching techniques such as anisotropic plasma etching or reactive ion etching can be used to etch semiconductor film 508 in alignment with the photoresist mask.
  • a gate dielectric layer 526 is formed on and around each semiconductor body 520. That is, a gate dielectric layer 526 is formed on the top surface 527 of each of the semiconductor bodies 520 as well as on the laterally opposite sidewalls 528 and 529 of each of the semiconductor bodies 520.
  • the gate dielectric can be a deposited dielectric or a grown dielectric.
  • the gate dielectric layer 526 is a silicon dioxide dielectric film grown with a dry/wet oxidation process. In an embodiment of the present invention, the silicon oxide film is grown to a thickness of between 5-15A. In an embodiment of the present invention, the gate dielectric film 526 is a deposited dielectric, such as but not limited to a high dielectric constant film, such as metal oxide dielectric, such as tantalum pentaoxide (Ta 2 ⁇ 5), titanium oxide (Ti0 2 ), hafnium oxide (Hf0 2 ), HfSiO x N y , zirconium oxide (Zr0 2 ) and lanthanum oxide La0 2 ) or other high-K dielectrics, such as PZT and BST.
  • a high dielectric constant film such as metal oxide dielectric, such as tantalum pentaoxide (Ta 2 ⁇ 5), titanium oxide (Ti0 2 ), hafnium oxide (Hf0 2 ), HfSiO x N y
  • a high dielectric constant film can be formed by any well-known technique, such as by chemical vapor deposition (CVD).
  • CVD chemical vapor deposition
  • a gate electrode 530 is formed.
  • the gate electrode 530 is formed on the gate dielectric layer 526 formed on the top surface 527 of each of the semiconductor bodies 520 and is formed on or adjacent to the gate dielectric 526 formed on or adjacent to the sidewalls 528 and 529 of each of the semiconductor bodies as shown in Figure 5E.
  • the gate electrode 530 has a top surface 532 opposite of bottom surface formed on the insulating substrate 502 and has a pair of laterally opposite sidewalls 534 and 536.
  • Gate electrode 530 can be formed by blanket depositing a suitable gate electrode material over the substrate and then patterning the material into an electrode 530.
  • the gate electrode can be formed to a thickness 533 between 200-3000A. In an embodiment the gate electrode has a thickness or height 533 of at least three times the height 509 of semiconductor bodies 520.
  • the gate electrode material is then patterned with well-known photolithography and etching techniques to form gate electrode 530 from the gate electrode material.
  • the gate electrode material comprises polycrystalline silicon.
  • the gate electrode material comprises a polycrystalline silicon germanium alloy.
  • the gate electrode material can comprise a metal film, such as tungsten, tantalum, and their nitrides.
  • Gate electrode 530 can be formed by well-known techniques, such as by blanket depositing a gate electrode material over the substrate of Figure 5B and then patterning the gate electrode material with well-known photolithography and etching techniques.
  • the photolithography process used to define gate electrode 530 utilizes the minimum or smallest dimension lithography process used to fabricate the tri- gate transistor.
  • the gate length (Lg) 538 of gate electrode 530 has a minimum feature dimension of the transistor defined by photolithography.
  • the gate length 538 is less than or equal to 30 nanometers and ideally less than or equal to 20 nanometers.
  • source and drain regions for the transistor are formed in semiconductor body 520 on opposite sides of gate electrode 530.
  • the source and drain regions include tip or source/drain extension regions.
  • Source and drain extension regions 540 and 542, respectively, can be formed by placing dopants 544 into semiconductor bodies 520 on both sides 532, 534 of gate electrode 530 in order to form tip regions 540 and 542 as shown in Figure 5D.
  • the semiconductor fins or bodies 520 are doped to a p type conductivity and to a concentration between 1x10 20 -1x10 21 atoms/cm 3 .
  • the semiconductor fins or bodies 520 is doped with n type conductivity ions to a concentration between 1x10 20 -1x10 21 atoms/cm 3 .
  • the silicon films are doped by ion-implantation.
  • the ion-implantation occurs in a vertical direction (i.e., a direction perpendicular to substrate 502).
  • gate electrode 530 is a polysilicon gate electrode, it can be doped during the ion-implantation process. Gate electrode 530 acts as a mask to prevent the ion-implantation step from doping the channel region(s) 548 of the tri-gate transistor.
  • the channel region 548 is the portion of the silicon body 520 located beneath or surrounded by the gate electrode 530. If gate electrode 530 is a metal electrode, a dielectric hard mask maybe used to block the doping during the ion-implantation process. In other embodiments, other methods, such as solid source diffusion, may be used to dope the semiconductor body to form source and drain extensions.
  • halo regions can be formed in silicon body prior to the formation of a source/drain regions or source/drain extension regions.
  • Halo regions are doped regions formed in the channel region 548 of the device and are of the same conductivity but of a slightly higher concentration than the doping of the channel region of the device.
  • Halo regions can be formed by ion-implantating dopants beneath the gate electrode by utilizing large angled ion-implantation techniques.
  • the substrate shown in Figure 5C can be further processed to form additional features, such as heavily doped source/drain contact regions, deposited silicon on the source and drain regions to form raised source and drain regions, as well as the gate electrode, and the formation of suicide on the source/drain contact regions as well as on the gate electrode.
  • the buried oxide layer 506 located underneath and adjacent to the semiconductor fins or bodies is removed to form an air gap 560 in the buried oxide layer.
  • the buried oxide layer can be removed by using a selective etch which preferencely etches the buried insulating film without substantially etching away the semiconductor body.
  • the buried silicon oxide layer can be selectively etched away with a buffered HF etchant. It is to be appreciated that any suitable wet or dry etch technique which can selectively etch away the buried insulating layer without etching away the semiconductor body may be utilized to form air gaps 560. An etchant with a selectivity of greater than 10 is desirable.
  • the buried insulator etch can be performed on the wafer with or without a patterning layer depending upon the layout of the device. Typically a patterned photoresist mask will be utilized which exposes the transistors which are to receive the subsequent stress film and covers those transistors which are not to include a stress film.
  • a short oxidation or passivation step can be performed to passivate the bottom of the exposed fins in order to reduce parasitic leakeage effects. Any suitable oxidation or passivation process can be used to form the passivating dielectric.
  • the bottom of the fins are passivated by Si0 2 to form a passivating oxide film having a thickness greater than about 1 nanometer.
  • the stress incorporation film 560 must be an insulating film in order to prevent shorts from the source to the drain.
  • the purpose of the stress incorporation film is to provide stress in the channel region of the device.
  • the type of stress in the stress incorporation film depends upon the type of device being fabricated. For a NMOS device where the carriers are electrons, the channel regions need to be under tensile stress to increase mobility of electrons. In order to place the channel region under tensile stress, the stress incorporation film 560 needs to be a compressive film. Additionally, the process used to form the stress incorporation film 560 should be a conformal process, such as a vapor phase deposition, which can blanket deposit the film equally on vertical and horizontal surfaces and be able to fill underneath the semiconductor bodies.
  • the stress incorporation film is able to completely fill the regions underneath the fins or bodies of the device. This can be facilitated by making narrower fins or making the underlying insulating film layer 506 thicker in order to improve the aspect ratio of the fill under the channel regions.
  • the stress incorporation film is a compressive silicon nitride film.
  • an NMOS device is fabricated with a compressive silicon nitride film formed by chemical vapor deposition (CVD) utilizing a reactant gas mixture comprising dichloro-silane (DCS) and ammonia (NH 3 ). BTBAS can also be used in place of DCS. Such a process will blanket deposit a silicon nitride film over the substrate with equal deposition on horizontal and vertical surfaces and enable the filling of the air gap beneath the silicon bodies.
  • the channel regions needs to be in compression to increase the mobility of the holes.
  • the stress incorporation film needs to be a tensile film.
  • the stress incorporation film is a tensile silicon nitride film.
  • the stress incorporation film is formed to a thickness sufficient to completely fill the air gap regions beneath the semiconductor bodies and channel region and completely surround the semiconductor body and gate electrode as shown in Figure 5E.
  • the stress incorporation film completely surrounds the device and provides stress over a large area resulting in the desired stress in the channel to improve mobility.
  • the film stress properties are modulated during deposition in order to optimize the film for device performance.
  • a masking and etch step can be used to remove the stress incorporation film from area where it is not desired, and processing continued in a normal manner to form "back end" features, such as metal interconnects and interiayer dielectrics to electrically couple the individual transistors together into a functional circuit.

Abstract

A semiconductor device comprising a semiconductor body having a top surface and laterally opposite sidewalls is formed on an insulating substrate. A gate dielectric layer is formed on the top surface of the semiconductor body and on the laterally opposite sidewalls of the semiconductor body. A gate electrode is formed on the gate dielectric on the top surface of the semiconductor body and is formed adjacent to the gate dielectric on the laterally opposite sidewalls of the semiconductor body. A thin film is then formed adjacent to the semiconductor body wherein the thin film produces a stress in the semiconductor body.

Description

NONPLANAR DEVICE WITH STRESS INCORPORATION LAYER AND METHOD OF FABRICATION
BACKGROUND OF THE INVENTION
1. FIELD OF THE INVENTION
[0001] The present invention relates to the field of semiconductor integrated circuit manufacturing and more specifically to a non-planar transistor having stress incorporation layer.
2. DISCUSSION OF RELATED ART
[0002] In order to increase device performance, silicon on insulator (SOI) transistors have been proposed for the fabrication of modern integrated circuits. Figure 1 illustrates a standard fully depleted silicon on insulator (SOI) transistor 100. SOI transistor 100 includes a single crystalline silicon substrate 102 having an insulating layer 104, such as a buried oxide formed thereon. A single crystalline silicon body 106 is formed on the insulating layer 104. A gate dielectric layer 108 is formed on the single crystalline silicon body 106 and a gate electrode 110 formed on the gate dielectric 108. Source 112 and drain 114 regions are formed in the silicon body 106 along laterally opposite sides of gate electrode 110. [0003] Fully depleted SOI have been proposed as a transistor structure to take advantage of ideal sub-threshold gradients for optimized on current off current ratios. In order to achieve ideal subthreshold gradients with transistor 100, the thickness of the silicon body 106 must be about 1/3 the size of the gate length
(Lg) of the transistor or Tsi = Lg/3. However, as gate lengths scale especially as they approach 30nm, the need for ever decreasing silicon film thickness (Tsi) makes this approach increasingly impractical. At 30 nanometer gate length, the thickness required of the silicon body is thought to need to be less than 10 nanometers, and around 6 nanometer for a 20 nanometer gate length. The fabrication of thin silicon films with thicknesses of less than 10 nanometers, is considered to be extremely difficult. On one hand, obtaining wafer uniformity on the order of one nanometer is a difficult challenge. On the other hand, to be able to contact these thin films to form raised source/drain regions to decrease junction resistance, becomes almost impossible since the thin silicon layer in the source/drain regions becomes consumed during the gate etch and various cleans following the gate etch and spacer etch leaving insufficient silicon 106 for silicon to grow on.
[0004] A double gate (DG) device, such as shown in Figures 2A and 2B, have been proposed to alleviate the silicon thickness issue. The double gate (DG) device 200 includes a silicon body 202 formed on an insulating substrate 204. A gate dielectric 206 is formed on two sides of the silicon body 202 and a gate electrode 208 is formed adjacent to the gate dielectric 206 formed on the two sides of the silicon body 202. A sufficiently thick insulating layer 209, such as silicon nitride, electrically isolates the gate electrode 208 from the top of silicon body 202. [0005] Double gate (DG) device 200 essentially has two gates, one on either side of the channel of the device. Because the double gate device 200 has a gate on each side of the channel, thickness (Tsi) of the silicon body can be double that of a single gate device and still obtain a fully depleted transistor operation. That is, with a double gate device 200 a fully depleted transistor can be formed where Tsi = (2xLg)/3. The most manufacturable form of the double gate (DG) device 200, however, requires that the body 202 patterning be done with photolithography that is 0.7 x smaller than that used to pattern the gate length (Lg) of the device. In order to obtain high density integrated circuits, it is generally desirable to have the most aggressive lithography occur with respect to the gate length (Lg) of the gate electrode 208. Although, double gate structures double the thickness of the silicon film (since there now is a gate on either side of the channel) these structures, however, are hideously difficult to fabricate. For example, silicon body 202 requires a silicon body etch which can produce a silicon body 202 with an aspect ratio (height to width) of about 5: 1.
BRIEF DESCRIPTION OF THE DRAWINGS
[0006] Figure 1 is an illustration of a cross-sectional view of a depleted substrate transitor.
[0007] Figures 2A and 2B illustrate a double gate depleted substrate transistor.
[0008] Figure 3A and 3B is an illustration of a tri-gate transistor having a stress incorporation film in accordance with the present invention.
[0009] Figure 4 is an illustration of a tri-gate transistor in accordance with an embodiment of the present invention.
[0010] Figures 5A-5E illustrate methods of fabricating a tri-gate transistor with a stress incorporation film in accordance with embodiments of the present invention.
[0011] Figure 6 is a plot which illustrates body heights and body widths which can be used to obtain partially depleted and fully depleted tri-gate transistors having gate lengths (Lg) of 30 nm and 20 nm. DETAILED DESCRIPTION OF THE PRESENT INVENTION
[0012] The present invention is a novel tri-gate or non-planar transistor structure with a stress incorporating layer and its method of fabrication. In the following description numerous specific details are set forth in order to provide a thorough understanding in the present invention. In other instances, well-known semiconductor process and manufacturing techniques have not been described in particular detail in order to not unnecessarily obscure the present invention. [0013] The present invention is novel non-planar or tri-gate transistor structure and its method of fabrication. The tri-gate transistor includes a stress film formed around the channel region of the device to provides a stress to the channel region to improve carrier mobility. Greater carrier mobility results in increased transistor drive current. In an embodiment of the present invention, the stress film is formed beneath the channel region so that it completely surrounds the channel. By completely surrounding the channel region with the stress film, the stress film provides stress to all sides of the channel, thereby providing stress over a large area and maximizing and improving device performance. The film stress properties, such a type of stress (i.e., compressive or tensile) and the amount of stress can be varied in order to optimize performance for different transistor types (e.g., PMOS and NMOS).
[0014] In an embodiment of the present invention, the tri-gate transistor is a semiconductor on insulator (SOI) transistor. The tri-gate transistor is ideal for use in fully depleted substrate transistor applications. The tri-gate transistor includes a thin semiconductor body formed on an substrate, the substrate can be an insulating substrate or a semiconductor substrate. A gate dielectric is formed on the top surface and the sidewalls of the semiconductor body. A gate electrode is formed on the gate dielectric on the top surface of the semiconductor body and is formed adjacent to the gate dielectric formed on the sidewalls of the semiconductor body. Source and drain regions are formed in the semiconductor body on opposite sides of the gate electrode. Because the gate electrode and the gate dielectric surround the semiconductor body on three sides, the transistor essentially has three separate channels and gates. The gate "width" of a transistor is equal to the sum of each of the three sides of the semiconductor body. Larger "width" transistors can be formed by connecting several tri-gate transistors together.
[0015] Because there are three separate channels formed in the semiconductor body, the semiconductor body can be fully depleted when the transistor is turned ON", thereby enabling the formation of a fully depleted transistor with gate lengths of less than 30 nanometers without requiring the use of ultra-thin semiconductor bodies or requiring photolithographic patterning of the semiconductor bodies to dimensions less than the gate length (Lg) of the device. That is, the structure of the tri-gate transistor of the present invention enables a fully depleted transistor to be fabricated where the thickness of the semiconductor body and width of the semiconductor body are equal to the gate length of the device. Because the novel tri-gate transistor of the present invention can be operated in a fully depleted manner, the device is characterized by ideal (i.e., very sharp) subthreshold slope and a reduced drain induced barrier lowering (DIBL) short channel effect of less than 100mV V and ideally about 60 mV/V which results in a lower leakage current when the device is turned "OFF" resulting in lower power consumption.
[0016] An example of a tri-gate transistor 300 with stress incorporation film in accordance with an embodiment of present invention as illustrated in Figure 3A and 3B. (Figure 3B is a cross-sectional view of Figure 3A taken through the gate electrode 324 of one of the semiconductor bodies 308.) Tri-gate transistor 300 is formed on an substrate 302. In an embodiment of the present invention, substrate 302 is an insulating substrate which includes a lower monocrystalline silicon substrate 304 upon which is formed in insulating layer 306, such as a silicon dioxide film. Tri-gate transistor 300, however, can be formed on any well- known insulating substrate such as substrates formed from silicon dioxide, nitrides, oxides, and shappires. In an embodiment of the present invention, the substrate 302 can be a semiconductor substrate, such as but not limited to monocrystalline silicon substrate and gallium arsenide substrate. [0017] Tri-gate transistor 300 includes a semiconductor body 308 and typically a plurality of semiconductor bodies 308 formed on insulator 306 of insulating substrate 302. Semiconductor body 308 can be formed of any well-known semiconductor material in which carrier mobility can be enhanced by applying a stress to the semiconductor, such as but not limited to silicon (Si) and silicon germanium (SixGey) where the Ge content is less than about 25%. Three-five (III- V) semiconductors, such as gallium arsenide (GaAs), InSb, GaP, and GaSb may also benefit from applying a stress to them. It is thought that direct band gap materials may not benefit from applying a stress thereto, while non-direct band gaps will benefit. Semiconductor body 308 is formed of a material which can be reversibly altered from an insulating state to a conductive state by applying external electrical controls. Semiconductor body 308 is ideally a single crystalline film when the best electrical performance of transistor 300, is desired. For example, semiconductor body 308 is a single crystalline film when transistor 300 is used in high performance applications, such as in a high density circuit, such as a microprocessor. Semiconductor body 308, however, can be a polycrystalline film when transistor 300 is used in applications requiring less stringent performance, such as in liquid crystal displays. Insulator 306 insulates semiconductor body 308 from monocrystalline silicon substrate 302. In an embodiment of the present invention, semiconductor body 308 is a single crystalline silicon film. Each semiconductor body or bodies 308 has a pair of laterally opposite sidewalls 310 and 312 separated by a distance which defines a semiconductor body width 314. Additionally, each semiconductor body 308 has a top surface 316 opposite a bottom surface 318 formed on substrate 302. The distance between the top surface 316 and the bottom surface 318 defines a body height 320. In an embodiment of the present invention the body height 320 is substantially equal to the body width 314. In an embodiment of the present invention, the body 308 has a width 314 and height 320 less than 30 nanometers and ideally less than 20 nanometers. In an embodiment of the present invention, the body height 320 is between ΛA the body width 314 to 2 times the body width 314. [0018] Tri-gate transistor 300 has a gate dielectric layer 322. Gate dielectric layer 322 is formed on and around three sides of each of the semiconductor bodies 308 as shown in Figure 3A and 3B. Gate dielectric layer 322 is formed on or adjacent to sidewall 312, on top surface 316 and on or adjacent to sidewall 310 of body 308 as shown in Figure 3. Gate dielectric layer 322 can be any well-known gate dielectric layer. In an embodiment of the present invention, the gate dielectric layer is a silicon dioxide (SiO2), silicon oxynitride (SiOxNy) or a silicon nitride (Si3N4) dielectric layer. In an embodiment of the present invention, the gate dielectric layer 322 is a silicon oxynitride film formed to a thickness of between 5- 2θA. In an embodiment of the present invention, gate dielectric layer 322 is a high K gate dielectric layer, such as a metal oxide dielectric, such as but not limited to tantalum pentaoxide (Ta205), titantium oxide (Ti02), hafnium oxide (HfO2), HfSiOχNy, zirconium oxide (ZrO2) and lanthanum oxide La02). Gate dielectric layer 322 can be other types of high K dielectrics, such as but not limited to PZT. [0019] Tri-gate device 300 has a gate electrode 324. Gate electrode 324 is formed on and around gate dielectric layer 322 as shown in Figure 3A and 3B. Gate electrode 324 is formed on or adjacent to gate dielectric 322 formed on sidewall 312 of semiconductor body 308, is formed on gate dielectric 322 formed on the top surface 316 of semiconductor body 308, and is formed adjacent to or on gate dielectric layer 322 formed on sidewall 310 of semiconductor body 308. Gate electrode 324 has a pair of laterally opposite sidewalls 326 and 328 separated by a distance which defines the gate length (Lg) 330 of transistor 300. In an embodiment of the present invention the laterally opposite sidewalls 326 and 328 of the gate electrode 324 run in a direction perpendicular to the laterally opposite sidewalls 310 and 312 of semiconductor body 308. [0020] Gate electrode 324 can be formed of any suitable gate electrode material. In an embodiment of the present invention to gate electrode 324 comprises of polycrystalline silicon doped to a concentration density between 1x1019 atoms/cm3 - 1x1021 atoms/cm3. In an embodiment of the present invention the gate electrode can be a metal gate electrode, such as but not limited to, tungsten, tantalum, titanium, and their nitrides or alloys of various metallic systems. In an embodiment of the present invention the gate electrode is formed from a material having a work function between 3.9-5.3 eV. It is to be appreciated, the gate electrode 324 need not necessarily be a single material and can be a composite stack of thin films, such as but not limited to a polycrystalline silicon/metal electrode or a metal/polycrystalline silicon electrode.
[0021] Tri-gate transistor 300 has a source region 330 and a drain region 332. Source region 330 and drain region 332 are formed in semiconductor body 308 on opposite sides of gate electrode 324 as shown in Figure 3A. The source region 330 and the drain region 332 are formed of the same conductivity type such as N- type or P-type conductivity. In an embodiment of the present invention source region 330 and drain region 332 have a doping concentration of between 1x1019 and 1x1021 atoms/cm3. Source region 330 and drain region 332 can be formed of uniform concentration or can include subregions of different concentrations or doping profiles such as tip regions (e.g., source/drain extensions). In an embodiment of the present invention when transistor 300 is a symmetrical transistor, source region 330 and drain region 332 will have the same doping concentration and profile. In an embodiment of the present invention when tri- gate transistor 300 is formed as an asymmetric transistor then the doping concentration and profile of the source region 330 and the drain region 332 may vary in order to obtain a particular electrical characteristic. [0022] The portion of semiconductor body 308 located between source region 330 and drain region 332, defines the channel region 350 of transistor 300. The channel region 350 can also be defined as the area of the semiconductor body 308 surrounded by the gate electrode 324. At times however, the source/drain region may extend slightly beneath the gate electrode through, for example, diffusion to define a channel region slightly smaller than the gate electrode length (Lg). In an embodiment of the present invention channel region 350 is intrinsic or undoped monocrystalline silicon. In an embodiment of the present invention, channel region 350 is doped monocrystalline silicon. When channel region 350 is doped it is typically doped to a conductivity level of between 1x1016 to 1x1019 atoms/cm3. In an embodiment of the present invention, when the channel region is doped it is typically doped to the opposite conductivity type of the source region 330 and the drain region 332. For example, when the source and drain regions are N-type conductivity the channel region would be doped to p type conductivity. Similarly, when the source and drain regions are P type conductivity the channel region would be N-type conductivity. In this manner a tri-gate transistor 300 can be formed into either a NMOS transistor or a PMOS transistor respectively. Channel region 350 can be uniformly doped or can be doped non-uniformly or with differing concentrations to provide particular electrical and performance characteristics. For example, channel regions 350 can include well-known "halo" regions, if desired.
[0023] By providing a gate dielectric and a gate electrode which surrounds the semiconductor body on three sides, the tri-gate transistor is characterized in having three channels and three gates, one (g1) which extends between the source and drain regions on side 312 of silicon body 308, a second (g2) which extends between the source and drain regions on the top surface 316 of silicon body 308, and the third (g3) which extends between the source and drain regions on the sidewall 310 of silicon body 308 as shown in Figure 3A and Figure 3B. The gate "width" (Gw) of transistor 300 is the sum of the widths of the three channel regions. That is, the gate width of transistor 300 is equal to the height 320 of silicon body 308 at sidewall 310, plus the width of silicon body of 308 at the top surface 316, plus the height 320 of silicon body 308 at sidewall 312. Larger "width" transistors can be obtained by using multiple devices coupled together (e.g., multiple silicon bodies 308 surrounded by a single gate electrode 324 as shown in Figure 3A).
[0024] As stated above the gate "width" of transistor 300 is equal to the sum of the three gate width created from semiconductor body 308 of transistor 300. In order to fabricate the transistors with larger gate widths, transistor 300 can include an additional or multiple semiconductor bodies or fingers 308 as shown in Figure 3A. Each semiconductor body 308 has a gate dielectric layer 322 formed on its top surface and sidewalls as shown in Figure 3A and Figure 3B. Gate electrode 324 is formed on and adjacent to each gate dielectric 322 on each of the semiconductor bodies 308. Each semiconductor body 308 also includes a source region 330 and a drain region 332 formed in the semiconductor body 308 on opposite sides of gate electrode 324 as shown in Figure 3A. In an embodiment of the present invention each semiconductor body 308 is formed with the same width and height (thickness) as the other semiconductor bodies 308. In an embodiment of the present invention each source regions 330 and drain regions 332 of the semiconductor bodies 308 are electrically coupled together by the semiconductor material used to form semiconductor body 308 to form a source landing pad 460 and a drain landing pad 480 as shown in Figure 3A. Alternatively, the source regions 330 and drain regions 332 can be coupled together by higher levels of metalization (e.g., metal 1, metal 2, metal 3...) used to electrically interconnect various transistors 300 together into functional circuits. The gate width of transistor 300 as shown in Figure 3A would be equal to the sum of the gate width created by each of the semiconductor bodies 308. In this way, the tri-gate transistor 300 can be formed with any gate width desired.
[0025] Additionally, as shown in Figure 3A and Figure 3B, the tri-gate device of the present invention includes a film 360 which imparts a stress to the channel region 350 of the device. By applying a proper stress to the channel region, the mobility of the carriers (i.e., electrons or holes) for the device can be increased and the device performance improved. In an embodiment of the present invention, stress incorporating film 360 is formed on and around exposed portions of semiconductor body 308 as shown in Figure 3A and Figure 3B. Additionally, in an embodiment of the present invention, the stress incorporating film 360 is formed over and around the gate electrode 324. In an embodiment of the present invention, the stress incorporating film 360 is formed directly on exposed top portion 322 of silicon body 308 as well as directly on or adjacent to sides 310 and 312 of semiconductor body 360. Additionally, in an embodiment of the present invention, the stress incorporating film 360 is also formed directly on and adjacent to gate electrode 324. [0026] Additionally, in an embodiment of the present invention, the stress incorporating film 360 is also formed directly beneath the bottom surface 318 of semiconductor body 308 including beneath the channel region 350 of the semiconductor body 308 as shown in Figure 3A and Figure 3B. The stress incorporating film can be formed beneath the semiconductor body or bodies by first removing a portion of the barried oxide or insulator 306 beneath the semiconductor body and then back filling the region with a stress incorporating film. By including a stress incorporating film directly beneath the bottom surface of the channel region 350 of semiconductor bodies 308, the stress material 360 completely surrounds the channel and provides stress from all side of the channel and not just the top.
[0027] In an embodiment of the present invention, the film 360 has a compressive stress so that the semiconductor body and especially the channel region of the semiconductor body is under a tensile stress. A channel region width a tensile stress improves the mobility of electrons and therefore is ideal for use in a NMOS device where the carriers are electrons. In an embodiment of the present invention, the stress incorporating film 360 is a film having suitable compressive stress to produce a tensile stress between 0.5-5.0 GPa and ideally about 1 GPa in the channel region of the semiconductor body. In an embodiment of the present invention, the stress incorporating film 360 has a thickness between 10-200 nanometers. In an embodiment of the present invention, the stress film 360 improves carrier mobility by 20-80 percent. [0028] In an embodiment of the present invention, the film 360 has a tensile stress so that the semiconductor body 308 and especially the channel region 350 of the semiconductor body is under a compressive stress. A channel region under a compressive stress improves the mobility of holes and therefore is ideal for use in a PMOS device where the carriers are holes. In an embodiment of the present invention, the film 360 is a film having a suitable tensile stress to produce a compressive stress between 0.5-5.0 GPa in the channel region of the silicon body 308. In an embodiment of the present invention, the thin film 360 is a silicon nitride film having a tensile stress.
[0029] In an embodiment of the present invention, a thin oxide or passivating film 319 is formed on the underside 318 of the semiconductor bodies in order to help reduce parasitic leakage effects. In an embodiment of the present invention, the passivating film 319 includes Si02 and can be formed to a thickness greater than about 1 nanometer. [0030] Because the channel region 350 is surrounded on three sides by gate electrode 324 and gate dielectric 322, transistor 300 can be operated in a fully depleted manner wherein when transistor 300 is turned "on" the channel region 350 fully depletes thereby providing the advantageous electrical characteristics and performance of a fully depleted transistor. That is, when transistor 300 is turned "ON" a depletion region is formed in channel region 350 along with an inversion layer at the surfaces of region 350 (i.e., an inversion layer is formed on the side surfaces and top surface of the semiconductor body). The inversion layer has the same conductivity type as the source and drain regions and forms a conductive channel between the source and drain regions to allow current to flow therebetween. The depletion region depletes free carriers from beneath the inversion layer. The depletion region extends to the bottom of channel region 350, thus the transistor can be said to be a "fully depleted" transistor. Fully depleted transistors have improved electrical performance characteristics over non-fully depleted or partially depleted transistors. For example, operating transistor 300 in a fully depleted manner, gives transistor 300 an ideal or very steep subthreshold slope. The tri-gate transistor can be fabricated with very steep sub-threshold slope of less than 80 mV/decade, and ideally about 60 mV/decade even when fabricated with semiconductor body thicknesses o less than 30 nm. Additionally, operating transistor 300 in the fully depleted manner, transistor 300 has an improved drain induced barrier (DIBL) low in effect which provides for better "OFF" state leakage which results in lower leakage and thereby lower power consumption. In an embodiment of the present invention the tri-gate transistor 300 has a DIBL effect of less than lOOmVΛ/ and ideally less than 40 mV/V.
[0031] Figure 6 is an illustration of two plots 602 and 604 which set forth the body height and body width which will produce either fully depleted (F.D) or partially depleted (P.D) tri-gate transistors having gate length (Lg) of 30 nm (602) and 20 nm (604) respectively. In an embodiment of the present invention, the body height, body width and gate length are chosen to have dimensions in which a fully depleted transistor will be formed. In other embodiments, the tri-gate transistor has a body height, body width and gate length such that a partially depleted transistor is formed.
[0032] The tri-gate transistor of the present invention can be said to be a non- planar transistor because the inversion layer of the channel region 350 is formed in both the horizontal and vertical directions in semiconductor body 308. The semiconductor device of the present invention can also be considered a non- planar device because the electric field from the gate electrode 324 is applied from both horizontal (g2) and vertical sides (g1 and g3). [0033] In an embodiment of the present invention tri-gate transistor 300 can include other films or features, such as a silicon or other semiconductor film 410, sidewall spacer 420 and suicide 430 formed prior to forming the stress incorporating film 360 as shown in Figure 4. For example, in an embodiment of the present invention a semiconductor film 410 is formed on the source region 330 and on the drain region 332 of semiconductor body 308 to form "raised" source and drain regions. Semiconductor film 410 can be a silicon film or a silicon alloy such as silicon germanium (SixGey). In an embodiment of the present invention the semiconductor film 410 is a single crystalline silicon film formed of the same conductivity type as the source region 330 and drain region 332. In an embodiment of the present invention the semiconductor film can be a silicon alloy such as silicon germanium wherein silicon comprises approximately 1 to 99 atomic percent of the alloy. The semiconductor film 410 need not necessarily be a single crystalline semiconductor film and in an embodiment can be a polycrystalline film. Semiconductor film 410 can be electrically isolated from a gate electrode 324 by a pair of dielectric sidewall spacers 420 such as silicon nitride or silicon oxide or composites thereof. Sidewall spacers 420 run along the laterally opposite sidewalls 326 and 328 of gate electrode 324 as shown in Figure 4 thereby isolating the semiconductor film 410 from gate electrode 324 as shown in Figure 4. An embodiment of the present invention sidewalls spacers 420 have a thickness of between 20-200A. By adding a silicon or semiconductor film to the source and drain regions 330 and 332 of the semiconductor body and forming "raised" source and drain regions, the thickness of the source and drain regions is increased thereby reducing the source/drain contact resistance to transistor 300 and improving its electrical characteristics and performance. [0034] In an embodiment of the present invention a suicide film 430, such as, but not limited to, titanium suicide, nickel suicide, and cobalt suicide is formed on the source region 330 and drain region 332. In an embodiment of the present invention suicide film 430 is formed on a silicon film 410 of silicon body 308 as shown in Figure 4. Suicide film 430 however can also be formed directly onto the top surface 316 of silicon body 308. For example, suicide film 430 can be formed on silicon body 308 by first forming a silicon film such as an undoped silicon film and a silicon body and then completely consuming the silicon film during the suicide process. Dielectric spacers 420 enables suicide film 430 to be formed on semiconductor body 308 or silicon film 410 in a self-aligned process (i.e., a salicide process). [0035] Additionally, in the embodiment of the present invention a semiconductor or silicon film 440 can also be formed on the top of gate electrode 324 as can a suicide film 450 on the top surface gate electrode 325 as can be a suicide film 450. Suicide film 450 and silicon film 440 are typically formed at the same time as suicide film 430 and silicon film 420 on silicon body 308. The formation of a silicon film 440 on suicide film 450 on the gate electrode reduces the contact resistance to the gate thereby improving the electrical performance of transistor 300. [0036] A method of fabricating a tri-gate transistor in accordance with embodiments of the present invention is illustrated in Figures 5A-5E. The fabrication of a tri-gate transistor begins with substrate 502. A silicon or semiconductor film 508 is formed on substrate 502 as shown in Figure 5A. In an embodiment of the present invention, the substrate 502 is an insulating substrate, such as shown in Figure 5A. In an embodiment of the present invention, insulating substrate 502 includes a lower monocrystalline silicon substrate 504 and a top insulating layer 506, such as a silicon dioxide film or silicon nitride film. Insulating layer 506 isolates semiconductor film 508 from substrate 504, and in embodiment is formed to a thickness between 200-2000A. Insulating layer 506 is sometimes referred to as a "buried oxide" layer. When a silicon or semiconductor film 508 is formed on an insulating substrate 502, a silicon or semiconductor on insulating (SOI) substrate 500 is created. In other embodiments of the present invention, the substrate 502 can be a semiconductor substrate, such as but not limited to a silicon monocrystalline substrate and a gallium arsenide substrate. [0037] Although semiconductor film 508 is ideally a silicon film, in other embodiments it can be other types of semiconductor films in which carrier mobility can be enhanced when under stress, such as but not limited to a silicon germanium alloy (SixGey) with less than 25% Ge, and lll-V materials such as, gallium arsenide (GaAs), InSb, GaP and GaSb. In an embodiment of the present invention, semiconductor film 508 is an intrinsic (i.e., undoped) silicon film. In other embodiments, semiconductor film 508 is doped to a p type or n type conductivity with a concentration level between 1x1016-1x1019 atoms/cm3. Semiconductor film 508 can be insitu doped (i.e., doped while it is deposited) or doped after it is formed on substrate 502 by for example ion-implantation. Doping after formation enables both PMOS and NMOS tri-gate devices to be fabricated easily on the same insulating substrate. The doping level of the semiconductor body at this point determines the doping level of the channel region of the device. [0038] Semiconductor film 508 is formed to a thickness which is approximately equal to the height desired for the subsequently formed semiconductor body or bodies of the fabricated tri-gate transistor. In an embodiment of the present invention, semiconductor film 508 has a thickness or height 509 of less than 30 nanometers and ideally less than 20 nanometers. In an embodiment of the present invention, semiconductor film 508 is formed to the thickness approximately equal to the gate "length" desired of the fabricated tri-gate transistor. In an embodiment of the present invention, semiconductor film 508 is formed thicker than desired gate length of the device. In an embodiment of the present invention, semiconductor film 508 is formed to a thickness which will enable the fabricated tri-gate transistor to be operated in a fully depleted manner for its designed gate length (Lg).
Semiconductor film 508 can be formed on insulating substrate 502 in any well- known method. In one method of forming a silicon on insulator substrate, known as the SIMOX technique, oxygen atoms are implanted at a high dose into a single crystalline silicon substrate and then anneal to form the buried oxide 506 within the substrate. The portion of the single crystalline silicon substrate above the buried oxide becomes the silicon film 508. Another technique currently used to form SOI substrates is an epitaxial silicon film transfer technique which is generally referred to as bonded SOI. In this technique a first silicon wafer has a thin oxide grown on its surface that will later serve as the buried oxide 506 in the SOI structure. Next, a high dose hydrogen implant is made into the first silicon wafer to form a high stress region below the silicon surface of the first wafer. This first wafer is then flipped over and bonded to the surface of a second silicon wafer. The first wafer is then cleaved along the high stress plain created by the hydrogen implant. This results in a SOI structure with a thin silicon layer on top, the buried oxide underneath all on top of the single crystalline silicon substrate. Well-known smoothing techniques, such as HC smoothing or chemical mechanical polishing (CMP) can be used to smooth the top surface of semiconductor film 508 to its desired thickness. [0039] At this time, if desired, isolation regions (not shown) can be formed into SOI substrate 502 in order to isolate the various transistors to be formed therein from one another. Isolation regions can be formed by etching away portions of the substrate film 508 surrounding a tri-gate transistor, by for example well-known photolithographic and etching techniques, and then back filling the etched regions with an insulating film, such as Si02.
[0040] Next, standard photolithography and etching techniques are used to define semiconductor bodies or fins 520 in the semiconductor film 508 for the tri-gate transister as shown in Figure 5B. In an embodiment of the present invention, the fins or bodies 520 are patterned to have a width 518 which is equal to or greater than the width desired of the gate length (Lg) of the fabricated transistor. In this way, the most stringent photolithography constraints used to fabricate the transistor are associated with the gate electrode patterning and not the semiconductor body or fin definition. In an embodiment of the present invention, the semiconductor bodies or fins will have a width 518 less than or equal to 30 nanometers and ideally less than or equal to 20 nanometers. In an embodiment of the present invention, the semiconductor bodies or fins have a width 518 approximately equal to the silicon body height 509. In an embodiment of the present invention, the fins or bodies 520 have a width 518 which is between Vz the semiconductor body height 509 and two times the semiconductor body height 509.
[0041] Additionally, as shown in Figure 5B, the photolithography and etching step can also be used to form source landing pads 522 and drain landing pads 524 from the semiconductor film. The landing pads can be used to connect together the various source regions and to connect together the various drain regions of the fabricated transistor.
[0042] The semicoductor film 508 can be patterned into fins and landing pads utilizing well known photolithography and etching techniques which generally include the formation of a photoresist mask by masking, exposing, and developing a blanket deposited photoresist film as is well known in the art, and then etching semiconductor film in alignment with the photoresist mask to form one or more silicon bodies or fins 520 and source and drain landing pads 522 and 524 respectively. Semiconductor film 508 is etched until the underlying buried oxide layer 506 is exposed. Well-known semiconductor etching techniques, such as anisotropic plasma etching or reactive ion etching can be used to etch semiconductor film 508 in alignment with the photoresist mask. After semiconductor film 508 is etched to form semiconductor bodies or fins 520 (and source/drain landing pads 522 and 524, if desired) the photoresist mask is removed by well-known techniques, such as by chemical stripping and 02 ashing, to produce the substrate shown in Figure 5B. [0043] Next, a gate dielectric layer 526 is formed on and around each semiconductor body 520. That is, a gate dielectric layer 526 is formed on the top surface 527 of each of the semiconductor bodies 520 as well as on the laterally opposite sidewalls 528 and 529 of each of the semiconductor bodies 520. The gate dielectric can be a deposited dielectric or a grown dielectric. In an embodiment of the present invention, the gate dielectric layer 526 is a silicon dioxide dielectric film grown with a dry/wet oxidation process. In an embodiment of the present invention, the silicon oxide film is grown to a thickness of between 5-15A. In an embodiment of the present invention, the gate dielectric film 526 is a deposited dielectric, such as but not limited to a high dielectric constant film, such as metal oxide dielectric, such as tantalum pentaoxide (Ta2θ5), titanium oxide (Ti02), hafnium oxide (Hf02), HfSiOxNy, zirconium oxide (Zr02) and lanthanum oxide La02) or other high-K dielectrics, such as PZT and BST. A high dielectric constant film can be formed by any well-known technique, such as by chemical vapor deposition (CVD). [0044] Next, as also shown in Figure 5C, a gate electrode 530 is formed. The gate electrode 530 is formed on the gate dielectric layer 526 formed on the top surface 527 of each of the semiconductor bodies 520 and is formed on or adjacent to the gate dielectric 526 formed on or adjacent to the sidewalls 528 and 529 of each of the semiconductor bodies as shown in Figure 5E. The gate electrode 530 has a top surface 532 opposite of bottom surface formed on the insulating substrate 502 and has a pair of laterally opposite sidewalls 534 and 536. The distance between the laterally opposite sidewalls 534 and 536 define the gate length (Lg) 538 of the tri-gate transistor. Gate electrode 530 can be formed by blanket depositing a suitable gate electrode material over the substrate and then patterning the material into an electrode 530. The gate electrode can be formed to a thickness 533 between 200-3000A. In an embodiment the gate electrode has a thickness or height 533 of at least three times the height 509 of semiconductor bodies 520. The gate electrode material is then patterned with well-known photolithography and etching techniques to form gate electrode 530 from the gate electrode material. In embodiment of the present invention, the gate electrode material comprises polycrystalline silicon. In another embodiment of the present invention, the gate electrode material comprises a polycrystalline silicon germanium alloy. In yet other embodiment of the present invention, the gate electrode material can comprise a metal film, such as tungsten, tantalum, and their nitrides. Gate electrode 530 can be formed by well-known techniques, such as by blanket depositing a gate electrode material over the substrate of Figure 5B and then patterning the gate electrode material with well-known photolithography and etching techniques. In an embodiment of the present invention, the photolithography process used to define gate electrode 530 utilizes the minimum or smallest dimension lithography process used to fabricate the tri- gate transistor. (That is, in an embodiment of the present invention, the gate length (Lg) 538 of gate electrode 530 has a minimum feature dimension of the transistor defined by photolithography.) In an embodiment of the present invention, the gate length 538 is less than or equal to 30 nanometers and ideally less than or equal to 20 nanometers.
[0045] Next, source and drain regions for the transistor are formed in semiconductor body 520 on opposite sides of gate electrode 530. In an embodiment of the present invention, the source and drain regions include tip or source/drain extension regions. Source and drain extension regions 540 and 542, respectively, can be formed by placing dopants 544 into semiconductor bodies 520 on both sides 532, 534 of gate electrode 530 in order to form tip regions 540 and 542 as shown in Figure 5D. For a PMOS tri-gate transistor, the semiconductor fins or bodies 520 are doped to a p type conductivity and to a concentration between 1x1020-1x1021 atoms/cm3. For a NMOS tri-gate transistor, the semiconductor fins or bodies 520 is doped with n type conductivity ions to a concentration between 1x1020-1x1021 atoms/cm3. In an embodiment of the present invention, the silicon films are doped by ion-implantation. In an embodiment of the present invention, the ion-implantation occurs in a vertical direction (i.e., a direction perpendicular to substrate 502). When gate electrode 530 is a polysilicon gate electrode, it can be doped during the ion-implantation process. Gate electrode 530 acts as a mask to prevent the ion-implantation step from doping the channel region(s) 548 of the tri-gate transistor. The channel region 548 is the portion of the silicon body 520 located beneath or surrounded by the gate electrode 530. If gate electrode 530 is a metal electrode, a dielectric hard mask maybe used to block the doping during the ion-implantation process. In other embodiments, other methods, such as solid source diffusion, may be used to dope the semiconductor body to form source and drain extensions.
[0046] In embodiments of the present invention, "halo" regions can be formed in silicon body prior to the formation of a source/drain regions or source/drain extension regions. Halo regions are doped regions formed in the channel region 548 of the device and are of the same conductivity but of a slightly higher concentration than the doping of the channel region of the device. Halo regions can be formed by ion-implantating dopants beneath the gate electrode by utilizing large angled ion-implantation techniques. [0047] Next, if desired, the substrate shown in Figure 5C can be further processed to form additional features, such as heavily doped source/drain contact regions, deposited silicon on the source and drain regions to form raised source and drain regions, as well as the gate electrode, and the formation of suicide on the source/drain contact regions as well as on the gate electrode. [0048] Next, as shown in Figure 5D, the buried oxide layer 506 located underneath and adjacent to the semiconductor fins or bodies is removed to form an air gap 560 in the buried oxide layer. The buried oxide layer can be removed by using a selective etch which preferencely etches the buried insulating film without substantially etching away the semiconductor body. When the semiconductor bodies are silicon and the buried insulating layer 506 is a silicon oxide, the buried silicon oxide layer can be selectively etched away with a buffered HF etchant. It is to be appreciated that any suitable wet or dry etch technique which can selectively etch away the buried insulating layer without etching away the semiconductor body may be utilized to form air gaps 560. An etchant with a selectivity of greater than 10 is desirable.
[0049] The buried insulator etch can be performed on the wafer with or without a patterning layer depending upon the layout of the device. Typically a patterned photoresist mask will be utilized which exposes the transistors which are to receive the subsequent stress film and covers those transistors which are not to include a stress film.
[0050] In an embodiment of the present invention, after the removal of the buried insulating film from beneath the semiconductor fins or bodies, a short oxidation or passivation step can be performed to passivate the bottom of the exposed fins in order to reduce parasitic leakeage effects. Any suitable oxidation or passivation process can be used to form the passivating dielectric. In an embodiment of the present invention, the bottom of the fins are passivated by Si02 to form a passivating oxide film having a thickness greater than about 1 nanometer. [0051] After the underlying insulating film 506 is removed and passivation or oxidation complete, a filling step of the stress incorporation film 560 can begin. The stress incorporation film 560 must be an insulating film in order to prevent shorts from the source to the drain. The purpose of the stress incorporation film is to provide stress in the channel region of the device. The type of stress in the stress incorporation film depends upon the type of device being fabricated. For a NMOS device where the carriers are electrons, the channel regions need to be under tensile stress to increase mobility of electrons. In order to place the channel region under tensile stress, the stress incorporation film 560 needs to be a compressive film. Additionally, the process used to form the stress incorporation film 560 should be a conformal process, such as a vapor phase deposition, which can blanket deposit the film equally on vertical and horizontal surfaces and be able to fill underneath the semiconductor bodies. It is desirable that the stress incorporation film be able to completely fill the regions underneath the fins or bodies of the device. This can be facilitated by making narrower fins or making the underlying insulating film layer 506 thicker in order to improve the aspect ratio of the fill under the channel regions. In an embodiment of the present invention, the stress incorporation film is a compressive silicon nitride film. In an embodiment of the present invention, an NMOS device is fabricated with a compressive silicon nitride film formed by chemical vapor deposition (CVD) utilizing a reactant gas mixture comprising dichloro-silane (DCS) and ammonia (NH3). BTBAS can also be used in place of DCS. Such a process will blanket deposit a silicon nitride film over the substrate with equal deposition on horizontal and vertical surfaces and enable the filling of the air gap beneath the silicon bodies.
[0052] For a PMOS device where the carriers are holes, the channel regions needs to be in compression to increase the mobility of the holes. In order to place the channel in compression, the stress incorporation film needs to be a tensile film. In an embodiment of the present invention, the stress incorporation film is a tensile silicon nitride film.
[0053] In an embodiment of the present invention, the stress incorporation film is formed to a thickness sufficient to completely fill the air gap regions beneath the semiconductor bodies and channel region and completely surround the semiconductor body and gate electrode as shown in Figure 5E. The stress incorporation film completely surrounds the device and provides stress over a large area resulting in the desired stress in the channel to improve mobility. Additionally, in embodiments of the present invention, the film stress properties are modulated during deposition in order to optimize the film for device performance.
[0054] After deposition is completed, a masking and etch step can be used to remove the stress incorporation film from area where it is not desired, and processing continued in a normal manner to form "back end" features, such as metal interconnects and interiayer dielectrics to electrically couple the individual transistors together into a functional circuit.
[0055] Thus, a novel non-planar device with a stress incorporation film and its method of fabrication have been described.

Claims

IN THE CLAIMSWe claim:
1. A semiconductor device comprising: a semiconductor body having a top surface and laterally opposite sidewalls formed on a substrate; a gate dielectric formed on said top surface of said semiconductor body and on said laterally opposite sidewalls of said semiconductor body; a gate electrode formed on said gate dielectric on said top surface of said semiconductor body and adjacent to said gate dielectric on said laterally opposite sidewalls of said semiconductor body; and a film formed adjacent to said semiconductor body wherein said film produces a stress in said semiconductor body.
2. The semiconductor device of claim 1 wherein said film produces a compressive stress in said semiconductor body.
3. The semiconductor device of claim 1 wherein said film produces a tinsel stress in said semiconductor body.
4. The semiconductor device of claim 1 wherein said film has tinsel stress.
5. The semiconductor device of claim 1 wherein said film has a compressive stress.
6. The semiconductor device of claim 5 wherein said compressive film comprises silicon nitride.
7. The semiconductor device of claim 1 wherein said semiconductor body is a single crystalline silicon film.
8. The semiconductor device of claim 1 wherein said semiconductor is selected from the group consisting of silicon, germanium, silicon germanium, gallium arsenide, InSb, GaP, GaSb and carbon nanotubes.
9. A tri-gate transistor comprising: a single crystalline silicon body formed on insulating substrate, said silicon body having a top surface opposite a bottom surface and a first and second laterally opposite sidewalls; a gate dielectric formed on said top surface of said semiconductor body and on said first and second laterally opposite sidewalls of said semiconductor body; a gate electrode formed on said gate dielectric and on said top surface of said silicon body and adjacent to said gate dielectric on said first and second laterally opposite sidewalls of said silicon body; a pair of source/drain regions formed in said silicon body on opposite sides of said gate electrode; and a stress induced film formed around said silicon body and said gate electrode, said film providing stress in the channel region of said device.
10. The method of claim 9 wherein said thin film has a compressive stress and places a tinsel stress in said channel region.
11. The semiconductor device of claim 10 wherein said thin film comprises a silicon nitride film.
12. The semiconductor device of claim 9 wherein said thin film has tinsel stress and incorporates a compressive stress into said channel region of said semiconductor body.
13. The tri-gate transistor of claim 10 wherein said semiconductor body channel rreeggiioonn iiss ddooppeedd ttoo aa p type conductivity with a concentration level between 1x1016 - 1x1019 atoms/cm3
14. The tri-gate device of claim 12 wherein said channel region of said semiconductor body is doped to a n type conductivity with a concentration level between 1x1016 - 1x1019 atoms/cm3.
15. The tri-gate transistor of claim 9 wherein said thin film completely surrounds said semiconductor body and said gate electrode.
16. The method of claim 9 wherein a thin grown oxide layer is formed between the bottom of said semiconductor body and said thin film.
17. A method of forming a semiconductor device comprising: forming a semiconductor body having a top surface and laterally opposite sidewalls on a insulating substrate; forming a gate dielectric on said top surface of said semiconductor body and on said laterally opposite sidewalls of said semiconductor body; forming a gate electrode on said gate dielectric and adjacent to said gate dielectric on said laterally opposite sidewalls of said semiconductor body; and forming a thin film adjacent to said semiconductor body wherein said thin film produces a stress in said semiconductor body.
18. The method of claim 17 wherein said thin film produces a compressive stress in said semiconductor body.
19. The method of claim 17 wherein said thin film produces a tinsel stress in said semiconductor body.
20. The method of claim 17 wherein said thin film has a tinsel stress.
21. The method of claim 17 wherein said thin film has a compressive stress.
22. The method of claim 17 wherein said semiconductor body comprises a single crystalline silicon film.
23. The method of claim 17 wherein said semiconductor body is selected from the group consisting of silicon, germanium, silicon germanium, gallium arsenide,
InSb, GaP, GaSb, and carbon nanotubes.
24. A method of forming nonplanar transistor comprising: patterning a monocrystalline silicon film formed on an insulating substrate into a silicon body having a top surface opposite a bottom surface formed on said insulating film, and a first and second laterally opposite sidewalls; forming a gate dielectric layer on said top surface of said silicon body and on said sidewalls of said silicon body; depositing a gate material over said silicon body and over said insulating substrate; patterning said gate material to form a gate electrode on said gate dielectric layer on said top surface of said silicon body in adjacent to said gate dielectric on said sidewalls of said silicon body, said gate electrode having laterally opposite sidewalls which run perpendicular to said laterally opposite sidewalls of said silicon body; forming a pair of source/drains regions in said silicon body on opposite sides of said laterally opposite sidewalls of said gate electrode, wherein the region between said source/drain regions in said silicon body forms a channel region; removing a portion of said insulating substrate from underneath a portion of channel region of said silicon body and beneath a portion of said source and drain regions of said silicon body; and forming a film having a stress therein beneath said exposed portion of said silicon body beneath said gate electrode and beneath said exposed portion of said source and drain regions beneath said gate electrode.
25. The method of claim 24 wherein said thin film has compressive stress which produces a tinsel stress in said channel region.
26. The method of claim 24 wherein said thin film has a tinsel stress which produces a compressive stress in said channel region of said semiconductor body.
27. The method of claim 24 further comprising forming said thin film adjacent to said laterally opposite sidewalls of said silicon body and said laterally opposite sidewalls of said gate electrode.
28. The method of claim 24 wherein said formation of said thin film is continued until said silicon body and said gate electrode are completely surrounded by said thin film.
29. The method of claim 24 further comprising oxidizing said bottom portion of said semiconductor body after exposing said semiconductor body and prior to forming said thin film.
PCT/US2003/039727 2003-06-27 2003-12-12 Nonplanar device with stress incorporation layer and method of fabrication WO2005010997A2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
AU2003297043A AU2003297043A1 (en) 2003-06-27 2003-12-12 Nonplanar device with stress incorporation layer and method of fabrication
EP03817697A EP1639652B1 (en) 2003-06-27 2003-12-12 Nonplanar device with stress incorporation layer and method of fabrication

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/607,632 2003-06-27
US10/607,632 US6909151B2 (en) 2003-06-27 2003-06-27 Nonplanar device with stress incorporation layer and method of fabrication

Publications (2)

Publication Number Publication Date
WO2005010997A2 true WO2005010997A2 (en) 2005-02-03
WO2005010997A3 WO2005010997A3 (en) 2005-03-24

Family

ID=33540322

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2003/039727 WO2005010997A2 (en) 2003-06-27 2003-12-12 Nonplanar device with stress incorporation layer and method of fabrication

Country Status (7)

Country Link
US (5) US6909151B2 (en)
EP (2) EP1639652B1 (en)
KR (1) KR100817949B1 (en)
CN (1) CN1577889B (en)
AU (1) AU2003297043A1 (en)
TW (1) TWI298519B (en)
WO (1) WO2005010997A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109273530A (en) * 2017-07-17 2019-01-25 台湾积体电路制造股份有限公司 Semiconductor component structure and its manufacturing method

Families Citing this family (194)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040228168A1 (en) * 2003-05-13 2004-11-18 Richard Ferrant Semiconductor memory device and method of operating same
US7085153B2 (en) * 2003-05-13 2006-08-01 Innovative Silicon S.A. Semiconductor memory cell, array, architecture and device, and method of operating same
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US6960517B2 (en) * 2003-06-30 2005-11-01 Intel Corporation N-gate transistor
US6943405B2 (en) * 2003-07-01 2005-09-13 International Business Machines Corporation Integrated circuit having pairs of parallel complementary FinFETs
EP1519421A1 (en) * 2003-09-25 2005-03-30 Interuniversitair Microelektronica Centrum Vzw Multiple gate semiconductor device and method for forming same
US7335934B2 (en) * 2003-07-22 2008-02-26 Innovative Silicon S.A. Integrated circuit device, and method of fabricating same
US7355253B2 (en) * 2003-08-22 2008-04-08 International Business Machines Corporation Strained-channel Fin field effect transistor (FET) with a uniform channel thickness and separate gates
US7184298B2 (en) * 2003-09-24 2007-02-27 Innovative Silicon S.A. Low power programming technique for a floating body memory transistor, memory cell, and memory array
US6855588B1 (en) * 2003-10-07 2005-02-15 United Microelectronics Corp. Method of fabricating a double gate MOSFET device
WO2005038931A1 (en) * 2003-10-20 2005-04-28 Nec Corporation Semiconductor device and method for manufacturing same
KR100515061B1 (en) * 2003-10-31 2005-09-14 삼성전자주식회사 Semiconductor devices having a fin field effect transistor and methods for forming the same
KR100585111B1 (en) * 2003-11-24 2006-06-01 삼성전자주식회사 Non-planar transistor having germanium channel region and method for forming the same
KR100605497B1 (en) * 2003-11-27 2006-07-28 삼성전자주식회사 Methods of forming SOI substrates, methods of fabricating semiconductor devices using the same, and semiconductor devices fabricated using the same
WO2005064682A1 (en) 2003-12-08 2005-07-14 International Business Machines Corporation Semiconductor memory device with increased node capacitance
US7105390B2 (en) 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US7268058B2 (en) * 2004-01-16 2007-09-11 Intel Corporation Tri-gate transistors and methods to fabricate same
KR100574971B1 (en) * 2004-02-17 2006-05-02 삼성전자주식회사 Semiconductor device having multi-gate structure and method of manufacturing the same
US7737051B2 (en) * 2004-03-10 2010-06-15 Tokyo Electron Limited Silicon germanium surface layer for high-k dielectric integration
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US7253650B2 (en) * 2004-05-25 2007-08-07 International Business Machines Corporation Increase productivity at wafer test using probe retest data analysis
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US8669145B2 (en) * 2004-06-30 2014-03-11 International Business Machines Corporation Method and structure for strained FinFET devices
JP2006019578A (en) * 2004-07-02 2006-01-19 Toshiba Corp Semiconductor apparatus and its manufacturing method
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US7268399B2 (en) * 2004-08-31 2007-09-11 Texas Instruments Incorporated Enhanced PMOS via transverse stress
US7332439B2 (en) 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US7402872B2 (en) * 2004-11-18 2008-07-22 Intel Corporation Method for forming an integrated circuit
US7301803B2 (en) * 2004-12-22 2007-11-27 Innovative Silicon S.A. Bipolar reading technique for a memory cell having an electrically floating body transistor
US7224033B2 (en) * 2005-02-15 2007-05-29 International Business Machines Corporation Structure and method for manufacturing strained FINFET
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US20060202266A1 (en) 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
US7135365B2 (en) * 2005-03-30 2006-11-14 United Microelectronics Corp. Method of manufacturing MOS transistors
US20060226453A1 (en) * 2005-04-12 2006-10-12 Wang Everett X Methods of forming stress enhanced PMOS structures
TWI259534B (en) * 2005-05-20 2006-08-01 Ind Tech Res Inst Method for fabricating semiconductor device
US8129290B2 (en) 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US7732342B2 (en) * 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
US7566655B2 (en) * 2005-05-26 2009-07-28 Applied Materials, Inc. Integration process for fabricating stressed transistor structure
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
KR100618900B1 (en) * 2005-06-13 2006-09-01 삼성전자주식회사 Mos field effect transistor having a plurality of channels and method of fabricating the same
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7649230B2 (en) * 2005-06-17 2010-01-19 The Regents Of The University Of California Complementary field-effect transistors having enhanced performance with a single capping layer
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7279375B2 (en) * 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7190050B2 (en) * 2005-07-01 2007-03-13 Synopsys, Inc. Integrated circuit on corrugated substrate
US20070023839A1 (en) * 2005-07-27 2007-02-01 International Business Machines Corporation Finfet gate formed of carbon nanotubes
US20070023833A1 (en) * 2005-07-28 2007-02-01 Serguei Okhonin Method for reading a memory cell having an electrically floating body transistor, and memory cell and array implementing same
US7402875B2 (en) 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
US7606066B2 (en) * 2005-09-07 2009-10-20 Innovative Silicon Isi Sa Memory cell and memory cell array having an electrically floating body transistor, and methods of operating same
US7323374B2 (en) * 2005-09-19 2008-01-29 International Business Machines Corporation Dense chevron finFET and method of manufacturing same
US7479421B2 (en) 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US20070090416A1 (en) 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US7485503B2 (en) 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
US7683430B2 (en) * 2005-12-19 2010-03-23 Innovative Silicon Isi Sa Electrically floating body memory cell and array, and method of operating or controlling same
KR100668350B1 (en) * 2005-12-20 2007-01-12 삼성전자주식회사 Nand type multi-bit non-volatile memory device and method of fabricating the same
US7656049B2 (en) 2005-12-22 2010-02-02 Micron Technology, Inc. CMOS device with asymmetric gate strain
US7525160B2 (en) * 2005-12-27 2009-04-28 Intel Corporation Multigate device with recessed strain regions
US7301210B2 (en) * 2006-01-12 2007-11-27 International Business Machines Corporation Method and structure to process thick and thin fins and variable fin to fin spacing
US7542345B2 (en) * 2006-02-16 2009-06-02 Innovative Silicon Isi Sa Multi-bit memory cell having electrically floating body transistor, and method of programming and reading same
US7439105B2 (en) 2006-03-02 2008-10-21 Freescale Semiconductor, Inc. Metal gate with zirconium
US8936702B2 (en) * 2006-03-07 2015-01-20 Micron Technology, Inc. System and method for sputtering a tensile silicon nitride film
EP1835530A3 (en) * 2006-03-17 2009-01-28 Samsung Electronics Co., Ltd. Non-volatile memory device and method of manufacturing the same
US7449373B2 (en) 2006-03-31 2008-11-11 Intel Corporation Method of ion implanting for tri-gate devices
US7492632B2 (en) * 2006-04-07 2009-02-17 Innovative Silicon Isi Sa Memory array having a programmable word length, and method of operating same
JP2007299991A (en) * 2006-05-01 2007-11-15 Toshiba Corp Semiconductor device and its manufacturing method
WO2007128738A1 (en) 2006-05-02 2007-11-15 Innovative Silicon Sa Semiconductor memory cell and array using punch-through to program and read same
US7615427B2 (en) * 2006-06-05 2009-11-10 Chartered Semiconductor Manufacturing, Ltd. Spacer-less low-k dielectric processes
US7825400B2 (en) 2006-06-09 2010-11-02 Intel Corporation Strain-inducing semiconductor regions
US7629603B2 (en) * 2006-06-09 2009-12-08 Intel Corporation Strain-inducing semiconductor regions
US7521775B2 (en) * 2006-06-13 2009-04-21 Intel Corporation Protection of three dimensional transistor structures during gate stack etch
US8069377B2 (en) 2006-06-26 2011-11-29 Micron Technology, Inc. Integrated circuit having memory array including ECC and column redundancy and method of operating the same
US7542340B2 (en) 2006-07-11 2009-06-02 Innovative Silicon Isi Sa Integrated circuit including memory array having a segmented bit line architecture and method of controlling and/or operating same
US8143646B2 (en) 2006-08-02 2012-03-27 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
US7456471B2 (en) 2006-09-15 2008-11-25 International Business Machines Corporation Field effect transistor with raised source/drain fin straps
KR100801063B1 (en) * 2006-10-02 2008-02-04 삼성전자주식회사 Gate all around type semiconductor device and method of manufacturing the same
US7560344B2 (en) * 2006-11-15 2009-07-14 Samsung Electronics Co., Ltd. Semiconductor device having a pair of fins and method of manufacturing the same
JP4267659B2 (en) * 2006-12-05 2009-05-27 東京エレクトロン株式会社 Manufacturing method of fin-type field effect transistor
US20080173942A1 (en) * 2007-01-22 2008-07-24 International Business Machines Corporation STRUCTURE AND METHOD OF MANUFACTURING A STRAINED FinFET WITH STRESSED SILICIDE
WO2008090475A2 (en) 2007-01-26 2008-07-31 Innovative Silicon S.A. Floating-body dram transistor comprising source/drain regions separated from the gated body region
US20080185722A1 (en) * 2007-02-05 2008-08-07 Chung-Shi Liu Formation process of interconnect structures with air-gaps and sidewall spacers
US7666723B2 (en) * 2007-02-22 2010-02-23 International Business Machines Corporation Methods of forming wiring to transistor and related transistor
KR100864631B1 (en) * 2007-02-23 2008-10-22 주식회사 하이닉스반도체 Transistor of semiconductor device and method for fabricating the same
US7821061B2 (en) 2007-03-29 2010-10-26 Intel Corporation Silicon germanium and germanium multigate and nanowire structures for logic and multilevel memory applications
US8518774B2 (en) 2007-03-29 2013-08-27 Micron Technology, Inc. Manufacturing process for zero-capacitor random access memory circuits
US7649228B2 (en) * 2007-05-14 2010-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. Forming floating body RAM using bulk silicon substrate
US8064274B2 (en) 2007-05-30 2011-11-22 Micron Technology, Inc. Integrated circuit having voltage generation circuitry for memory cell array, and method of operating and/or controlling same
US8085594B2 (en) 2007-06-01 2011-12-27 Micron Technology, Inc. Reading technique for memory cell with electrically floating body transistor
US7851865B2 (en) * 2007-10-17 2010-12-14 International Business Machines Corporation Fin-type field effect transistor structure with merged source/drain silicide and method of forming the structure
US7692254B2 (en) * 2007-07-16 2010-04-06 International Business Machines Corporation Fin-type field effect transistor structure with merged source/drain silicide and method of forming the structure
JP4455632B2 (en) * 2007-09-10 2010-04-21 株式会社東芝 Semiconductor device
US7679139B2 (en) * 2007-09-11 2010-03-16 Honeywell International Inc. Non-planar silicon-on-insulator device that includes an “area-efficient” body tie
US20090072312A1 (en) * 2007-09-14 2009-03-19 Leland Chang Metal High-K (MHK) Dual Gate Stress Engineering Using Hybrid Orientation (HOT) CMOS
WO2009039169A1 (en) 2007-09-17 2009-03-26 Innovative Silicon S.A. Refreshing data of memory cells with electrically floating body transistors
US8536628B2 (en) 2007-11-29 2013-09-17 Micron Technology, Inc. Integrated circuit having memory cell array including barriers, and method of manufacturing same
US8349662B2 (en) 2007-12-11 2013-01-08 Micron Technology, Inc. Integrated circuit having memory cell array, and method of manufacturing same
US8773933B2 (en) 2012-03-16 2014-07-08 Micron Technology, Inc. Techniques for accessing memory cells
US8014195B2 (en) * 2008-02-06 2011-09-06 Micron Technology, Inc. Single transistor memory cell
US8189376B2 (en) 2008-02-08 2012-05-29 Micron Technology, Inc. Integrated circuit having memory cells including gate material having high work function, and method of manufacturing same
US8278687B2 (en) * 2008-03-28 2012-10-02 Intel Corporation Semiconductor heterostructures to reduce short channel effects
US8129749B2 (en) * 2008-03-28 2012-03-06 Intel Corporation Double quantum well structures for transistors
US7957206B2 (en) 2008-04-04 2011-06-07 Micron Technology, Inc. Read circuitry for an integrated circuit having memory cells and/or a memory cell array, and method of operating same
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
DE102008030864B4 (en) * 2008-06-30 2010-06-17 Advanced Micro Devices, Inc., Sunnyvale Semiconductor device as a double-gate and tri-gate transistor, which are constructed on a solid substrate and method for producing the transistor
US7833891B2 (en) * 2008-07-23 2010-11-16 International Business Machines Corporation Semiconductor device manufacturing method using oxygen diffusion barrier layer between buried oxide layer and high K dielectric layer
US7884354B2 (en) * 2008-07-31 2011-02-08 Intel Corporation Germanium on insulator (GOI) semiconductor substrates
US7781283B2 (en) * 2008-08-15 2010-08-24 International Business Machines Corporation Split-gate DRAM with MuGFET, design structure, and method of manufacture
US7979836B2 (en) * 2008-08-15 2011-07-12 International Business Machines Corporation Split-gate DRAM with MuGFET, design structure, and method of manufacture
US7947543B2 (en) 2008-09-25 2011-05-24 Micron Technology, Inc. Recessed gate silicon-on-insulator floating body device with self-aligned lateral isolation
US7933140B2 (en) 2008-10-02 2011-04-26 Micron Technology, Inc. Techniques for reducing a voltage swing
US7924630B2 (en) 2008-10-15 2011-04-12 Micron Technology, Inc. Techniques for simultaneously driving a plurality of source lines
US8223574B2 (en) 2008-11-05 2012-07-17 Micron Technology, Inc. Techniques for block refreshing a semiconductor memory device
JP2010118481A (en) * 2008-11-12 2010-05-27 Nec Electronics Corp Semiconductor device and method of manufacturing the same
US8213226B2 (en) * 2008-12-05 2012-07-03 Micron Technology, Inc. Vertical transistor memory cell and array
US8319294B2 (en) 2009-02-18 2012-11-27 Micron Technology, Inc. Techniques for providing a source line plane
US8710566B2 (en) 2009-03-04 2014-04-29 Micron Technology, Inc. Techniques for forming a contact to a buried diffusion layer in a semiconductor memory device
US8184472B2 (en) * 2009-03-13 2012-05-22 International Business Machines Corporation Split-gate DRAM with lateral control-gate MuGFET
US8748959B2 (en) 2009-03-31 2014-06-10 Micron Technology, Inc. Semiconductor memory device
US7902541B2 (en) * 2009-04-03 2011-03-08 International Business Machines Corporation Semiconductor nanowire with built-in stress
US8139418B2 (en) 2009-04-27 2012-03-20 Micron Technology, Inc. Techniques for controlling a direct injection semiconductor memory device
US8508994B2 (en) 2009-04-30 2013-08-13 Micron Technology, Inc. Semiconductor device with floating gate and electrically floating body
US8498157B2 (en) 2009-05-22 2013-07-30 Micron Technology, Inc. Techniques for providing a direct injection semiconductor memory device
US8537610B2 (en) 2009-07-10 2013-09-17 Micron Technology, Inc. Techniques for providing a semiconductor memory device
US9076543B2 (en) 2009-07-27 2015-07-07 Micron Technology, Inc. Techniques for providing a direct injection semiconductor memory device
US8199595B2 (en) 2009-09-04 2012-06-12 Micron Technology, Inc. Techniques for sensing a semiconductor memory device
US8614492B2 (en) 2009-10-26 2013-12-24 International Business Machines Corporation Nanowire stress sensors, stress sensor integrated circuits, and design structures for a stress sensor integrated circuit
US8174881B2 (en) 2009-11-24 2012-05-08 Micron Technology, Inc. Techniques for reducing disturbance in a semiconductor device
US8310893B2 (en) 2009-12-16 2012-11-13 Micron Technology, Inc. Techniques for reducing impact of array disturbs in a semiconductor memory device
US8440998B2 (en) 2009-12-21 2013-05-14 Intel Corporation Increasing carrier injection velocity for integrated circuit devices
US8633470B2 (en) * 2009-12-23 2014-01-21 Intel Corporation Techniques and configurations to impart strain to integrated circuit devices
US8416636B2 (en) 2010-02-12 2013-04-09 Micron Technology, Inc. Techniques for controlling a semiconductor memory device
US8576631B2 (en) 2010-03-04 2013-11-05 Micron Technology, Inc. Techniques for sensing a semiconductor memory device
US8411513B2 (en) 2010-03-04 2013-04-02 Micron Technology, Inc. Techniques for providing a semiconductor memory device having hierarchical bit lines
US8369177B2 (en) 2010-03-05 2013-02-05 Micron Technology, Inc. Techniques for reading from and/or writing to a semiconductor memory device
EP3511982A1 (en) 2010-03-15 2019-07-17 Micron Technology, Inc. Techniques for providing a semiconductor memory device
US8411524B2 (en) 2010-05-06 2013-04-02 Micron Technology, Inc. Techniques for refreshing a semiconductor memory device
US9029834B2 (en) 2010-07-06 2015-05-12 International Business Machines Corporation Process for forming a surrounding gate for a nanowire using a sacrificial patternable dielectric
JP5569243B2 (en) * 2010-08-09 2014-08-13 ソニー株式会社 Semiconductor device and manufacturing method thereof
US8524546B2 (en) 2010-10-22 2013-09-03 International Business Machines Corporation Formation of multi-height MUGFET
US8524545B2 (en) 2010-10-22 2013-09-03 International Business Machines Corporation Simultaneous formation of FinFET and MUGFET
US8835261B2 (en) * 2011-03-14 2014-09-16 International Business Machines Corporation Field effect transistor structure and method of forming same
US8531878B2 (en) 2011-05-17 2013-09-10 Micron Technology, Inc. Techniques for providing a semiconductor memory device
US9559216B2 (en) 2011-06-06 2017-01-31 Micron Technology, Inc. Semiconductor memory device and method for biasing same
CN102842508B (en) * 2011-06-24 2015-03-04 中国科学院微电子研究所 Manufacturing method for semiconductor field effect transistor
US8778744B2 (en) * 2011-06-24 2014-07-15 Institute of Microelectronics, Chinese Academy of Sciences Method for manufacturing semiconductor field effect transistor
US8731017B2 (en) * 2011-08-12 2014-05-20 Acorn Technologies, Inc. Tensile strained semiconductor photon emission and detection devices and integrated photonics system
US8772874B2 (en) * 2011-08-24 2014-07-08 International Business Machines Corporation MOSFET including asymmetric source and drain regions
US9287385B2 (en) 2011-09-01 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-fin device and method of making same
US8637930B2 (en) * 2011-10-13 2014-01-28 International Business Machines Company FinFET parasitic capacitance reduction using air gap
US8445334B1 (en) 2011-12-20 2013-05-21 International Business Machines Corporation SOI FinFET with recessed merged Fins and liner for enhanced stress coupling
US8871626B2 (en) 2011-12-20 2014-10-28 International Business Machines Corporation FinFET with vertical silicide structure
US8643120B2 (en) 2012-01-06 2014-02-04 International Business Machines Corporation FinFET with fully silicided gate
US8698199B2 (en) 2012-01-11 2014-04-15 United Microelectronics Corp. FinFET structure
JP5398853B2 (en) * 2012-01-26 2014-01-29 東京エレクトロン株式会社 Plasma processing method and plasma processing apparatus
CN103377927B (en) * 2012-04-17 2015-11-25 中芯国际集成电路制造(上海)有限公司 Suspended nano field of line effect transistor and forming method thereof
US9633905B2 (en) * 2012-04-20 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor fin structures and methods for forming the same
US9490318B2 (en) * 2012-06-15 2016-11-08 Lawrence Livermore National Security, Llc Three dimensional strained semiconductors
US8847281B2 (en) 2012-07-27 2014-09-30 Intel Corporation High mobility strained channels for fin-based transistors
CN103594513B (en) * 2012-08-16 2017-09-15 中国科学院微电子研究所 Semiconductor devices and its manufacture method
US9190346B2 (en) 2012-08-31 2015-11-17 Synopsys, Inc. Latch-up suppression and substrate noise coupling reduction through a substrate back-tie for 3D integrated circuits
US9817928B2 (en) 2012-08-31 2017-11-14 Synopsys, Inc. Latch-up suppression and substrate noise coupling reduction through a substrate back-tie for 3D integrated circuits
US9041106B2 (en) * 2012-09-27 2015-05-26 Intel Corporation Three-dimensional germanium-based semiconductor devices formed on globally or locally isolated substrates
US8946063B2 (en) * 2012-11-30 2015-02-03 International Business Machines Corporation Semiconductor device having SSOI substrate with relaxed tensile stress
EP2741320B1 (en) * 2012-12-05 2020-06-17 IMEC vzw Manufacturing method of a finfet device with dual-strained channels
US9379018B2 (en) 2012-12-17 2016-06-28 Synopsys, Inc. Increasing Ion/Ioff ratio in FinFETs and nano-wires
US8847324B2 (en) 2012-12-17 2014-09-30 Synopsys, Inc. Increasing ION /IOFF ratio in FinFETs and nano-wires
US9397217B2 (en) * 2012-12-28 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of non-planar semiconductor device
US9224849B2 (en) * 2012-12-28 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Transistors with wrapped-around gates and methods for forming the same
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US8815661B1 (en) 2013-02-15 2014-08-26 International Business Machines Corporation MIM capacitor in FinFET structure
US9040363B2 (en) 2013-03-20 2015-05-26 International Business Machines Corporation FinFET with reduced capacitance
CN103219384B (en) * 2013-04-03 2015-05-20 北京大学 Anti-single particle radiation multi-grid device and preparation method thereof
US9006842B2 (en) 2013-05-30 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Tuning strain in semiconductor devices
DE112013007032T5 (en) * 2013-06-29 2016-01-21 Intel Corporation Piezoresistive resonator with multi-gate transistor
US9349850B2 (en) 2013-07-17 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Thermally tuning strain in semiconductor devices
CN104425601B (en) * 2013-08-30 2018-02-16 中国科学院微电子研究所 Semiconductor devices and its manufacture method
US9553012B2 (en) * 2013-09-13 2017-01-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and the manufacturing method thereof
US9373720B2 (en) * 2013-10-14 2016-06-21 Globalfoundries Inc. Three-dimensional transistor with improved channel mobility
US9324842B2 (en) * 2013-12-20 2016-04-26 Globalfoundries Inc. Buried local interconnect in finfet structure and method of fabricating same
US9698222B2 (en) * 2013-12-23 2017-07-04 Intel Corporation Method of fabricating semiconductor structures on dissimilar substrates
US9583598B2 (en) 2014-10-03 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. FETs and methods of forming FETs
KR102217246B1 (en) * 2014-11-12 2021-02-18 삼성전자주식회사 Integrated circuit device and method of manufacturing the same
CN105810750B (en) * 2014-12-29 2019-02-01 中芯国际集成电路制造(上海)有限公司 A kind of carbon nanotube neuron chip and preparation method thereof
US10411135B2 (en) 2015-06-08 2019-09-10 Synopsys, Inc. Substrates and transistors with 2D material channels on 3D geometries
US9466723B1 (en) * 2015-06-26 2016-10-11 Globalfoundries Inc. Liner and cap layer for placeholder source/drain contact structure planarization and replacement
US9917195B2 (en) * 2015-07-29 2018-03-13 International Business Machines Corporation High doped III-V source/drain junctions for field effect transistors
CN106711238B (en) * 2015-07-30 2019-11-01 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
US10096712B2 (en) * 2015-10-20 2018-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming and monitoring quality of the same
US9735273B1 (en) * 2016-04-11 2017-08-15 International Business Machines Corporation Method of forming a III-V compound semiconductor channel post replacement gate
US10276728B2 (en) 2017-07-07 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including non-volatile memory cells
US11126775B2 (en) 2019-04-12 2021-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. IC layout, method, device, and system

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002043151A1 (en) * 2000-11-22 2002-05-30 Hitachi, Ltd Semiconductor device and method for fabricating the same
US20020081794A1 (en) * 2000-12-26 2002-06-27 Nec Corporation Enhanced deposition control in fabricating devices in a semiconductor wafer
US6475869B1 (en) * 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region
US20020167007A1 (en) * 1998-12-29 2002-11-14 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating the same

Family Cites Families (419)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US167007A (en) * 1875-08-24 Improvement in farm-fences
US81794A (en) * 1868-09-01 Improved machine for separating ores
US643156A (en) * 1898-10-19 1900-02-13 Miles W Quick Plant for operating motors.
US3387820A (en) 1965-05-24 1968-06-11 Continental Aviat & Engineerin Turbine engine construction
US4231149A (en) 1978-10-10 1980-11-04 Texas Instruments Incorporated Narrow band-gap semiconductor CCD imaging device and method of fabrication
JPS5673454A (en) 1979-11-21 1981-06-18 Sumitomo Metal Mining Co Ltd Manufacture of stepped semiconductor substrate
JPS59145538A (en) 1983-10-21 1984-08-21 Hitachi Ltd Semiconductor integrated circuit device
GB2156149A (en) 1984-03-14 1985-10-02 Philips Electronic Associated Dielectrically-isolated integrated circuit manufacture
US4487652A (en) 1984-03-30 1984-12-11 Motorola, Inc. Slope etch of polyimide
US4711701A (en) 1986-09-16 1987-12-08 Texas Instruments Incorporated Self-aligned transistor method
US5514885A (en) 1986-10-09 1996-05-07 Myrick; James J. SOI methods and apparatus
US4818715A (en) * 1987-07-09 1989-04-04 Industrial Technology Research Institute Method of fabricating a LDDFET with self-aligned silicide
US4907048A (en) * 1987-11-23 1990-03-06 Xerox Corporation Double implanted LDD transistor self-aligned with gate
US4905063A (en) * 1988-06-21 1990-02-27 American Telephone And Telegraph Company, At&T Bell Laboratories Floating gate memories
JPH0214578A (en) * 1988-07-01 1990-01-18 Fujitsu Ltd Semiconductor device
KR910010043B1 (en) 1988-07-28 1991-12-10 한국전기통신공사 Microscopic line forming method for using spacer
US4994873A (en) * 1988-10-17 1991-02-19 Motorola, Inc. Local interconnect for stacked polysilicon device
US5346834A (en) * 1988-11-21 1994-09-13 Hitachi, Ltd. Method for manufacturing a semiconductor device and a semiconductor memory device
US4906589A (en) * 1989-02-06 1990-03-06 Industrial Technology Research Institute Inverse-T LDDFET with self-aligned silicide
US5278012A (en) 1989-03-29 1994-01-11 Hitachi, Ltd. Method for producing thin film multilayer substrate, and method and apparatus for detecting circuit conductor pattern of the substrate
JPH02302044A (en) 1989-05-16 1990-12-14 Fujitsu Ltd Manufacture of semiconductor device
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
KR930003790B1 (en) * 1990-07-02 1993-05-10 삼성전자 주식회사 Dielectric meterial
JP3061406B2 (en) * 1990-09-28 2000-07-10 株式会社東芝 Semiconductor device
JP3202223B2 (en) * 1990-11-27 2001-08-27 日本電気株式会社 Method for manufacturing transistor
US5218213A (en) 1991-02-22 1993-06-08 Harris Corporation SOI wafer with sige
US5521859A (en) * 1991-03-20 1996-05-28 Fujitsu Limited Semiconductor memory device having thin film transistor and method of producing the same
JPH05152293A (en) * 1991-04-30 1993-06-18 Sgs Thomson Microelectron Inc Stepped wall interconnector and manufacture of gate
US5346836A (en) 1991-06-06 1994-09-13 Micron Technology, Inc. Process for forming low resistance contacts between silicide areas and upper level polysilicon interconnects
US5292670A (en) * 1991-06-10 1994-03-08 Texas Instruments Incorporated Sidewall doping technique for SOI transistors
US5179037A (en) * 1991-12-24 1993-01-12 Texas Instruments Incorporated Integration of lateral and vertical quantum well transistors in the same epitaxial stack
US5391506A (en) * 1992-01-31 1995-02-21 Kawasaki Steel Corporation Manufacturing method for semiconductor devices with source/drain formed in substrate projection.
JPH05243572A (en) 1992-02-27 1993-09-21 Fujitsu Ltd Semiconductor device
US5405454A (en) 1992-03-19 1995-04-11 Matsushita Electric Industrial Co., Ltd. Electrically insulated silicon structure and producing method therefor
JP2572003B2 (en) * 1992-03-30 1997-01-16 三星電子株式会社 Method of manufacturing thin film transistor having three-dimensional multi-channel structure
JPH0793441B2 (en) * 1992-04-24 1995-10-09 ヒュンダイ エレクトロニクス インダストリーズ カンパニー リミテッド Thin film transistor and manufacturing method thereof
KR960002088B1 (en) 1993-02-17 1996-02-10 삼성전자주식회사 Making method of semiconductor device with soi structure
US5357119A (en) 1993-02-19 1994-10-18 Board Of Regents Of The University Of California Field effect devices having short period superlattice structures using Si and Ge
JPH06310547A (en) * 1993-02-25 1994-11-04 Mitsubishi Electric Corp Semiconductor device and manufacture thereof
EP0623963A1 (en) 1993-05-06 1994-11-09 Siemens Aktiengesellschaft MOSFET on SOI substrate
US5739544A (en) * 1993-05-26 1998-04-14 Matsushita Electric Industrial Co., Ltd. Quantization functional device utilizing a resonance tunneling effect and method for producing the same
US5475869A (en) 1993-05-28 1995-12-12 Nec Corporation Radio base station capable of distinguishing between interference due to collisions of outgoing call signals and an external interference noise
US6730549B1 (en) * 1993-06-25 2004-05-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for its preparation
JP3778581B2 (en) 1993-07-05 2006-05-24 三菱電機株式会社 Semiconductor device and manufacturing method thereof
JP3460863B2 (en) * 1993-09-17 2003-10-27 三菱電機株式会社 Method for manufacturing semiconductor device
US5479033A (en) 1994-05-27 1995-12-26 Sandia Corporation Complementary junction heterostructure field-effect transistor
JP3361922B2 (en) 1994-09-13 2003-01-07 株式会社東芝 Semiconductor device
JP3378414B2 (en) 1994-09-14 2003-02-17 株式会社東芝 Semiconductor device
JPH08153880A (en) * 1994-09-29 1996-06-11 Toshiba Corp Semiconductor device and fabrication thereof
US5602049A (en) * 1994-10-04 1997-02-11 United Microelectronics Corporation Method of fabricating a buried structure SRAM cell
JPH08125152A (en) * 1994-10-28 1996-05-17 Canon Inc Semiconductor device, correlation operating unit empolying it, ad converter, da converter, and signal processing system
US5576227A (en) 1994-11-02 1996-11-19 United Microelectronics Corp. Process for fabricating a recessed gate MOS device
JP3078720B2 (en) 1994-11-02 2000-08-21 三菱電機株式会社 Semiconductor device and manufacturing method thereof
GB2295488B (en) 1994-11-24 1996-11-20 Toshiba Cambridge Res Center Semiconductor device
US5716879A (en) * 1994-12-15 1998-02-10 Goldstar Electron Company, Ltd. Method of making a thin film transistor
US5539229A (en) 1994-12-28 1996-07-23 International Business Machines Corporation MOSFET with raised STI isolation self-aligned to the gate stack
JPH08204191A (en) * 1995-01-20 1996-08-09 Sony Corp Field-effect transistor and its manufacture
US5665203A (en) 1995-04-28 1997-09-09 International Business Machines Corporation Silicon etching method
JP3303601B2 (en) 1995-05-19 2002-07-22 日産自動車株式会社 Groove type semiconductor device
KR0165398B1 (en) * 1995-05-26 1998-12-15 윤종용 Vertical transistor manufacturing method
US5658806A (en) * 1995-10-26 1997-08-19 National Science Council Method for fabricating thin-film transistor with bottom-gate or dual-gate configuration
US5814895A (en) * 1995-12-22 1998-09-29 Sony Corporation Static random access memory having transistor elements formed on side walls of a trench in a semiconductor substrate
KR100205442B1 (en) 1995-12-26 1999-07-01 구본준 Thin film transistor and method of fabricating the same
US5595919A (en) * 1996-02-20 1997-01-21 Chartered Semiconductor Manufacturing Pte Ltd. Method of making self-aligned halo process for reducing junction capacitance
DE19607209A1 (en) * 1996-02-26 1997-08-28 Gregor Kohlruss Cleaning device for cleaning flat objects
JPH09293793A (en) * 1996-04-26 1997-11-11 Mitsubishi Electric Corp Semiconductor device provided with thin film transistor and manufacture thereof
US5793088A (en) * 1996-06-18 1998-08-11 Integrated Device Technology, Inc. Structure for controlling threshold voltage of MOSFET
JP3710880B2 (en) * 1996-06-28 2005-10-26 株式会社東芝 Nonvolatile semiconductor memory device
TW548686B (en) 1996-07-11 2003-08-21 Semiconductor Energy Lab CMOS semiconductor device and apparatus using the same
US6404906B2 (en) * 1997-03-03 2002-06-11 Bacus Research Laboratories,Inc. Method and apparatus for acquiring and reconstructing magnified specimen images from a computer-controlled microscope
US5817560A (en) * 1996-09-12 1998-10-06 Advanced Micro Devices, Inc. Ultra short trench transistors and process for making same
US6399970B2 (en) 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
US6063675A (en) 1996-10-28 2000-05-16 Texas Instruments Incorporated Method of forming a MOSFET using a disposable gate with a sidewall dielectric
US6163053A (en) 1996-11-06 2000-12-19 Ricoh Company, Ltd. Semiconductor device having opposite-polarity region under channel
SE507437C2 (en) * 1996-11-14 1998-06-08 Medi Team Dentalutveckling I G Preparations for use in the chemical-mechanical treatment of caries infestation and process for the preparation of the preparation
JPH10150185A (en) * 1996-11-20 1998-06-02 Mitsubishi Electric Corp Semiconductor device and its manufacture
US5827769A (en) * 1996-11-20 1998-10-27 Intel Corporation Method for fabricating a transistor with increased hot carrier resistance by nitridizing and annealing the sidewall oxide of the gate electrode
US6252135B1 (en) * 1996-12-16 2001-06-26 International Paper Company Production of syringyl lignin in gymnosperms
US5773331A (en) * 1996-12-17 1998-06-30 International Business Machines Corporation Method for making single and double gate field effect transistors with sidewall source-drain contacts
US5908313A (en) * 1996-12-31 1999-06-01 Intel Corporation Method of forming a transistor
JP4086926B2 (en) 1997-01-29 2008-05-14 富士通株式会社 Semiconductor device and manufacturing method thereof
JPH118390A (en) 1997-06-18 1999-01-12 Mitsubishi Electric Corp Semiconductor device and its manufacture
US6251763B1 (en) * 1997-06-30 2001-06-26 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing same
US6054355A (en) * 1997-06-30 2000-04-25 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device which includes forming a dummy gate
JPH1140811A (en) * 1997-07-22 1999-02-12 Hitachi Ltd Semiconductor device and manufacture thereof
US5952701A (en) 1997-08-18 1999-09-14 National Semiconductor Corporation Design and fabrication of semiconductor structure having complementary channel-junction insulated-gate field-effect transistors whose gate electrodes have work functions close to mid-gap semiconductor value
US5776821A (en) 1997-08-22 1998-07-07 Vlsi Technology, Inc. Method for forming a reduced width gate electrode
US6066869A (en) * 1997-10-06 2000-05-23 Micron Technology, Inc. Circuit and method for a folded bit line memory cell with vertical transistor and trench capacitor
US5976767A (en) 1997-10-09 1999-11-02 Micron Technology, Inc. Ammonium hydroxide etch of photoresist masked silicon
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
US5856225A (en) 1997-11-24 1999-01-05 Chartered Semiconductor Manufacturing Ltd Creation of a self-aligned, ion implanted channel region, after source and drain formation
US6120846A (en) 1997-12-23 2000-09-19 Advanced Technology Materials, Inc. Method for the selective deposition of bismuth based ferroelectric thin films by chemical vapor deposition
US5888309A (en) * 1997-12-29 1999-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Lateral etch inhibited multiple for forming a via through a microelectronics layer susceptible to etching within a fluorine containing plasma followed by an oxygen containing plasma
US6117741A (en) 1998-01-09 2000-09-12 Texas Instruments Incorporated Method of forming a transistor having an improved sidewall gate structure
US6351040B1 (en) 1998-01-22 2002-02-26 Micron Technology, Inc. Method and apparatus for implementing selected functionality on an integrated circuit device
US6294416B1 (en) 1998-01-23 2001-09-25 Texas Instruments-Acer Incorporated Method of fabricating CMOS transistors with self-aligned planarization twin-well by using fewer mask counts
US6307235B1 (en) * 1998-03-30 2001-10-23 Micron Technology, Inc. Another technique for gated lateral bipolar transistors
US6097065A (en) * 1998-03-30 2000-08-01 Micron Technology, Inc. Circuits and methods for dual-gated transistors
US6087208A (en) * 1998-03-31 2000-07-11 Advanced Micro Devices, Inc. Method for increasing gate capacitance by using both high and low dielectric gate material
US6215190B1 (en) 1998-05-12 2001-04-10 International Business Machines Corporation Borderless contact to diffusion with respect to gate conductor and methods for fabricating
US6232641B1 (en) * 1998-05-29 2001-05-15 Kabushiki Kaisha Toshiba Semiconductor apparatus having elevated source and drain structure and manufacturing method therefor
US6114201A (en) 1998-06-01 2000-09-05 Texas Instruments-Acer Incorporated Method of manufacturing a multiple fin-shaped capacitor for high density DRAMs
US20010040907A1 (en) 1998-06-12 2001-11-15 Utpal Kumar Chakrabarti Optical device including carbon-doped contact layers
US6165880A (en) 1998-06-15 2000-12-26 Taiwan Semiconductor Manufacturing Company Double spacer technology for making self-aligned contacts (SAC) on semiconductor integrated circuits
US6130123A (en) 1998-06-30 2000-10-10 Intel Corporation Method for making a complementary metal gate electrode technology
JP2000037842A (en) 1998-07-27 2000-02-08 Dainippon Printing Co Ltd Electromagnetic wave absorbing decorative material
US6696366B1 (en) * 1998-08-17 2004-02-24 Lam Research Corporation Technique for etching a low capacitance dielectric layer
JP2000156502A (en) 1998-09-21 2000-06-06 Texas Instr Inc <Ti> Integrated circuit and method
US6114206A (en) 1998-11-06 2000-09-05 Advanced Micro Devices, Inc. Multiple threshold voltage transistor implemented by a damascene process
US5985726A (en) 1998-11-06 1999-11-16 Advanced Micro Devices, Inc. Damascene process for forming ultra-shallow source/drain extensions and pocket in ULSI MOSFET
US6262456B1 (en) 1998-11-06 2001-07-17 Advanced Micro Devices, Inc. Integrated circuit having transistors with different threshold voltages
US6153485A (en) 1998-11-09 2000-11-28 Chartered Semiconductor Manufacturing Ltd. Salicide formation on narrow poly lines by pulling back of spacer
US6200865B1 (en) 1998-12-04 2001-03-13 Advanced Micro Devices, Inc. Use of sacrificial dielectric structure to form semiconductor device with a self-aligned threshold adjust and overlying low-resistance gate
US6362111B1 (en) 1998-12-09 2002-03-26 Texas Instruments Incorporated Tunable gate linewidth reduction process
TW406312B (en) 1998-12-18 2000-09-21 United Microelectronics Corp The method of etching doped poly-silicon
TW449919B (en) * 1998-12-18 2001-08-11 Koninkl Philips Electronics Nv A method of manufacturing a semiconductor device
US6150222A (en) 1999-01-07 2000-11-21 Advanced Micro Devices, Inc. Method of making a high performance transistor with elevated spacer formation and self-aligned channel regions
FR2788629B1 (en) * 1999-01-15 2003-06-20 Commissariat Energie Atomique TRANSISTOR MIS AND METHOD FOR FABRICATING SUCH A TRANSISTOR ON A SEMICONDUCTOR SUBSTRATE
US6174820B1 (en) 1999-02-16 2001-01-16 Sandia Corporation Use of silicon oxynitride as a sacrificial material for microelectromechanical devices
JP2000243854A (en) 1999-02-22 2000-09-08 Toshiba Corp Semiconductor device and its manufacture
WO2000059233A1 (en) 1999-03-26 2000-10-05 Koninklijke Philips Electronics N.V. Video coding method and corresponding video coder
US6093621A (en) * 1999-04-05 2000-07-25 Vanguard International Semiconductor Corp. Method of forming shallow trench isolation
US7045468B2 (en) 1999-04-09 2006-05-16 Intel Corporation Isolated junction structure and method of manufacture
US6459123B1 (en) * 1999-04-30 2002-10-01 Infineon Technologies Richmond, Lp Double gated transistor
EP1063697B1 (en) 1999-06-18 2003-03-12 Lucent Technologies Inc. A process for fabricating a CMOS integrated circuit having vertical transistors
JP2001015704A (en) * 1999-06-29 2001-01-19 Hitachi Ltd Semiconductor integrated circuit
US6218309B1 (en) * 1999-06-30 2001-04-17 Lam Research Corporation Method of achieving top rounding and uniform etch depths while etching shallow trench isolation features
US6501131B1 (en) 1999-07-22 2002-12-31 International Business Machines Corporation Transistors having independently adjustable parameters
TW432594B (en) * 1999-07-31 2001-05-01 Taiwan Semiconductor Mfg Manufacturing method for shallow trench isolation
US6259135B1 (en) 1999-09-24 2001-07-10 International Business Machines Corporation MOS transistors structure for reducing the size of pitch limited circuits
FR2799305B1 (en) 1999-10-05 2004-06-18 St Microelectronics Sa METHOD FOR MANUFACTURING A SEMICONDUCTOR DEVICE WITH ENVELOPING GRID AND DEVICE OBTAINED
EP1091413A3 (en) 1999-10-06 2005-01-12 Lsi Logic Corporation Fully-depleted, fully-inverted, short-length and vertical channel, dual-gate, cmos fet
US6159808A (en) 1999-11-12 2000-12-12 United Semiconductor Corp. Method of forming self-aligned DRAM cell
WO2001039292A2 (en) 1999-11-29 2001-05-31 Trustees Of The University Of Pennsylvania Fabrication of nanometer size gaps on an electrode
US6150670A (en) 1999-11-30 2000-11-21 International Business Machines Corporation Process for fabricating a uniform gate oxide of a vertical transistor
US6541829B2 (en) * 1999-12-03 2003-04-01 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6252284B1 (en) * 1999-12-09 2001-06-26 International Business Machines Corporation Planarized silicon fin device
KR100311049B1 (en) 1999-12-13 2001-10-12 윤종용 Nonvolatile semiconductor memory device and manufacturing method thereof
US6303479B1 (en) * 1999-12-16 2001-10-16 Spinnaker Semiconductor, Inc. Method of manufacturing a short-channel FET with Schottky-barrier source and drain contacts
JP4923318B2 (en) * 1999-12-17 2012-04-25 ソニー株式会社 Nonvolatile semiconductor memory device and operation method thereof
US6800855B1 (en) 1999-12-27 2004-10-05 Nippon Sanso Corporation Spectroscopic method for analyzing isotopes by using a semiconductor laser
JP4194237B2 (en) 1999-12-28 2008-12-10 株式会社リコー Voltage generation circuit and reference voltage source circuit using field effect transistor
US7391087B2 (en) 1999-12-30 2008-06-24 Intel Corporation MOS transistor structure and method of fabrication
JP3613113B2 (en) 2000-01-21 2005-01-26 日本電気株式会社 Semiconductor device and manufacturing method thereof
US6319807B1 (en) 2000-02-07 2001-11-20 United Microelectronics Corp. Method for forming a semiconductor device by using reverse-offset spacer process
JP3846706B2 (en) * 2000-02-23 2006-11-15 信越半導体株式会社 Polishing method and polishing apparatus for wafer outer peripheral chamfer
US6483156B1 (en) 2000-03-16 2002-11-19 International Business Machines Corporation Double planar gated SOI MOSFET structure
FR2806832B1 (en) 2000-03-22 2002-10-25 Commissariat Energie Atomique METAL SOURCE AND DRAIN MOS TRANSISTOR, AND METHOD FOR MANUFACTURING SUCH A TRANSISTOR
JP3906005B2 (en) 2000-03-27 2007-04-18 株式会社東芝 Manufacturing method of semiconductor device
KR100332834B1 (en) 2000-03-29 2002-04-15 윤덕용 A fabrication method of sub-micron gate using anisotropic etching
TW466606B (en) 2000-04-20 2001-12-01 United Microelectronics Corp Manufacturing method for dual metal gate electrode
JP2001338987A (en) 2000-05-26 2001-12-07 Nec Microsystems Ltd Forming method of shallow trench isolation region of mos transistor
FR2810161B1 (en) 2000-06-09 2005-03-11 Commissariat Energie Atomique ELECTRONIC MEMORY WITH DAMASCENE ARCHITECTURE AND METHOD OF MAKING SAID MEMORY
US6526996B1 (en) 2000-06-12 2003-03-04 Promos Technologies, Inc. Dry clean method instead of traditional wet clean after metal etch
US6391782B1 (en) * 2000-06-20 2002-05-21 Advanced Micro Devices, Inc. Process for forming multiple active lines and gate-all-around MOSFET
KR100360476B1 (en) 2000-06-27 2002-11-08 삼성전자 주식회사 Vertical nano-size transistor using carbon nanotubes and manufacturing method thereof
KR100545706B1 (en) 2000-06-28 2006-01-24 주식회사 하이닉스반도체 Semiconductor device manufacturing method
WO2002003482A1 (en) * 2000-07-04 2002-01-10 Infineon Technologies Ag Field effect transistor
DE10134866B4 (en) 2000-07-18 2005-08-11 Lg Electronics Inc. Method of horizontally growing carbon nanotubes and field effect transistor using the process grown carbon nanotubes
US20020011612A1 (en) * 2000-07-31 2002-01-31 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
JP2002047034A (en) 2000-07-31 2002-02-12 Shinetsu Quartz Prod Co Ltd Quarts glass jig for process device utilizing plasma
US6403981B1 (en) 2000-08-07 2002-06-11 Advanced Micro Devices, Inc. Double gate transistor having a silicon/germanium channel region
KR100338778B1 (en) * 2000-08-21 2002-05-31 윤종용 Method for fabricating MOS transistor using selective silicide process
US6358800B1 (en) * 2000-09-18 2002-03-19 Vanguard International Semiconductor Corporation Method of forming a MOSFET with a recessed-gate having a channel length beyond photolithography limit
US6387820B1 (en) 2000-09-19 2002-05-14 Advanced Micro Devices, Inc. BC13/AR chemistry for metal overetching on a high density plasma etcher
JP2002100762A (en) 2000-09-22 2002-04-05 Mitsubishi Electric Corp Semiconductor device and manufacturing method therefor
JP4044276B2 (en) * 2000-09-28 2008-02-06 株式会社東芝 Semiconductor device and manufacturing method thereof
US6562665B1 (en) * 2000-10-16 2003-05-13 Advanced Micro Devices, Inc. Fabrication of a field effect transistor with a recess in a semiconductor pillar in SOI technology
US7163864B1 (en) 2000-10-18 2007-01-16 International Business Machines Corporation Method of fabricating semiconductor side wall fin
US6645840B2 (en) 2000-10-19 2003-11-11 Texas Instruments Incorporated Multi-layered polysilicon process
US6413802B1 (en) 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US6716684B1 (en) * 2000-11-13 2004-04-06 Advanced Micro Devices, Inc. Method of making a self-aligned triple gate silicon-on-insulator device
US6472258B1 (en) * 2000-11-13 2002-10-29 International Business Machines Corporation Double gate trench transistor
US6396108B1 (en) * 2000-11-13 2002-05-28 Advanced Micro Devices, Inc. Self-aligned double gate silicon-on-insulator (SOI) device
US6479866B1 (en) 2000-11-14 2002-11-12 Advanced Micro Devices, Inc. SOI device with self-aligned selective damage implant, and method
JP2002198441A (en) 2000-11-16 2002-07-12 Hynix Semiconductor Inc Method for forming dual metal gate of semiconductor element
US6552401B1 (en) 2000-11-27 2003-04-22 Micron Technology Use of gate electrode workfunction to improve DRAM refresh
US20020100942A1 (en) 2000-12-04 2002-08-01 Fitzgerald Eugene A. CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6921947B2 (en) 2000-12-15 2005-07-26 Renesas Technology Corp. Semiconductor device having recessed isolation insulation film
US6413877B1 (en) * 2000-12-22 2002-07-02 Lam Research Corporation Method of preventing damage to organo-silicate-glass materials during resist stripping
US6537901B2 (en) * 2000-12-29 2003-03-25 Hynix Semiconductor Inc. Method of manufacturing a transistor in a semiconductor device
TW561530B (en) 2001-01-03 2003-11-11 Macronix Int Co Ltd Process for fabricating CMOS transistor of IC devices employing double spacers for preventing short-channel effect
US6975014B1 (en) 2001-01-09 2005-12-13 Advanced Micro Devices, Inc. Method for making an ultra thin FDSOI device with improved short-channel performance
US6359311B1 (en) * 2001-01-17 2002-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Quasi-surrounding gate and a method of fabricating a silicon-on-insulator semiconductor device with the same
US6403434B1 (en) 2001-02-09 2002-06-11 Advanced Micro Devices, Inc. Process for manufacturing MOS transistors having elevated source and drain regions and a high-k gate dielectric
US6475890B1 (en) 2001-02-12 2002-11-05 Advanced Micro Devices, Inc. Fabrication of a field effect transistor with an upside down T-shaped semiconductor pillar in SOI technology
JP2002246310A (en) 2001-02-14 2002-08-30 Sony Corp Method of forming thin semiconductor film, method of manufacturing semiconductor device, device used for executing the methods, and electro-optic device
US6410371B1 (en) 2001-02-26 2002-06-25 Advanced Micro Devices, Inc. Method of fabrication of semiconductor-on-insulator (SOI) wafer having a Si/SiGe/Si active layer
FR2822293B1 (en) * 2001-03-13 2007-03-23 Nat Inst Of Advanced Ind Scien FIELD EFFECT TRANSISTOR AND DOUBLE GRID, INTEGRATED CIRCUIT COMPRISING THIS TRANSISTOR, AND METHOD OF MANUFACTURING THE SAME
TW582071B (en) 2001-03-20 2004-04-01 Macronix Int Co Ltd Method for etching metal in a semiconductor
JP3940565B2 (en) 2001-03-29 2007-07-04 株式会社東芝 Semiconductor device and manufacturing method thereof
US6458662B1 (en) 2001-04-04 2002-10-01 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having an asymmetrical dual-gate silicon-germanium (SiGe) channel MOSFET and a device thereby formed
KR100414217B1 (en) 2001-04-12 2004-01-07 삼성전자주식회사 Semiconductor device having gate all around type transistor and method of forming the same
US6645861B2 (en) 2001-04-18 2003-11-11 International Business Machines Corporation Self-aligned silicide process for silicon sidewall source and drain contacts
US6787402B1 (en) 2001-04-27 2004-09-07 Advanced Micro Devices, Inc. Double-gate vertical MOSFET transistor and fabrication method
US6902947B2 (en) * 2001-05-07 2005-06-07 Applied Materials, Inc. Integrated method for release and passivation of MEMS structures
SG112804A1 (en) 2001-05-10 2005-07-28 Inst Of Microelectronics Sloped trench etching process
US7376653B2 (en) * 2001-05-22 2008-05-20 Reuters America, Inc. Creating dynamic web pages at a client browser
KR100363332B1 (en) 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US6635923B2 (en) 2001-05-24 2003-10-21 International Business Machines Corporation Damascene double-gate MOSFET with vertical channel regions
US6506692B2 (en) * 2001-05-30 2003-01-14 Intel Corporation Method of making a semiconductor device using a silicon carbide hard mask
US6593625B2 (en) 2001-06-12 2003-07-15 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
US6737333B2 (en) 2001-07-03 2004-05-18 Texas Instruments Incorporated Semiconductor device isolation structure and method of forming
JP2003017508A (en) 2001-07-05 2003-01-17 Nec Corp Field effect transistor
US6501141B1 (en) 2001-08-13 2002-12-31 Taiwan Semiconductor Manufacturing Company, Ltd Self-aligned contact with improved isolation and method for forming
US6534807B2 (en) * 2001-08-13 2003-03-18 International Business Machines Corporation Local interconnect junction on insulator (JOI) structure
US6764965B2 (en) 2001-08-17 2004-07-20 United Microelectronics Corp. Method for improving the coating capability of low-k dielectric layer
JP3873696B2 (en) 2001-09-18 2007-01-24 株式会社日立製作所 Power semiconductor module and power conversion device
JP2003100902A (en) 2001-09-21 2003-04-04 Mitsubishi Electric Corp Manufacturing method for semiconductor device
US6689650B2 (en) * 2001-09-27 2004-02-10 International Business Machines Corporation Fin field effect transistor with self-aligned gate
US6492212B1 (en) * 2001-10-05 2002-12-10 International Business Machines Corporation Variable threshold voltage double gated transistors and method of fabrication
US20030085194A1 (en) * 2001-11-07 2003-05-08 Hopkins Dean A. Method for fabricating close spaced mirror arrays
KR100398874B1 (en) 2001-11-21 2003-09-19 삼성전자주식회사 MOS Transistor With T-Shaped Gate Electrode And Method Of Fabricating The Same
US7385262B2 (en) 2001-11-27 2008-06-10 The Board Of Trustees Of The Leland Stanford Junior University Band-structure modulation of nano-structures in an electric field
US6967351B2 (en) * 2001-12-04 2005-11-22 International Business Machines Corporation Finfet SRAM cell using low mobility plane for cell stability and method for forming
US6657259B2 (en) * 2001-12-04 2003-12-02 International Business Machines Corporation Multiple-plane FinFET CMOS
US6610576B2 (en) * 2001-12-13 2003-08-26 International Business Machines Corporation Method for forming asymmetric dual gate transistor
US6555879B1 (en) 2002-01-11 2003-04-29 Advanced Micro Devices, Inc. SOI device with metal source/drain and method of fabrication
US6722946B2 (en) 2002-01-17 2004-04-20 Nutool, Inc. Advanced chemical mechanical polishing system with smart endpoint detection
US6583469B1 (en) 2002-01-28 2003-06-24 International Business Machines Corporation Self-aligned dog-bone structure for FinFET applications and methods to fabricate the same
KR100442089B1 (en) * 2002-01-29 2004-07-27 삼성전자주식회사 Method of forming mos transistor having notched gate
KR100458288B1 (en) 2002-01-30 2004-11-26 한국과학기술원 Double-Gate FinFET
DE10203998A1 (en) 2002-02-01 2003-08-21 Infineon Technologies Ag Production of a toothed structure in crystal structure in/on substrate used in production of floating gate transistor comprises forming trenches using a mask on the substrate and etching process and the unmasked region of substrate
US6784071B2 (en) 2003-01-31 2004-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Bonded SOI wafer with <100> device layer and <110> substrate for performance improvement
US20030151077A1 (en) * 2002-02-13 2003-08-14 Leo Mathew Method of forming a vertical double gate semiconductor device and structure thereof
JP3782021B2 (en) * 2002-02-22 2006-06-07 株式会社東芝 Semiconductor device, semiconductor device manufacturing method, and semiconductor substrate manufacturing method
US6660598B2 (en) 2002-02-26 2003-12-09 International Business Machines Corporation Method of forming a fully-depleted SOI ( silicon-on-insulator) MOSFET having a thinned channel region
JP4370104B2 (en) 2002-03-05 2009-11-25 シャープ株式会社 Semiconductor memory device
US6639827B2 (en) 2002-03-12 2003-10-28 Intel Corporation Low standby power using shadow storage
US6635909B2 (en) * 2002-03-19 2003-10-21 International Business Machines Corporation Strained fin FETs structure and method
US6605498B1 (en) 2002-03-29 2003-08-12 Intel Corporation Semiconductor transistor having a backfilled channel material
FR2838238B1 (en) 2002-04-08 2005-04-15 St Microelectronics Sa SEMICONDUCTOR DEVICE WITH ENVELOPING GRID ENCAPSULATED IN AN INSULATING MEDIUM
US6784076B2 (en) 2002-04-08 2004-08-31 Micron Technology, Inc. Process for making a silicon-on-insulator ledge by implanting ions from silicon source
US6762469B2 (en) 2002-04-19 2004-07-13 International Business Machines Corporation High performance CMOS device structure with mid-gap metal gate
US6713396B2 (en) * 2002-04-29 2004-03-30 Hewlett-Packard Development Company, L.P. Method of fabricating high density sub-lithographic features on a substrate
US6537885B1 (en) * 2002-05-09 2003-03-25 Infineon Technologies Ag Transistor and method of manufacturing a transistor having a shallow junction formation using a two step EPI layer
US6642090B1 (en) 2002-06-03 2003-11-04 International Business Machines Corporation Fin FET devices from bulk semiconductor and method for forming
US7074623B2 (en) * 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
US6680240B1 (en) * 2002-06-25 2004-01-20 Advanced Micro Devices, Inc. Silicon-on-insulator device with strained device film and method for making the same with partial replacement of isolation oxide
US7105891B2 (en) 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US6974729B2 (en) 2002-07-16 2005-12-13 Interuniversitair Microelektronica Centrum (Imec) Integrated semiconductor fin device and a method for manufacturing such device
DE10232804A1 (en) 2002-07-19 2004-02-12 Piv Drives Gmbh Agricultural machine with continuously variable bevel gear
KR100477543B1 (en) 2002-07-26 2005-03-18 동부아남반도체 주식회사 Method for forming short-channel transistor
US6919238B2 (en) 2002-07-29 2005-07-19 Intel Corporation Silicon on insulator (SOI) transistor and methods of fabrication
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
JP2004071996A (en) 2002-08-09 2004-03-04 Hitachi Ltd Manufacturing method for semiconductor integrated circuit device
US6984585B2 (en) 2002-08-12 2006-01-10 Applied Materials Inc Method for removal of residue from a magneto-resistive random access memory (MRAM) film stack using a sacrificial mask layer
US6891234B1 (en) 2004-01-07 2005-05-10 Acorn Technologies, Inc. Transistor with workfunction-induced charge layer
US6833556B2 (en) 2002-08-12 2004-12-21 Acorn Technologies, Inc. Insulated gate field effect transistor having passivated schottky barriers to the channel
JP3865233B2 (en) 2002-08-19 2007-01-10 富士通株式会社 CMOS integrated circuit device
US7358121B2 (en) 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US7163851B2 (en) * 2002-08-26 2007-01-16 International Business Machines Corporation Concurrent Fin-FET and thick-body device fabrication
JP5179692B2 (en) 2002-08-30 2013-04-10 富士通セミコンダクター株式会社 Semiconductor memory device and manufacturing method thereof
US6770516B2 (en) * 2002-09-05 2004-08-03 Taiwan Semiconductor Manufacturing Company Method of forming an N channel and P channel FINFET device on the same semiconductor substrate
JP3651802B2 (en) 2002-09-12 2005-05-25 株式会社東芝 Manufacturing method of semiconductor device
US6794313B1 (en) * 2002-09-20 2004-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Oxidation process to improve polysilicon sidewall roughness
JP3556651B2 (en) 2002-09-27 2004-08-18 沖電気工業株式会社 Method for manufacturing semiconductor device
US6800910B2 (en) * 2002-09-30 2004-10-05 Advanced Micro Devices, Inc. FinFET device incorporating strained silicon in the channel region
KR100481209B1 (en) 2002-10-01 2005-04-08 삼성전자주식회사 MOS Transistor having multiple channels and method of manufacturing the same
JP4294935B2 (en) 2002-10-17 2009-07-15 株式会社ルネサステクノロジ Semiconductor device
US6706571B1 (en) * 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US8222680B2 (en) 2002-10-22 2012-07-17 Advanced Micro Devices, Inc. Double and triple gate MOSFET devices and methods for making same
US6833588B2 (en) 2002-10-22 2004-12-21 Advanced Micro Devices, Inc. Semiconductor device having a U-shaped gate structure
US6706581B1 (en) 2002-10-29 2004-03-16 Taiwan Semiconductor Manufacturing Company Dual gate dielectric scheme: SiON for high performance devices and high k for low power devices
US6611029B1 (en) * 2002-11-08 2003-08-26 Advanced Micro Devices, Inc. Double gate semiconductor device having separate gates
US6787439B2 (en) * 2002-11-08 2004-09-07 Advanced Micro Devices, Inc. Method using planarizing gate material to improve gate critical dimension in semiconductor devices
ATE377841T1 (en) * 2002-11-25 2007-11-15 Ibm STRESSED CMOS FINFET DEVICE STRUCTURES
US6855990B2 (en) * 2002-11-26 2005-02-15 Taiwan Semiconductor Manufacturing Co., Ltd Strained-channel multiple-gate transistor
US6709982B1 (en) * 2002-11-26 2004-03-23 Advanced Micro Devices, Inc. Double spacer FinFET formation
US6864519B2 (en) 2002-11-26 2005-03-08 Taiwan Semiconductor Manufacturing Co., Ltd. CMOS SRAM cell configured using multiple-gate transistors
US6825506B2 (en) * 2002-11-27 2004-11-30 Intel Corporation Field effect transistor and method of fabrication
US6821834B2 (en) 2002-12-04 2004-11-23 Yoshiyuki Ando Ion implantation methods and transistor cell layout for fin type transistors
US7728360B2 (en) 2002-12-06 2010-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple-gate transistor structure
US6645797B1 (en) 2002-12-06 2003-11-11 Advanced Micro Devices, Inc. Method for forming fins in a FinFET device using sacrificial carbon layer
KR100487922B1 (en) * 2002-12-06 2005-05-06 주식회사 하이닉스반도체 A transistor of a semiconductor device and a method for forming the same
US6686231B1 (en) * 2002-12-06 2004-02-03 Advanced Micro Devices, Inc. Damascene gate process with sacrificial oxide in semiconductor devices
US6869868B2 (en) * 2002-12-13 2005-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a MOSFET device with metal containing gate structures
US6794718B2 (en) 2002-12-19 2004-09-21 International Business Machines Corporation High mobility crystalline planes in double-gate CMOS technology
WO2004059726A1 (en) 2002-12-20 2004-07-15 International Business Machines Corporation Integrated antifuse structure for finfet and cmos devices
US6780694B2 (en) * 2003-01-08 2004-08-24 International Business Machines Corporation MOS transistor
US6803631B2 (en) * 2003-01-23 2004-10-12 Advanced Micro Devices, Inc. Strained channel finfet
US7259425B2 (en) * 2003-01-23 2007-08-21 Advanced Micro Devices, Inc. Tri-gate and gate around MOSFET devices and methods for making same
US6762483B1 (en) 2003-01-23 2004-07-13 Advanced Micro Devices, Inc. Narrow fin FinFET
US6885055B2 (en) * 2003-02-04 2005-04-26 Lee Jong-Ho Double-gate FinFET device and fabricating method thereof
US6768156B1 (en) * 2003-02-10 2004-07-27 Micron Technology, Inc. Non-volatile random access memory cells associated with thin film constructions
KR100543472B1 (en) 2004-02-11 2006-01-20 삼성전자주식회사 Semiconductor device having depletion barrier layer at source/drain regions and method of forming the same
US7304336B2 (en) 2003-02-13 2007-12-04 Massachusetts Institute Of Technology FinFET structure and method to make the same
US6855606B2 (en) * 2003-02-20 2005-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-rod devices
US7105894B2 (en) 2003-02-27 2006-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Contacts to semiconductor fin devices
KR100499159B1 (en) 2003-02-28 2005-07-01 삼성전자주식회사 Semiconductor device having a recessed channel and method of manufacturing the same
US6921913B2 (en) 2003-03-04 2005-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel transistor structure with lattice-mismatched zone
US6828628B2 (en) 2003-03-05 2004-12-07 Agere Systems, Inc. Diffused MOS devices with strained silicon portions and methods for forming same
US6716690B1 (en) * 2003-03-12 2004-04-06 Advanced Micro Devices, Inc. Uniformly doped source/drain junction in a double-gate MOSFET
US6787854B1 (en) 2003-03-12 2004-09-07 Advanced Micro Devices, Inc. Method for forming a fin in a finFET device
US6800885B1 (en) 2003-03-12 2004-10-05 Advance Micro Devices, Inc. Asymmetrical double gate or all-around gate MOSFET devices and methods for making same
TW582099B (en) 2003-03-13 2004-04-01 Ind Tech Res Inst Method of adhering material layer on transparent substrate and method of forming single crystal silicon on transparent substrate
JP4563652B2 (en) * 2003-03-13 2010-10-13 シャープ株式会社 MEMORY FUNCTIONAL BODY, PARTICLE FORMING METHOD, MEMORY ELEMENT, SEMICONDUCTOR DEVICE, AND ELECTRONIC DEVICE
US6844238B2 (en) * 2003-03-26 2005-01-18 Taiwan Semiconductor Manufacturing Co., Ltd Multiple-gate transistors with improved gate control
US20040191980A1 (en) 2003-03-27 2004-09-30 Rafael Rios Multi-corner FET for better immunity from short channel effects
US6790733B1 (en) * 2003-03-28 2004-09-14 International Business Machines Corporation Preserving TEOS hard mask using COR for raised source-drain including removable/disposable spacer
US6764884B1 (en) 2003-04-03 2004-07-20 Advanced Micro Devices, Inc. Method for forming a gate in a FinFET device and thinning a fin in a channel region of the FinFET device
US6902962B2 (en) 2003-04-04 2005-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon-on-insulator chip with multiple crystal orientations
TWI231994B (en) 2003-04-04 2005-05-01 Univ Nat Taiwan Strained Si FinFET
JP4689969B2 (en) 2003-04-05 2011-06-01 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. Preparation of Group IVA and Group VIA compounds
US7442415B2 (en) 2003-04-11 2008-10-28 Sharp Laboratories Of America, Inc. Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
JP2004319704A (en) 2003-04-15 2004-11-11 Seiko Instruments Inc Semiconductor device
TW200506093A (en) 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
WO2004097943A1 (en) * 2003-04-28 2004-11-11 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for manufacturing same
US7074656B2 (en) 2003-04-29 2006-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Doping of semiconductor fin devices
JP3976703B2 (en) 2003-04-30 2007-09-19 エルピーダメモリ株式会社 Manufacturing method of semiconductor device
US6867433B2 (en) * 2003-04-30 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
US6838322B2 (en) * 2003-05-01 2005-01-04 Freescale Semiconductor, Inc. Method for forming a double-gated semiconductor device
US6909147B2 (en) 2003-05-05 2005-06-21 International Business Machines Corporation Multi-height FinFETS
US7812340B2 (en) 2003-06-13 2010-10-12 International Business Machines Corporation Strained-silicon-on-insulator single-and double-gate MOSFET and method for forming the same
US6830998B1 (en) 2003-06-17 2004-12-14 Advanced Micro Devices, Inc. Gate dielectric quality for replacement metal gate transistors
US7045401B2 (en) * 2003-06-23 2006-05-16 Sharp Laboratories Of America, Inc. Strained silicon finFET device
US20040262683A1 (en) * 2003-06-27 2004-12-30 Bohr Mark T. PMOS transistor strain optimization with raised junction regions
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US6960517B2 (en) 2003-06-30 2005-11-01 Intel Corporation N-gate transistor
US6716686B1 (en) 2003-07-08 2004-04-06 Advanced Micro Devices, Inc. Method for forming channels in a finfet device
US7196372B1 (en) 2003-07-08 2007-03-27 Spansion Llc Flash memory device
US6921982B2 (en) * 2003-07-21 2005-07-26 International Business Machines Corporation FET channel having a strained lattice structure along multiple surfaces
KR100487566B1 (en) * 2003-07-23 2005-05-03 삼성전자주식회사 Fin field effect transistors and methods of formiing the same
KR100487567B1 (en) * 2003-07-24 2005-05-03 삼성전자주식회사 Method for fabricating a finfet in a semiconductor device
EP1519420A2 (en) * 2003-09-25 2005-03-30 Interuniversitaire Microelectronica Centrum vzw ( IMEC) Multiple gate semiconductor device and method for forming same
US6835618B1 (en) 2003-08-05 2004-12-28 Advanced Micro Devices, Inc. Epitaxially grown fin for FinFET
US6787406B1 (en) 2003-08-12 2004-09-07 Advanced Micro Devices, Inc. Systems and methods for forming dense n-channel and p-channel fins using shadow implanting
US7172943B2 (en) * 2003-08-13 2007-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-gate transistors formed on bulk substrates
KR100496891B1 (en) 2003-08-14 2005-06-23 삼성전자주식회사 Silicon fin for finfet and method for fabricating the same
US7355253B2 (en) 2003-08-22 2008-04-08 International Business Machines Corporation Strained-channel Fin field effect transistor (FET) with a uniform channel thickness and separate gates
US6955969B2 (en) 2003-09-03 2005-10-18 Advanced Micro Devices, Inc. Method of growing as a channel region to reduce source/drain junction capacitance
US6998301B1 (en) 2003-09-03 2006-02-14 Advanced Micro Devices, Inc. Method for forming a tri-gate MOSFET
US6877728B2 (en) 2003-09-04 2005-04-12 Lakin Manufacturing Corporation Suspension assembly having multiple torsion members which cooperatively provide suspension to a wheel
JP4439358B2 (en) 2003-09-05 2010-03-24 株式会社東芝 Field effect transistor and manufacturing method thereof
US7170126B2 (en) 2003-09-16 2007-01-30 International Business Machines Corporation Structure of vertical strained silicon devices
US6970373B2 (en) 2003-10-02 2005-11-29 Intel Corporation Method and apparatus for improving stability of a 6T CMOS SRAM cell
US6855588B1 (en) 2003-10-07 2005-02-15 United Microelectronics Corp. Method of fabricating a double gate MOSFET device
US6888199B2 (en) 2003-10-07 2005-05-03 International Business Machines Corporation High-density split-gate FinFET
US7612416B2 (en) 2003-10-09 2009-11-03 Nec Corporation Semiconductor device having a conductive portion below an interlayer insulating film and method for producing the same
EP1683193A1 (en) * 2003-10-22 2006-07-26 Spinnaker Semiconductor, Inc. Dynamic schottky barrier mosfet device and method of manufacture
US6946377B2 (en) 2003-10-29 2005-09-20 Texas Instruments Incorporated Multiple-gate MOSFET device with lithography independent silicon body thickness and methods for fabricating the same
US7138320B2 (en) * 2003-10-31 2006-11-21 Advanced Micro Devices, Inc. Advanced technique for forming a transistor having raised drain and source regions
KR100515061B1 (en) 2003-10-31 2005-09-14 삼성전자주식회사 Semiconductor devices having a fin field effect transistor and methods for forming the same
US6867460B1 (en) * 2003-11-05 2005-03-15 International Business Machines Corporation FinFET SRAM cell with chevron FinFET logic
US6831310B1 (en) 2003-11-10 2004-12-14 Freescale Semiconductor, Inc. Integrated circuit having multiple memory types and method of formation
KR100521384B1 (en) 2003-11-17 2005-10-12 삼성전자주식회사 Method for fabricating a finfet in a semiconductor device
US6885072B1 (en) 2003-11-18 2005-04-26 Applied Intellectual Properties Co., Ltd. Nonvolatile memory with undercut trapping structure
US7545001B2 (en) 2003-11-25 2009-06-09 Taiwan Semiconductor Manufacturing Company Semiconductor device having high drive current and method of manufacture therefor
US7183137B2 (en) * 2003-12-01 2007-02-27 Taiwan Semiconductor Manufacturing Company Method for dicing semiconductor wafers
US7075150B2 (en) 2003-12-02 2006-07-11 International Business Machines Corporation Ultra-thin Si channel MOSFET using a self-aligned oxygen implant and damascene technique
US7018551B2 (en) * 2003-12-09 2006-03-28 International Business Machines Corporation Pull-back method of forming fins in FinFets
US7388258B2 (en) 2003-12-10 2008-06-17 International Business Machines Corporation Sectional field effect devices
US7662689B2 (en) 2003-12-23 2010-02-16 Intel Corporation Strained transistor integration for CMOS
US7223679B2 (en) 2003-12-24 2007-05-29 Intel Corporation Transistor gate electrode having conductor material layer
US7078282B2 (en) 2003-12-30 2006-07-18 Intel Corporation Replacement gate flow facilitating high yield and incorporation of etch stop layers and/or stressed films
US7105390B2 (en) 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US7247578B2 (en) 2003-12-30 2007-07-24 Intel Corporation Method of varying etch selectivities of a film
US7045407B2 (en) 2003-12-30 2006-05-16 Intel Corporation Amorphous etch stop for the anisotropic etching of substrates
US7705345B2 (en) * 2004-01-07 2010-04-27 International Business Machines Corporation High performance strained silicon FinFETs device and method for forming same
US7056794B2 (en) 2004-01-09 2006-06-06 International Business Machines Corporation FET gate structure with metal gate electrode and silicide contact
US6974736B2 (en) 2004-01-09 2005-12-13 International Business Machines Corporation Method of forming FET silicide gate structures incorporating inner spacers
US7268058B2 (en) 2004-01-16 2007-09-11 Intel Corporation Tri-gate transistors and methods to fabricate same
US7385247B2 (en) 2004-01-17 2008-06-10 Samsung Electronics Co., Ltd. At least penta-sided-channel type of FinFET transistor
JP2005209782A (en) 2004-01-21 2005-08-04 Toshiba Corp Semiconductor device
US7250645B1 (en) 2004-01-22 2007-07-31 Advanced Micro Devices, Inc. Reversed T-shaped FinFET
US7224029B2 (en) 2004-01-28 2007-05-29 International Business Machines Corporation Method and structure to create multiple device widths in FinFET technology in both bulk and SOI
KR100587672B1 (en) 2004-02-02 2006-06-08 삼성전자주식회사 Method for forming FINFET using damascene process
EP1566844A3 (en) 2004-02-20 2006-04-05 Samsung Electronics Co., Ltd. Multi-gate transistor and method for manufacturing the same
US7060539B2 (en) * 2004-03-01 2006-06-13 International Business Machines Corporation Method of manufacture of FinFET devices with T-shaped fins and devices manufactured thereby
JP4852694B2 (en) 2004-03-02 2012-01-11 独立行政法人産業技術総合研究所 Semiconductor integrated circuit and manufacturing method thereof
US6921691B1 (en) 2004-03-18 2005-07-26 Infineon Technologies Ag Transistor with dopant-bearing metal in source and drain
KR100576361B1 (en) 2004-03-23 2006-05-03 삼성전자주식회사 Three dimensional CMOS field effect transistor and method of fabricating the same
US6881635B1 (en) 2004-03-23 2005-04-19 International Business Machines Corporation Strained silicon NMOS devices with embedded source/drain
US7141480B2 (en) 2004-03-26 2006-11-28 Texas Instruments Incorporated Tri-gate low power device and method for manufacturing the same
US8450806B2 (en) 2004-03-31 2013-05-28 International Business Machines Corporation Method for fabricating strained silicon-on-insulator structures and strained silicon-on insulator structures formed thereby
US7049654B2 (en) 2004-03-31 2006-05-23 Intel Corporation Memory with split gate devices and method of fabrication
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US20050224797A1 (en) 2004-04-01 2005-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS fabricated on different crystallographic orientation substrates
US7023018B2 (en) 2004-04-06 2006-04-04 Texas Instruments Incorporated SiGe transistor with strained layers
US20050230763A1 (en) 2004-04-15 2005-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a microelectronic device with electrode perturbing sill
KR100642632B1 (en) 2004-04-27 2006-11-10 삼성전자주식회사 Methods of fabricating a semiconductor device and semiconductor devices fabricated thereby
US7084018B1 (en) 2004-05-05 2006-08-01 Advanced Micro Devices, Inc. Sacrificial oxide for minimizing box undercut in damascene FinFET
TWI238624B (en) 2004-05-10 2005-08-21 Chunghwa Telecom Co Ltd Method of dynamically setting ethernet port isolation
US20050255642A1 (en) 2004-05-11 2005-11-17 Chi-Wen Liu Method of fabricating inlaid structure
US7355233B2 (en) 2004-05-12 2008-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for multiple-gate semiconductor device with angled sidewalls
US7070064B1 (en) 2004-05-12 2006-07-04 Henry Michael L Hands-free trash barrel lid opener
US6864540B1 (en) 2004-05-21 2005-03-08 International Business Machines Corp. High performance FET with elevated source/drain region
KR100625177B1 (en) 2004-05-25 2006-09-20 삼성전자주식회사 method of manufacturing multi-bridge channel type MOS transistor
KR100634372B1 (en) 2004-06-04 2006-10-16 삼성전자주식회사 Semiconductor devices and methods for forming the same
WO2005122276A1 (en) 2004-06-10 2005-12-22 Nec Corporation Semiconductor device and manufacturing method thereof
US7132360B2 (en) 2004-06-10 2006-11-07 Freescale Semiconductor, Inc. Method for treating a semiconductor surface to form a metal-containing layer
US7291886B2 (en) 2004-06-21 2007-11-06 International Business Machines Corporation Hybrid substrate technology for high-mobility planar and multiple-gate MOSFETs
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US8669145B2 (en) * 2004-06-30 2014-03-11 International Business Machines Corporation Method and structure for strained FinFET devices
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US20060040054A1 (en) * 2004-08-18 2006-02-23 Pearlstein Ronald M Passivating ALD reactor chamber internal surfaces to prevent residue buildup
US7105934B2 (en) 2004-08-30 2006-09-12 International Business Machines Corporation FinFET with low gate capacitance and low extrinsic resistance
US7250367B2 (en) * 2004-09-01 2007-07-31 Micron Technology, Inc. Deposition methods using heteroleptic precursors
US7071064B2 (en) 2004-09-23 2006-07-04 Intel Corporation U-gate transistors and methods of fabrication
US7332439B2 (en) 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US20060138548A1 (en) 2004-12-07 2006-06-29 Thunderbird Technologies, Inc. Strained silicon, gate engineered Fermi-FETs
US7247547B2 (en) 2005-01-05 2007-07-24 International Business Machines Corporation Method of fabricating a field effect transistor having improved junctions
US7875547B2 (en) 2005-01-12 2011-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Contact hole structures and contact structures and fabrication methods thereof
US7193279B2 (en) 2005-01-18 2007-03-20 Intel Corporation Non-planar MOS structure with a strained channel region
US20060172480A1 (en) 2005-02-03 2006-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Single metal gate CMOS device design
US7238564B2 (en) 2005-03-10 2007-07-03 Taiwan Semiconductor Manufacturing Company Method of forming a shallow trench isolation structure
US7177177B2 (en) 2005-04-07 2007-02-13 International Business Machines Corporation Back-gate controlled read SRAM cell
KR100699839B1 (en) 2005-04-21 2007-03-27 삼성전자주식회사 Semiconductor device having multi-channel and Method of manufacturing the same
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7319074B2 (en) 2005-06-13 2008-01-15 United Microelectronics Corp. Method of defining polysilicon patterns
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US20070023795A1 (en) 2005-07-15 2007-02-01 Kabushiki Kaisha Toshiba Semiconductor device and method of fabricating the same
US7352034B2 (en) 2005-08-25 2008-04-01 International Business Machines Corporation Semiconductor structures integrating damascene-body FinFET's and planar devices on a common substrate and methods for forming such semiconductor structures
US7416943B2 (en) 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US20070090408A1 (en) 2005-09-29 2007-04-26 Amlan Majumdar Narrow-body multiple-gate FET with dominant body transistor for high performance
US8513066B2 (en) * 2005-10-25 2013-08-20 Freescale Semiconductor, Inc. Method of making an inverted-T channel transistor

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020167007A1 (en) * 1998-12-29 2002-11-14 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating the same
WO2002043151A1 (en) * 2000-11-22 2002-05-30 Hitachi, Ltd Semiconductor device and method for fabricating the same
US20020081794A1 (en) * 2000-12-26 2002-06-27 Nec Corporation Enhanced deposition control in fabricating devices in a semiconductor wafer
US6475869B1 (en) * 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP1639652A2 *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109273530A (en) * 2017-07-17 2019-01-25 台湾积体电路制造股份有限公司 Semiconductor component structure and its manufacturing method
CN109273530B (en) * 2017-07-17 2022-06-14 台湾积体电路制造股份有限公司 Semiconductor element structure and manufacturing method thereof

Also Published As

Publication number Publication date
US7714397B2 (en) 2010-05-11
US20050242406A1 (en) 2005-11-03
KR100817949B1 (en) 2008-03-31
TWI298519B (en) 2008-07-01
EP1639652B1 (en) 2013-01-23
KR20060028431A (en) 2006-03-29
TW200501264A (en) 2005-01-01
EP2472587B1 (en) 2013-11-13
EP2472587A1 (en) 2012-07-04
US7241653B2 (en) 2007-07-10
CN1577889B (en) 2010-05-26
CN1577889A (en) 2005-02-09
US20100200917A1 (en) 2010-08-12
US20040266083A1 (en) 2004-12-30
US20060261411A1 (en) 2006-11-23
US6909151B2 (en) 2005-06-21
US20040262692A1 (en) 2004-12-30
US6974738B2 (en) 2005-12-13
EP1639652A2 (en) 2006-03-29
WO2005010997A3 (en) 2005-03-24
AU2003297043A1 (en) 2005-02-14
US8405164B2 (en) 2013-03-26

Similar Documents

Publication Publication Date Title
EP1639652B1 (en) Nonplanar device with stress incorporation layer and method of fabrication
US7358121B2 (en) Tri-gate devices and methods of fabrication
EP1639649B1 (en) Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): BW GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2003817697

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 1020057025056

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 2003817697

Country of ref document: EP

Ref document number: 1020057025056

Country of ref document: KR

NENP Non-entry into the national phase

Ref country code: JP