WO2004106584A1 - Method and apparatus for generating a precursor for a semiconductor processing system - Google Patents

Method and apparatus for generating a precursor for a semiconductor processing system Download PDF

Info

Publication number
WO2004106584A1
WO2004106584A1 PCT/US2004/016715 US2004016715W WO2004106584A1 WO 2004106584 A1 WO2004106584 A1 WO 2004106584A1 US 2004016715 W US2004016715 W US 2004016715W WO 2004106584 A1 WO2004106584 A1 WO 2004106584A1
Authority
WO
WIPO (PCT)
Prior art keywords
canister
tantalum
gas
upper region
dimethylamido
Prior art date
Application number
PCT/US2004/016715
Other languages
French (fr)
Other versions
WO2004106584B1 (en
Inventor
Ling Chen
Vincent W. Ku
Hua Chung
Christophe Marcadal
Seshadri Ganguli
Jenny Lin
Dien-Yeh Wu
Alan Ouye
Mei Chang
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/447,255 external-priority patent/US6905541B2/en
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to KR1020057022469A priority Critical patent/KR101104058B1/en
Priority to US10/590,448 priority patent/US7524374B2/en
Priority to EP04753532A priority patent/EP1636400A1/en
Priority to CN2004800147104A priority patent/CN1795290B/en
Priority to JP2006533450A priority patent/JP5342110B2/en
Publication of WO2004106584A1 publication Critical patent/WO2004106584A1/en
Publication of WO2004106584B1 publication Critical patent/WO2004106584B1/en
Priority to US12/371,138 priority patent/US8062422B2/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4487Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by using a condenser
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition

Definitions

  • the present invention generally relates to depositing a barrier layer on a semiconductor substrate. Description of the Related Art
  • VLSI very large scale integration
  • ULSl ultra large scale integration
  • the multilevel interconnects that lie at the heart of this technology require precise processing of high aspect ratio features, such as vias and other interconnects. Reliable formation of these interconnects is very important to VLSI and ULSl success and to the continued effort to increase circuit density and quality of individual substrates.
  • copper and its alloys have become the metals of choice for sub- micron interconnect technology because copper has a lower resistivity than aluminum, (about 1.7 ⁇ -cm compared to about 3.1 ⁇ -cm for aluminum), and a higher current carrying capacity and significantly higher electromigration resistance. These characteristics are important for supporting the higher current densities experienced at high levels of integration and increased device speed. Further, copper has a good thermal conductivity and is available in a highly pure state.
  • Copper metallization can be achieved by a variety of techniques.
  • a typical method generally includes physical vapor depositing a barrier layer over a feature, physical vapor depositing a copper seed layer over the barrier layer, and then electroplating a copper conductive material layer over the copper seed layer to fill the feature. Finally, the deposited layers and the dielectric layers are planarized, such as by chemical mechanical polishing (CMP), to define a conductive interconnect feature.
  • CMP chemical mechanical polishing
  • one problem with the use of copper is that copper diffuses into silicon, silicon dioxide, and other dielectric materials which may compromise the integrity of devices. Therefore, conformal barrier layers become increasingly important to prevent copper diffusion. Tantalum nitride has been used as a barrier material to prevent the diffusion of copper into underlying layers.
  • the chemicals used in the barrier layer deposition such as pentakis(dimethylamido) tantalum (PDMAT; Ta[NH 2 (CH 3 ) 2 ] 5 ), may include impurities that cause defects in the fabrication of semiconductor devises and reduce process yields. Therefore, there exists a need for a method of depositing a barrier layer from a high-purity precursor.
  • Embodiments of the present invention are directed to an apparatus for generating a precursor for a semiconductor processing system.
  • the apparatus includes a canister having a sidewall, a top portion and a bottom portion.
  • the canister defines an interior volume having an upper region and a lower region.
  • the apparatus further includes a heater surrounding the canister. The heater creates a temperature gradient between the upper region and the lower region.
  • Figure 1 is a schematic cross-sectional view of one embodiment of a barrier layer formed over a substrate by atomic layer deposition (ALD).
  • Figures 2A-2C illustrate one embodiment of the alternating chemisorption of monolayers of a tantalum containing compound and a nitrogen containing compound on an exemplary portion of substrate.
  • Figure 3 is a schematic cross-sectional view of one exemplary embodiment of a processing system that may be used to form one or more barrier layers by atomic layer deposition.
  • Figure 4A is a sectional side view of one embodiment of a gas generation canister
  • Figure 4B is a sectional top view of the gas generation canister of Figure 4A;
  • Figure 5 is a sectional view of another embodiment of a gas generation canister.
  • Figure 6 is a sectional side view of another embodiment of a gas generation canister.
  • Figure 7 illustrates a sectional view of a canister surrounded by a canister heater in accordance with one embodiment of the invention.
  • Figure 8 illustrates a sectional view of a canister containing a plurality of solid particles in accordance with one embodiment of the invention.
  • Figure 9 illustrates a cross sectional view of a plurality of silos extending from the bottom portion of the canister to the upper region in accordance with one embodiment of the invention.
  • Figure 10 illustrates a top view of a plurality of silos extending from the bottom portion of the canister to the upper region in accordance with one embodiment of the invention.
  • Figure 1 is a schematic cross-sectional view of one embodiment of a substrate 100 having a dielectric layer 102 and a barrier layer 104 deposited thereon.
  • the substrate 100 may be a silicon semiconductor substrate, or other material layer, which has been formed on the substrate.
  • the dielectric layer 102 may be an oxide, a silicon oxide, carbon-silicon-oxide, a fluoro- silicon, a porous dielectric, or other suitable dielectric formed and patterned to provide a contact hole or via 102H extending to an exposed surface portion 102T of the substrate 100.
  • the substrate 100 refers to any work piece upon which film processing is performed, and a substrate structure 150 is used to denote the substrate 100 as well as other material layers formed on the substrate 100, such as the dielectric layer 102. It is also understood by those with skill in the art that the present invention may be used in a dual damascene process flow.
  • the barrier layer 104 is formed over the substrate structure 150 of Figure 1A by atomic layer deposition (ALD).
  • the barrier layer includes a tantalum nitride layer.
  • atomic layer deposition of a tantalum nitride barrier layer includes sequentially providing a tantalum containing compound and a nitrogen- containing compound to a process chamber. Sequentially providing a tantalum containing compound and a nitrogen-containing compound may result in the alternating chemisorption of monolayers of a tantalum-containing compound and of monolayers of a nitrogen-containing compound on the substrate structure 150.
  • Figures 2A-2C illustrate one embodiment of the alternating chemisorption of monolayers of a tantalum containing compound and a nitrogen containing compound on an exemplary portion of substrate 200 in a stage of integrated circuit fabrication, and more particularly at a stage of barrier layer formation.
  • a monolayer of a tantalum containing compound is chemisorbed on the substrate 200 by introducing a pulse of the tantalum containing compound 205 into a process chamber.
  • the tantalum containing compound 205 typically includes tantalum atoms 210 with one or more reactive species 215.
  • the tantalum containing compound is pentadimethylamino-tantalum (PDMAT; Ta(NMe 2 ) 5 ).
  • PDMAT may be used to advantage for a number of reasons. PDMAT is relatively stable. In addition, PDMAT has an adequate vapor pressure which makes it easy to deliver. In particular, PDMAT may be produced with a low halide content.
  • the halide content of PDMAT should be produced with a halide content of less than 100 ppm. Not wishing to be bound by theory, it is believed that an organo-metallic precursor with a low halide content is beneficial because halides (such as chlorine) incorporated in the barrier layer may attack the copper layer deposited thereover.
  • Thermal decomposition of the PDMAT during production may cause impurities in the PDMAT product, which is subsequently used to form the tantalum nitride barrier layer.
  • the impurities may include compounds such as CH 3 NTa(N(CH 3 ) 2 ) 3 and ((CH 3 ) 2 N) 3 Ta(NCH 2 CH 3 ).
  • reactions with moisture may result in tantalum oxo amide compounds in the PDMAT product.
  • the tantalum oxo amide compounds are removed from the PDMAT by sublimation.
  • the tantalum oxo amide compounds are removed in a bubbler.
  • the PDMAT product preferably has less than about 5 ppm of chlorine.
  • the levels of lithium, iron, fluorine, bromine and iodine should be minimized.
  • the total level of impurities is less than about 5 ppm.
  • the tantalum containing compound may be provided as a gas or may be provided with the aid of a carrier gas.
  • carrier gases which may be used include, but are not limited to, helium (He), argon (Ar), nitrogen (N 2 ), and hydrogen (H 2 ).
  • tantalum containing compound is chemisorbed onto the substrate 200
  • excess tantalum containing compound is removed from the process chamber by introducing a pulse of a purge gas thereto.
  • purge gases which may be used include, but are not limited to, helium (He), argon (Ar), nitrogen (N 2 ), hydrogen (H 2 ), and other gases.
  • a pulse of a nitrogen containing compound 225 is introduced into the process chamber.
  • the nitrogen containing compound 225 may be provided alone or may be provided with the aid of a carrier gas.
  • the nitrogen containing compound 225 may comprise nitrogen atoms 230 with one or more reactive species 235.
  • the nitrogen containing compound preferably includes ammonia gas (NH 3 ).
  • nitrogen containing compounds may be used which include, but are not limited to, N x H y with x and y being integers (e.g., hydrazine (N 2 H 4 )), dimethyl hydrazine ((CH 3 ) 2 N2H2), t-butylhydrazine (C 4 H 9 N 2 H 3 ) phenylhydrazine (C 6 H 5 N 2 H 3 ), other hydrazine derivatives, a nitrogen plasma source (e.g., N 2 , N 2 /H 2 , NH 3 , or a N 2 H 4 plasma), 2,2'-azoisobutane ((CH 3 ) 6 C 2 N 2 ), ethylazide (C 2 H 5 N 3 ), and other suitable gases.
  • a carrier gas may be used to deliver the nitrogen containing compound if necessary.
  • a monolayer of the nitrogen containing compound 225 may be chemisorbed on the monolayer of the tantalum containing compound 205.
  • the composition and structure of precursors on a surface during atomic-layer deposition (ALD) is not precisely known. Not wishing to be bound by theory, it is believed that the chemisorbed monolayer of the nitrogen containing compound 225 reacts with the monolayer of the tantalum containing compound 205 to form a tantalum nitride layer 209.
  • the reactive species 215, 235 form by-products 240 that are transported from the substrate surface by the vacuum system.
  • any excess nitrogen containing compound is removed from the process chamber by introducing another pulse of the purge gas therein.
  • the tantalum nitride layer deposition sequence of alternating chemisorption of monolayers of the tantalum containing compound and of the nitrogen containing compound may be repeated, if necessary, until a desired tantalum nitride thickness is achieved.
  • the tantalum nitride layer formation is depicted as starting with the chemisorption of a monolayer of a tantalum containing compound on the substrate followed by a monolayer of a nitrogen containing compound.
  • the tantalum nitride layer formation may start with the chemisorption of a monolayer of a nitrogen containing compound on the substrate followed by a monolayer of the tantalum containing compound.
  • a pump evacuation alone between pulses of reactant gases may be used to prevent mixing of the reactant gases.
  • the time duration for each pulse of the tantalum containing compound, the nitrogen containing compound, and the purge gas is variable and depends on the volume capacity of a deposition chamber employed as well as a vacuum system coupled thereto. For example, (1) a lower chamber pressure of a gas will require a longer pulse time; (2) a lower gas flow rate will require a longer time for chamber pressure to rise and stabilize requiring a longer pulse time; and (3) a large-volurhe chamber will take longer to fill and will take longer for chamber pressure to stabilize thus requiring a longer pulse time. Similarly, time between each pulse is also variable and depends on volume capacity of the process chamber as well as the vacuum system coupled thereto.
  • the time duration of a pulse of the tantalum containing compound or the nitrogen containing compound should be long enough for chemisorption of a monolayer of the compound.
  • the pulse time of the purge gas should be long enough to remove the reaction by-products and/or any residual materials remaining in the process chamber.
  • a pulse time of about 1.0 second or less for a tantalum containing compound and a pulse time of about 1.0 second or less for a nitrogen containing compound are typically sufficient to chemisorb alternating monolayers on a substrate.
  • a pulse time of about 1.0 second or less for a purge gas is typically sufficient to remove reaction by-products as well as any residual materials remaining in the process chamber.
  • a longer pulse time may be used to ensure chemisorption of the tantalum containing compound and the nitrogen containing compound and to ensure removal of the reaction by-products.
  • the substrate may be maintained approximately below a thermal decomposition temperature of a selected tantalum containing compound.
  • An exemplary heater temperature range to be used with tantalum containing compounds identified herein is approximately between about 20 ° C and about 500 °C at a chamber pressure less than about 100 torr, preferably less than 50 torr.
  • the heater temperature is preferably between about 100 °C and about 300 °C, more preferably between about 175 °C and 250 °C. In other embodiments, it should be understood that other temperatures may be used. For example, a temperature above a thermal decomposition temperature may be used.
  • the temperature should be selected so that more than 50 percent of the deposition activity is by chemisorption processes.
  • a temperature above a thermal decomposition temperature may be used in which the amount of decomposition during each precursor deposition is limited so that the growth mode will be similar to an atomic layer deposition growth mode.
  • One exemplary process of depositing a tantalum nitride layer by atomic layer deposition in a process chamber includes sequentially providing pentadimethylamino- tantalum (PDMAT) at a flow rate between about 100 seem and about 1000 seem, and preferably between about 200 seem and 500 seem, for a time period of about 1.0 second or less, providing ammonia at a flow rate between about 100 seem and about 1000 seem, preferably between about 200 seem and 500 seem, for a time period of about 1.0 second or less, and a purge gas at a flow rate between about 100 seem and about 1000 seem, preferably between about 200 seem and 500 seem for a time period of about 1.0 second or less.
  • PDMAT pentadimethylamino- tantalum
  • FIG. 3 is a schematic cross-sectional view of one exemplary embodiment of a processing system 320 that may be used to form one or more barrier layers by atomic layer deposition in accordance with aspects of the present invention. Of course, other processing systems may also be used.
  • the processing system 320 generally includes a processing chamber 306 coupled to a gas delivery system 304.
  • the processing chamber 306 may be any suitable processing chamber, for example, those available from Applied Materials, Inc. located in Santa Clara, California. Exemplary processing chambers include DPS CENTURA® etch chambers, PRODUCER® chemical vapor deposition chambers, and ENDURA® physical vapor deposition chambers, among others.
  • the gas delivery system 304 generally controls the rate and pressure at which various process and inert gases are delivered to the processing chamber 306. The number and types of process and other gases delivered to the processing chamber 306 are generally selected based on the process to be performed in the processing chamber 306 coupled thereto. Although for simplicity a single gas delivery circuit is depicted in the gas delivery system 304 shown in Figure 3, it is contemplated that additional gas delivery circuits may be utilized.
  • the gas delivery system 304 is generally coupled between a carrier gas source 302 and the processing chamber 306.
  • the carrier gas source 302 may be a local or remote vessel or a centralized facility source that supplies the carrier gas throughout the facility.
  • the carrier gas source 302 typically supplies a carrier gas such as argon, nitrogen, helium or other inert or non-reactive gas.
  • the gas delivery system 304 typically includes a flow controller 310 coupled between the carrier gas source 302 and a process gas source canister 300.
  • the flow controller 310 may be a proportional valve, modulating valve, needle valve, regulator, mass flow controller or the like.
  • One flow controller 310 that may be utilized is available from Sierra Instruments, Inc., located in Monterey, California.
  • the source canister 300 is typically coupled to and located between a first and a second valve 312, 314.
  • the first and second valves 312, 314 are coupled to the source canister 300 and fitted with disconnect fittings (not shown) to facilitate removal of the valves 312, 314 with the source canister 300 from the gas delivery system 304.
  • a third valve 316 is disposed between the second valve 314 and the processing chamber 306 to prevent introduction of contaminates into the processing chamber 306 after removal of the source canister 300 from the gas delivery system 304.
  • FIGS 4A and 4B depict sectional views of one embodiment of the source canister 300.
  • the source canister 300 generally comprises an ampoule or other sealed container having a housing 420 that is adapted to hold precursor materials 414 from which a process (or other) gas may be generated through a sublimation or vaporization process.
  • precursor materials 414 that may generate a process gas in the source canister 300 through a sublimation process include xenon difluoride, nickel carbonyl, tungsten hexa-carbonyl, and pentakis (dimethylamino) tantalum (PDMAT), among others.
  • PDMAT pentakis (dimethylamino) tantalum
  • Some liquid precursor materials 414 that may generate a process gas in the source canister 300 through a vaporization process include tetrakis (dimethylamino) titanium (TDMAT), tertbutyliminotris (diethylamino) tantalum (TBTDET), and pentakis (ethylmethylamino) tantalum (PEMAT), among others.
  • the housing 420 is generally fabricated from a material substantially inert to the precursor materials 414 and gas produced therefrom, and thus, the material of construction may vary based on gas being produced.
  • the housing 420 may have any number of geometric forms.
  • the housing 420 comprises a cylindrical sidewall 402 and a bottom 432 sealed by a lid 404.
  • the lid 404 may be coupled to the sidewall 402 by welding, bonding, adhesives, or other leak-tight method.
  • the joint between the sidewall 402 and the lid 404 may have a seal, o-ring, gasket, or the like, disposed therebetween to prevent leakage from the source canister 300.
  • the sidewall 402 may alternatively comprise other hollow geometric forms, for example, a hollow square tube.
  • An inlet port 406 and an outlet port 408 are formed through the source canister to allow gas flow into and out of the source canister 300.
  • the ports 406, 408 may be formed through the lid 404 and/or sidewall 402 of the source canister 300.
  • the ports 406, 408 are generally sealable to allow the interior of the source canister 300 to be isolated from the surrounding environment during removal of the source canister 300 from the gas delivery system 304.
  • valves 312, 314 are sealingly coupled to ports 406, 408 to prevent leakage from the source canister 300 when removed from the gas delivery system 304 (shown in Figure 3) for recharging of the precursor material 414 or replacement of the source canister 300.
  • Mating disconnect fittings 436A, 436B may be coupled to valves 312, 314 to facilitate removal and replacement of the source canister 300 to and from the gas delivery system 304.
  • Valves 312,-314 are typically ball valves or other positive sealing valves that allows the source canister 300 to be removed from the system efficiently loaded and recycled while minimizing potential leakage from the source canister 300 during filling, transport, or coupling to the gas delivery system 304.
  • the source canister 300 can be refilled through a refill port (not shown) such as a small tube with a VCR fitting disposed on the lid 404 of the source canister 300.
  • the source canister 300 has an interior volume 438 having an upper region 418 and a lower region 434.
  • the lower region 434 of source canister 300 is at least partially filled with the precursor materials 414.
  • a liquid 416 may be added to a solid precursor material 414 to form a slurry 412.
  • the precursor materials 414, the liquid 416, or the premixed slurry 412 may be introduced into source canister 300 by removing the lid 404 or through one of the ports 406, 408.
  • the liquid 416 is selected such that the liquid 416 is non-reactive with the precursor materials 414, that the precursor materials 414 are insoluble therein, that the liquid 416 has a negligible vapor pressure compared to the precursor materials 414, and that the ratio of the vapor pressure of the solid precursor material 414, e.g., tungsten hexa-carbonyl, to that of the liquid 416 is greater than 10 3 .
  • Precursor materials 414 mixed with the liquid 416 may be sporadically agitated to keep the precursor materials 414 suspended in the liquid 416 in the slurry 412.
  • precursor materials 414 and the liquid 416 are agitated by a magnetic stirrer 440.
  • the magnetic stirrer 440 includes a magnetic motor 442 disposed beneath the bottom 432 of the source canister 300 and a magnetic pill 444 disposed in the lower region 434 of the source canister 300.
  • the magnetic motor 442 operates to rotate the magnetic pill 444 within the source canister 300, thereby mixing the slurry 412.
  • the magnetic pill 444 should have an outer coating of material that is a non-reactive with the precursor materials 414, the liquid 416, or the source canister 300.
  • Suitable magnetic mixers are commercially available.
  • One example of a suitable magnetic mixer is IKAMAG® REO available from IKA® Works in Wilmington, North Carolina.
  • the slurry 412 may be agitated other means, such as by a mixer, a bubbler, or the like.
  • the agitation of the liquid 416 may induce droplets of the liquid 416 to become entrained in the carrier gas and carried toward the processing chamber 306.
  • an oil trap 450 may optionally be coupled to the exit port 408 of the source canister 300.
  • the oil trap 450 includes a body 452 containing a plurality of interleaved baffles 454 which extend past a centerline 456 of the oil trap body 452 and are angled at least slightly downward towards the source canister 300.
  • the baffles 454 force the gas flowing towards the processing chamber 306 to flow a tortuous path around the baffles 454.
  • the surface area of the baffles 454 provides a large surface area exposed to the flowing gas to which oil droplets that may be entrained in the gas adhere.
  • the downward angle of the baffles 454 allows any oil accumulated in the oil trap to flow downward and back into the source canister 300.
  • the source canister 300 includes at least one baffle 410 disposed within the upper region 418 of the source canister 300.
  • the baffle 410 is disposed between inlet port 406 and outlet port 408, creating an extended mean flow path, thereby preventing direct (i.e., straight line) flow of the carrier gas from the inlet port 406 to the outlet port 408. This has the effect of increasing the mean dwell time of the carrier gas in the source canister 300 and increasing the quantity of sublimated or vaporized precursor gas carried by the carrier gas.
  • the baffles 410 direct the carrier gas over the entire exposed surface of the precursor material 414 disposed in the source canister 300, ensuring repeatable gas generation characteristics and efficient consumption of the precursor materials 414.
  • the number, spacing and shape of the baffles 410 may be selected to tune the source canister 300 for optimum generation of precursor gas. For example, a greater number of baffles 410 may be selected to impart higher carrier gas velocities at the precursor material 414 or the shape of the baffles 410 may be configured to control the consumption of the precursor material 414 for more efficient usage of the precursor material.
  • the baffle 410 may be attached to the sidewall 402 or the lid 404, or the baffle 410 may be a prefabricated insert designed to fit within the source canister 300.
  • the baffles 410 disposed in the source canister 300 comprise five rectangular plates fabricated of the same material as the sidewall 402. Referring to Figure 4B, the baffles 410 are welded or otherwise fastened to the sidewall 402 parallel to each other. The baffles 410 are interleaved, fastened to opposing sides of the source canister in an alternating fashion, such that a serpentine extended mean flow path is created.
  • baffles 410 are situated between the inlet port 406 and the outlet port 408 on the lid 404 when placed on the sidewall 402 and are disposed such that there is no air space between the baffles 410 and the lid 404.
  • the baffles 410 additionally extend at least partially into the lower region 434 of the source canister 300, thus defining an extended mean flow path for the carrier gas flowing through the upper region 418.
  • an inlet tube 422 may be disposed in the interior volume 438 of the source canister 300.
  • the tube 422 is coupled by a first end 424 to the inlet port 406 of the source canister 300 and terminates at a second end 426 in the upper region 418 of the source canister 300.
  • the tube 422 injects the carrier gas into the upper region 418 of the source canister 300 at a location closer to the precursor materials 414 or the slurry 412.
  • the precursor materials 414 generate a precursor gas at a predefined temperature and pressure. Sublimating or vaporized gas from the precursor materials 414 accumulate in the upper region 418 of the source canister 300 and are swept out by an inert carrier gas entering through inlet port 406 and exiting outlet port 408 to be carried to the processing chamber 306. In one embodiment, the precursor materials 414 are heated to a predefined temperature by a resistive heater 430 disposed proximate to the sidewall 402.
  • the precursor materials 414 may be heated by other means, such as by a cartridge heater (not shown) disposed in the upper region 418 or the lower region 434 of the source canister 300 or by preheating the carrier gas with a heater (not shown) placed upstream of the carrier gas inlet port 406.
  • a cartridge heater not shown
  • the carrier gas placed upstream of the carrier gas inlet port 406.
  • the liquid 416 and the baffles 410 should be good conductors of heat.
  • a plurality of solid beads or particles 810 with high thermal conductivity such as, aluminum nitride or boron nitride, may be used in lieu of the liquid 416, as shown in Figure 8.
  • Such solid particles 810 may be used to transfer more heat from the sidewall of the canister 800 to the precursor materials 414 than the liquid 416.
  • the solid particles 810 have the same properties as the liquid 416 in that they are non-reactive with the precursor materials 414, insoluble, have a negligible vapor pressure compared to the precursor materials 414.
  • the solid particles 810 are configured to efficiently transfer heat from the sidewall of the canister 800 to the center portion of the canister 800, thereby leading to more precursor material utilization during sublimation or vaporization.
  • the solid particles 810 may also be degassed and cleaned from contaminants, water vapor and the like, prior to being deposited into the canister 800.
  • the lower region 434 of the source canister 300 is at least partially filled with a mixture of tungsten hexa-carbonyl and diffusion pump oil to form the slurry 412.
  • the slurry 412 is held at a pressure of about 5 Torr and is heated to a temperature in the range of about 40 degrees Celsius to about 50 degrees Celsius by a resistive heater 430 located proximate to the source canister 300.
  • Carrier gas in the form of argon is flowed through inlet port 406 into the upper region 418 at a rate of about 400 standard cc/min.
  • the argon flows in an extended mean flow path defined by the torturous path through the baffles 410 before exiting the source canister 300 through outlet port 408, advantageously increasing the mean dwell time of the argon in the upper region 418 of the source canister 300.
  • the increased dwell time in the source canister 300 advantageously increases the saturation level of sublimated tungsten hexa-carbonyl vapors within the carrier gas.
  • the torturous path through the baffles 410 advantageously exposes the substantially all of the exposed surface area of the precursor material 414 to the carrier gas flow for uniform consumption of the precursor material 414 and generation of the precursor gas.
  • Figure 7 illustrates another embodiment for heating the precursor materials 414. More specifically, Figure 7 illustrates a sectional view of a canister 700 surrounded by a canister heater 730, which is configured to create a temperature gradient between a lower region 434 of the canister 700 and an upper region 418 of the canister 700 with the lower region 434 being the coldest region and the upper region 418 being the hottest region.
  • the temperature gradient may range from about 5 degrees Celsius to about 15 degrees Celsius.
  • the canister heater 730 is configured to ensure that the solid precursor materials 414 will accumulate at the lower region 434 of the canister 700, thereby increasing the predictability of where the solid precursor materials 414 will condense and the temperature of the solid precursor materials 414.
  • the canister heater 730 includes a heating element 750 disposed inside the canister heater 730 such that the entire canister 700, including the upper region 418 and the lower region 434, is heated by the canister heater 730.
  • the heating element 750 near the upper region 418 may be configured to generate more heat than the heating element 750 near the lower region 434, thereby allowing the canister heater 730 to create the temperature gradient between the lower region 434 and the upper region 418.
  • the heating element 750 is configured such that the temperature at the upper region 418 is between about 5 degrees to about 15 degrees Celsius higher than the temperature at the lower region 434.
  • the heating element 750 is configured such that the temperature at the upper region 418 is about 70 degrees Celsius, the temperature at the lower region 434 is about 60 degrees Celsius and the temperature at the sidewall of the canister 700 is about 65 degrees Celsius.
  • the power of the heating element 730 may be about 600 Watts at 208 VAC input.
  • the canister heater 730 may also include a cooling plate 720 positioned at the bottom of the canister heater 730 to further ensure that the coldest region of the canister 700 is the lower region 434, and thereby ensuring that the solid precursor materials 414 condense at the lower region 434.
  • the cooling plate 720 may also be annular in shape.
  • the valves 312, 314, the oil trap 450, the inlet port 406 and the exit port 408 may be heated with a resistive heating tape. Since the upper region 418 is configured to have a higher temperature than the lower region 434, the baffles 410 may be used to transfer heat from the upper region 418 to the lower region 434, thereby allowing the canister heater 730 to maintain the desired temperature gradient.
  • Figure 9 illustrates a cross sectional view of a plurality of silos 910 extending from the bottom portion 432 of the canister 700 to the upper region 418.
  • Figure 10 illustrates a top view of the silos 910 extending from the bottom portion 432 of the canister 700 to the upper region 418.
  • the silos 910 are configured to reduce the temperature gradient in the precursor materials 414, and thereby keeping the temperature inside the precursor materials 414 substantially uniform.
  • the silos 910 may extend from the bottom portion 432 to slightly above the upper surface of the precursor materials 414 and the liquid 416.
  • the silos 910 may be in the form of posts or fins.
  • the silos 910 may be made from a heat-conducting material, such as, stainless steel, aluminum and the like.
  • Figure 9 further illustrates an inlet tube 422 disposed in the interior volume 438 of the source canister 700.
  • the tube 422 is coupled by a first end 424 to the inlet port 406 of the source canister 700 and terminates at a second end 426 in the upper region 418 of the source canister 700.
  • the tube 422 injects the carrier gas into the upper region 418 of the source canister 700 at a location closer to the precursor materials 414 or the slurry 412.
  • the second end 426 may further may be adapted to direct the flow of gas toward the sidewall 402, thus preventing direct (linear or line of sight) flow of the gas through the canister 700 between the ports 406, 408, creating an extended mean flow path.
  • Figure 5 depicts a sectional view of another embodiment of a canister 500 for generating a process gas.
  • the canister 500 includes a sidewall 402, a lid 404 and a bottom 432 enclosing an interior volume 438. At least one of the lid 404 or sidewall 402 contains an inlet port 406 and an outlet port 408 for gas entry and egress.
  • the interior volume 438 of the canister 500 is split into an upper region 418 and a lower region 434.
  • Precursor materials 414 at least partially fill the lower region 434.
  • the precursor materials 414 may be in the form of a solid, liquid or slurry, and are adapted to generate a process gas by sublimation and/or vaporization.
  • a tube 502 is disposed in the interior volume 438 of the canister 500 and is adapted to direct a flow of gas within the canister 500 away from the precursor materials 414, advantageously preventing gas flowing out of the tube 502 from directly impinging the precursor materials 414 and causing particulates to become airborne and carried through the outlet port 408 and into the processing chamber 306.
  • the tube 502 is coupled at a first end 504 to the inlet port 406.
  • the tube 502 extends from the first end 504 to a second end 526A that is positioned in the upper region 418 above the precursor materials 414.
  • the second end 526A may be adapted to direct the flow of gas toward the sidewall 402, thus preventing direct (linear or line of sight) flow of the gas through the canister 500 between the ports 406, 408, creating an extended mean flow path.
  • an outlet 506 of the second end 526A of the tube 502 is oriented an angle of about 15 to about 90 degrees relative to a center axis 508 of the canister 500.
  • the tube 502 has a 'J'-shaped second end 526B that directs the flow of gas exiting the outlet 506 towards the lid 404 of the canister 500.
  • the tube 502 has a capped second end 526C having a plug or cap 510 closing the end of the tube 502. The capped second end 526C has at least one opening 528 formed in the side of the tube 502 proximate the cap 510.
  • Gas, exiting the openings 528, is typically directed perpendicular to the center axis 508 and away from the precursor materials 414 disposed in the lower region 434 of the canister 500.
  • at least one baffle 410 (shown in phantom) as described above may be disposed within the chamber 500 and utilized in tandem with any of the embodiments of the tube 502 described above.
  • the lower region 434 of the canister 500 is at least partially filled with a mixture of tungsten hexa-carbonyl and diffusion pump oil to form the slurry 412.
  • the slurry 412 is held at a pressure of about 5 Torr and is heated to a temperature in the range of about 40 to about 50 degrees Celsius by a resistive heater 430 located proximate to the canister 500.
  • a carrier gas in the form of argon is flowed through the inlet port 406 and the tube 502 into the upper region 418 at a rate of about 200 standard cc/min.
  • the second end 526A of the tube 502 directs the flow of the carrier gas in an extended mean flow path away from the outlet port 408, advantageously increasing the mean dwell time of the argon in the upper region 418 of the canister 500 and preventing direct flow of carrier gas upon the precursor materials 414 to minimize particulate generation.
  • the increased dwell time in the canister 500 advantageously increases the saturation level of sublimated tungsten hexa-carbonyl gas within the carrier gas while the decrease in particulate generation improves product yields, conserves source solids, and reduces downstream contamination.
  • FIG. 6 depicts a sectional view of another embodiment of a canister 600 for generating a precursor gas.
  • the canister 600 includes a sidewall 402, a lid 404 and a bottom 432 enclosing an interior volume 438. At least one of the lid 404 or sidewall 402 contains an inlet port 406 and an outlet port 408 for gas entry and egress.
  • Inlet and outlet ports 406, 408 are coupled to valves 312, 314 fitted with mating disconnect fittings 436A, 436B to facilitate removal of the canister 600 from the gas delivery system 304.
  • an oil trap 450 is coupled between the outlet port 408 and the valve 314 to capture any oil particulate that may be present in the gas flowing to the process chamber 306.
  • the interior volume 438 of the canister 600 is split into an upper region 418 and a lower region 434. Precursor materials 414 and a liquid 416 at least partially fill the lower region 434.
  • a tube 602 is disposed in the interior volume 438 of the canister 600 and is adapted to direct a first gas flow Fi within the canister 600 away from the precursor material and liquid mixture and to direct a second gas flow F 2 through the mixture.
  • the flow F-i is much greater than the flow F 2 .
  • the flow F 2 is configured to act as a bubbler, being great enough to agitate the precursor material and liquid mixture but not enough to cause particles or droplets of the precursor materials 414 or liquid 416 from becoming airborne.
  • this embodiment advantageously agitates the precursor material and liquid mixture while minimizing particulates produced due to direct impingement of the gas flowing out of the tube 602 on the precursor materials 414 from becoming airborne and carried through the outlet port 408 and into the processing chamber 306.
  • the tube 602 is coupled at a first end 604 to the inlet port 406.
  • the tube 602 extends from the first end 604 to a second end 606 that is positioned in the lower region 434 of the canister 600, within the precursor material and liquid mixture.
  • the tube 602 has an opening 608 disposed in the upper region 418 of the canister 600 that directs the first gas flow F-i towards a sidewall 402 of the canister 600.
  • the tube 600 has a restriction 610 disposed in the upper region 438 of the canister 600 located below the opening 608. The restriction 610 serves to decrease the second gas flow F 2 flowing toward the second end 606 of the tube 602 and into the slurry 412.
  • the relative rates of the first and second gas flows Fi and F 2 can be regulated. This regulation serves at least two purposes. First, the second gas flow F 2 can be minimized to provide just enough agitation to maintain suspension or mixing of the precursor materials 414 in the liquid 416 while minimizing particulate generation and potential contamination of the processing chamber 306. Second, the first gas flow F-i can be regulated to maintain the overall flow volume necessary to provide the required quantity of sublimated and/or vapors from the precursor materials 414 to the processing chamber 306.
  • an at least one baffle 410 as described above may be disposed within the canister 600 and utilized in tandem with any of the embodiments of the tube 602 described above.

Abstract

Embodiments of the present invention are directed to an apparatus for generating a precursor for a semiconductor processing system (320). The apparatus includes a canister (300) having a sidewall (402), a top portion and a bottom portion. The canister (300) defines an interior volume (438) having an upper region (418) and a lower region (434). In one embodiment, the apparatus further includes a heater (430) partially surrounding the canister (300). The heater (430) creates a temperature gradient between the upper region (418) and the lower region (434). Also claimed is a method of forming a barrier layer from purified pentakis (dimethylamido) tantalum, for example a tantalum nitride barrier layer by atomic layer deposition.

Description

METHOD AND APPARATUS FOR GENERATING A PRECURSOR FOR A SEMICONDUCTOR PROCESSING SYSTEM
BACKGROUND OF THE INVENTION Field of the Invention
[0001] The present invention generally relates to depositing a barrier layer on a semiconductor substrate. Description of the Related Art
[0002] Reliably producing sub-micron and smaller features is one of the key technologies for the next generation of very large scale integration (VLSI) and ultra large scale integration (ULSl) of semiconductor devices. However, as the fringes of circuit technology are pressed, the shrinking dimensions of interconnects in VLSI and ULSl technology have placed additional demands on the processing capabilities. The multilevel interconnects that lie at the heart of this technology require precise processing of high aspect ratio features, such as vias and other interconnects. Reliable formation of these interconnects is very important to VLSI and ULSl success and to the continued effort to increase circuit density and quality of individual substrates.
[0003] As circuit densities increase, the widths of vias, contacts and other features, as well as the dielectric materials between them, decrease to sub-micron dimensions (e.g., less than about 0.20 micrometers or less), whereas the thickness of the dielectric layers remains substantially constant, with the result that the aspect ratios for the features, i.e., their height divided by width, increase. Many traditional deposition processes have difficulty filling sub-micron structures where the aspect ratio exceeds 4:1 , and particularly where the aspect ratio exceeds 10:1. Therefore, there is a great amount of ongoing effort being directed at the formation of substantially void-free and seam-free sub-micron features having high aspect ratios.
[0004] Currently, copper and its alloys have become the metals of choice for sub- micron interconnect technology because copper has a lower resistivity than aluminum, (about 1.7 μΩ-cm compared to about 3.1 μΩ-cm for aluminum), and a higher current carrying capacity and significantly higher electromigration resistance. These characteristics are important for supporting the higher current densities experienced at high levels of integration and increased device speed. Further, copper has a good thermal conductivity and is available in a highly pure state.
[0005] Copper metallization can be achieved by a variety of techniques. A typical method generally includes physical vapor depositing a barrier layer over a feature, physical vapor depositing a copper seed layer over the barrier layer, and then electroplating a copper conductive material layer over the copper seed layer to fill the feature. Finally, the deposited layers and the dielectric layers are planarized, such as by chemical mechanical polishing (CMP), to define a conductive interconnect feature. [0006] However, one problem with the use of copper is that copper diffuses into silicon, silicon dioxide, and other dielectric materials which may compromise the integrity of devices. Therefore, conformal barrier layers become increasingly important to prevent copper diffusion. Tantalum nitride has been used as a barrier material to prevent the diffusion of copper into underlying layers. However, the chemicals used in the barrier layer deposition, such as pentakis(dimethylamido) tantalum (PDMAT; Ta[NH2(CH3)2]5), may include impurities that cause defects in the fabrication of semiconductor devises and reduce process yields. Therefore, there exists a need for a method of depositing a barrier layer from a high-purity precursor. SUMMARY OF THE INVENTION
[0007] Embodiments of the present invention are directed to an apparatus for generating a precursor for a semiconductor processing system. The apparatus includes a canister having a sidewall, a top portion and a bottom portion. The canister defines an interior volume having an upper region and a lower region. The apparatus further includes a heater surrounding the canister. The heater creates a temperature gradient between the upper region and the lower region. BRIEF DESCRIPTION OF THE DRAWINGS
[0008] So that the manner in which the above recited features of the present invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof, which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention, and are therefore, not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
[0009] Figure 1 is a schematic cross-sectional view of one embodiment of a barrier layer formed over a substrate by atomic layer deposition (ALD). [0010] Figures 2A-2C illustrate one embodiment of the alternating chemisorption of monolayers of a tantalum containing compound and a nitrogen containing compound on an exemplary portion of substrate.
[0011] Figure 3 is a schematic cross-sectional view of one exemplary embodiment of a processing system that may be used to form one or more barrier layers by atomic layer deposition.
[0012] Figure 4A is a sectional side view of one embodiment of a gas generation canister;
[0013] Figure 4B is a sectional top view of the gas generation canister of Figure 4A;
[0014] Figure 5 is a sectional view of another embodiment of a gas generation canister; and
[0015] Figure 6 is a sectional side view of another embodiment of a gas generation canister.
[0016] Figure 7 illustrates a sectional view of a canister surrounded by a canister heater in accordance with one embodiment of the invention.
[0017] Figure 8 illustrates a sectional view of a canister containing a plurality of solid particles in accordance with one embodiment of the invention.
[0018] Figure 9 illustrates a cross sectional view of a plurality of silos extending from the bottom portion of the canister to the upper region in accordance with one embodiment of the invention.
[0019] Figure 10 illustrates a top view of a plurality of silos extending from the bottom portion of the canister to the upper region in accordance with one embodiment of the invention.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
[0020] Figure 1 is a schematic cross-sectional view of one embodiment of a substrate 100 having a dielectric layer 102 and a barrier layer 104 deposited thereon.
Depending on the processing stage, the substrate 100 may be a silicon semiconductor substrate, or other material layer, which has been formed on the substrate. The dielectric layer 102 may be an oxide, a silicon oxide, carbon-silicon-oxide, a fluoro- silicon, a porous dielectric, or other suitable dielectric formed and patterned to provide a contact hole or via 102H extending to an exposed surface portion 102T of the substrate 100. For purposes of clarity, the substrate 100 refers to any work piece upon which film processing is performed, and a substrate structure 150 is used to denote the substrate 100 as well as other material layers formed on the substrate 100, such as the dielectric layer 102. It is also understood by those with skill in the art that the present invention may be used in a dual damascene process flow. The barrier layer 104 is formed over the substrate structure 150 of Figure 1A by atomic layer deposition (ALD). Preferably, the barrier layer includes a tantalum nitride layer.
[0021] In one aspect, atomic layer deposition of a tantalum nitride barrier layer includes sequentially providing a tantalum containing compound and a nitrogen- containing compound to a process chamber. Sequentially providing a tantalum containing compound and a nitrogen-containing compound may result in the alternating chemisorption of monolayers of a tantalum-containing compound and of monolayers of a nitrogen-containing compound on the substrate structure 150. [0022] Figures 2A-2C illustrate one embodiment of the alternating chemisorption of monolayers of a tantalum containing compound and a nitrogen containing compound on an exemplary portion of substrate 200 in a stage of integrated circuit fabrication, and more particularly at a stage of barrier layer formation. In Figure 2A, a monolayer of a tantalum containing compound is chemisorbed on the substrate 200 by introducing a pulse of the tantalum containing compound 205 into a process chamber. [0023] The tantalum containing compound 205 typically includes tantalum atoms 210 with one or more reactive species 215. In one embodiment, the tantalum containing compound is pentadimethylamino-tantalum (PDMAT; Ta(NMe2)5). PDMAT may be used to advantage for a number of reasons. PDMAT is relatively stable. In addition, PDMAT has an adequate vapor pressure which makes it easy to deliver. In particular, PDMAT may be produced with a low halide content. The halide content of PDMAT should be produced with a halide content of less than 100 ppm. Not wishing to be bound by theory, it is believed that an organo-metallic precursor with a low halide content is beneficial because halides (such as chlorine) incorporated in the barrier layer may attack the copper layer deposited thereover.
[0024] Thermal decomposition of the PDMAT during production may cause impurities in the PDMAT product, which is subsequently used to form the tantalum nitride barrier layer. The impurities may include compounds such as CH3NTa(N(CH3)2)3 and ((CH3)2N)3Ta(NCH2CH3). In addition, reactions with moisture may result in tantalum oxo amide compounds in the PDMAT product. Preferably, the tantalum oxo amide compounds are removed from the PDMAT by sublimation. For example, the tantalum oxo amide compounds are removed in a bubbler. The PDMAT product preferably has less than about 5 ppm of chlorine. In addition, the levels of lithium, iron, fluorine, bromine and iodine should be minimized. Most preferably, the total level of impurities is less than about 5 ppm.
[0025] The tantalum containing compound may be provided as a gas or may be provided with the aid of a carrier gas. Examples of carrier gases which may be used include, but are not limited to, helium (He), argon (Ar), nitrogen (N2), and hydrogen (H2).
[0026] After the monolayer of the tantalum containing compound is chemisorbed onto the substrate 200, excess tantalum containing compound is removed from the process chamber by introducing a pulse of a purge gas thereto. Examples of purge gases which may be used include, but are not limited to, helium (He), argon (Ar), nitrogen (N2), hydrogen (H2), and other gases.
[0027] Referring to Figure 2B, after the process chamber has been purged, a pulse of a nitrogen containing compound 225 is introduced into the process chamber. The nitrogen containing compound 225 may be provided alone or may be provided with the aid of a carrier gas. The nitrogen containing compound 225 may comprise nitrogen atoms 230 with one or more reactive species 235. The nitrogen containing compound preferably includes ammonia gas (NH3). Other nitrogen containing compounds may be used which include, but are not limited to, NxHy with x and y being integers (e.g., hydrazine (N2H4)), dimethyl hydrazine ((CH3)2N2H2), t-butylhydrazine (C4H9N2H3) phenylhydrazine (C6H5N2H3), other hydrazine derivatives, a nitrogen plasma source (e.g., N2, N2/H2, NH3, or a N2H4 plasma), 2,2'-azoisobutane ((CH3)6C2N2), ethylazide (C2H5N3), and other suitable gases. A carrier gas may be used to deliver the nitrogen containing compound if necessary.
[0028] A monolayer of the nitrogen containing compound 225 may be chemisorbed on the monolayer of the tantalum containing compound 205. The composition and structure of precursors on a surface during atomic-layer deposition (ALD) is not precisely known. Not wishing to be bound by theory, it is believed that the chemisorbed monolayer of the nitrogen containing compound 225 reacts with the monolayer of the tantalum containing compound 205 to form a tantalum nitride layer 209. The reactive species 215, 235 form by-products 240 that are transported from the substrate surface by the vacuum system. [0029] After the monolayer of the nitrogen containing compound 225 is chemisorbed on the monolayer of the tantalum containing compound, any excess nitrogen containing compound is removed from the process chamber by introducing another pulse of the purge gas therein. Thereafter, as shown in Figure 2C, the tantalum nitride layer deposition sequence of alternating chemisorption of monolayers of the tantalum containing compound and of the nitrogen containing compound may be repeated, if necessary, until a desired tantalum nitride thickness is achieved. [0030] In Figures 2A-2C, the tantalum nitride layer formation is depicted as starting with the chemisorption of a monolayer of a tantalum containing compound on the substrate followed by a monolayer of a nitrogen containing compound. Alternatively, the tantalum nitride layer formation may start with the chemisorption of a monolayer of a nitrogen containing compound on the substrate followed by a monolayer of the tantalum containing compound. Furthermore, in an alternative embodiment, a pump evacuation alone between pulses of reactant gases may be used to prevent mixing of the reactant gases.
[0031] The time duration for each pulse of the tantalum containing compound, the nitrogen containing compound, and the purge gas is variable and depends on the volume capacity of a deposition chamber employed as well as a vacuum system coupled thereto. For example, (1) a lower chamber pressure of a gas will require a longer pulse time; (2) a lower gas flow rate will require a longer time for chamber pressure to rise and stabilize requiring a longer pulse time; and (3) a large-volurhe chamber will take longer to fill and will take longer for chamber pressure to stabilize thus requiring a longer pulse time. Similarly, time between each pulse is also variable and depends on volume capacity of the process chamber as well as the vacuum system coupled thereto. In general, the time duration of a pulse of the tantalum containing compound or the nitrogen containing compound should be long enough for chemisorption of a monolayer of the compound. In general, the pulse time of the purge gas should be long enough to remove the reaction by-products and/or any residual materials remaining in the process chamber.
[0032] Generally, a pulse time of about 1.0 second or less for a tantalum containing compound and a pulse time of about 1.0 second or less for a nitrogen containing compound are typically sufficient to chemisorb alternating monolayers on a substrate. A pulse time of about 1.0 second or less for a purge gas is typically sufficient to remove reaction by-products as well as any residual materials remaining in the process chamber. Of course, a longer pulse time may be used to ensure chemisorption of the tantalum containing compound and the nitrogen containing compound and to ensure removal of the reaction by-products.
[0033] During atomic layer deposition, the substrate may be maintained approximately below a thermal decomposition temperature of a selected tantalum containing compound. An exemplary heater temperature range to be used with tantalum containing compounds identified herein is approximately between about 20°C and about 500 °C at a chamber pressure less than about 100 torr, preferably less than 50 torr. When the tantalum containing gas is PDMAT, the heater temperature is preferably between about 100 °C and about 300 °C, more preferably between about 175 °C and 250 °C. In other embodiments, it should be understood that other temperatures may be used. For example, a temperature above a thermal decomposition temperature may be used. However, the temperature should be selected so that more than 50 percent of the deposition activity is by chemisorption processes. In another example, a temperature above a thermal decomposition temperature may be used in which the amount of decomposition during each precursor deposition is limited so that the growth mode will be similar to an atomic layer deposition growth mode.
[0034] One exemplary process of depositing a tantalum nitride layer by atomic layer deposition in a process chamber includes sequentially providing pentadimethylamino- tantalum (PDMAT) at a flow rate between about 100 seem and about 1000 seem, and preferably between about 200 seem and 500 seem, for a time period of about 1.0 second or less, providing ammonia at a flow rate between about 100 seem and about 1000 seem, preferably between about 200 seem and 500 seem, for a time period of about 1.0 second or less, and a purge gas at a flow rate between about 100 seem and about 1000 seem, preferably between about 200 seem and 500 seem for a time period of about 1.0 second or less. The heater temperature preferably is maintained between about 100°C and about 300°C at a chamber pressure between about 1.0 and about 5.0 torr. This process provides a tantalum nitride layer in a thickness between about 0.5 A and about 1.0 A per cycle. The alternating sequence may be repeated until a desired thickness is achieved. [0035] Figure 3 is a schematic cross-sectional view of one exemplary embodiment of a processing system 320 that may be used to form one or more barrier layers by atomic layer deposition in accordance with aspects of the present invention. Of course, other processing systems may also be used.
[0036] The processing system 320 generally includes a processing chamber 306 coupled to a gas delivery system 304. The processing chamber 306 may be any suitable processing chamber, for example, those available from Applied Materials, Inc. located in Santa Clara, California. Exemplary processing chambers include DPS CENTURA® etch chambers, PRODUCER® chemical vapor deposition chambers, and ENDURA® physical vapor deposition chambers, among others. [0037] The gas delivery system 304 generally controls the rate and pressure at which various process and inert gases are delivered to the processing chamber 306. The number and types of process and other gases delivered to the processing chamber 306 are generally selected based on the process to be performed in the processing chamber 306 coupled thereto. Although for simplicity a single gas delivery circuit is depicted in the gas delivery system 304 shown in Figure 3, it is contemplated that additional gas delivery circuits may be utilized.
[0038] The gas delivery system 304 is generally coupled between a carrier gas source 302 and the processing chamber 306. The carrier gas source 302 may be a local or remote vessel or a centralized facility source that supplies the carrier gas throughout the facility. The carrier gas source 302 typically supplies a carrier gas such as argon, nitrogen, helium or other inert or non-reactive gas.
[0039] The gas delivery system 304 typically includes a flow controller 310 coupled between the carrier gas source 302 and a process gas source canister 300. The flow controller 310 may be a proportional valve, modulating valve, needle valve, regulator, mass flow controller or the like. One flow controller 310 that may be utilized is available from Sierra Instruments, Inc., located in Monterey, California. [0040] The source canister 300 is typically coupled to and located between a first and a second valve 312, 314. In one embodiment, the first and second valves 312, 314 are coupled to the source canister 300 and fitted with disconnect fittings (not shown) to facilitate removal of the valves 312, 314 with the source canister 300 from the gas delivery system 304. A third valve 316 is disposed between the second valve 314 and the processing chamber 306 to prevent introduction of contaminates into the processing chamber 306 after removal of the source canister 300 from the gas delivery system 304.
[0041] Figures 4A and 4B depict sectional views of one embodiment of the source canister 300. The source canister 300 generally comprises an ampoule or other sealed container having a housing 420 that is adapted to hold precursor materials 414 from which a process (or other) gas may be generated through a sublimation or vaporization process. Some solid precursor materials 414 that may generate a process gas in the source canister 300 through a sublimation process include xenon difluoride, nickel carbonyl, tungsten hexa-carbonyl, and pentakis (dimethylamino) tantalum (PDMAT), among others. Some liquid precursor materials 414 that may generate a process gas in the source canister 300 through a vaporization process include tetrakis (dimethylamino) titanium (TDMAT), tertbutyliminotris (diethylamino) tantalum (TBTDET), and pentakis (ethylmethylamino) tantalum (PEMAT), among others. The housing 420 is generally fabricated from a material substantially inert to the precursor materials 414 and gas produced therefrom, and thus, the material of construction may vary based on gas being produced.
[0042] The housing 420 may have any number of geometric forms. In the embodiment depicted in Figures 4A and 4B, the housing 420 comprises a cylindrical sidewall 402 and a bottom 432 sealed by a lid 404. The lid 404 may be coupled to the sidewall 402 by welding, bonding, adhesives, or other leak-tight method. Alternately, the joint between the sidewall 402 and the lid 404 may have a seal, o-ring, gasket, or the like, disposed therebetween to prevent leakage from the source canister 300. The sidewall 402 may alternatively comprise other hollow geometric forms, for example, a hollow square tube.
[0043] An inlet port 406 and an outlet port 408 are formed through the source canister to allow gas flow into and out of the source canister 300. The ports 406, 408 may be formed through the lid 404 and/or sidewall 402 of the source canister 300. The ports 406, 408 are generally sealable to allow the interior of the source canister 300 to be isolated from the surrounding environment during removal of the source canister 300 from the gas delivery system 304. In one embodiment, valves 312, 314 are sealingly coupled to ports 406, 408 to prevent leakage from the source canister 300 when removed from the gas delivery system 304 (shown in Figure 3) for recharging of the precursor material 414 or replacement of the source canister 300. Mating disconnect fittings 436A, 436B may be coupled to valves 312, 314 to facilitate removal and replacement of the source canister 300 to and from the gas delivery system 304. Valves 312,-314 are typically ball valves or other positive sealing valves that allows the source canister 300 to be removed from the system efficiently loaded and recycled while minimizing potential leakage from the source canister 300 during filling, transport, or coupling to the gas delivery system 304. Alternatively, the source canister 300 can be refilled through a refill port (not shown) such as a small tube with a VCR fitting disposed on the lid 404 of the source canister 300.
[0044] The source canister 300 has an interior volume 438 having an upper region 418 and a lower region 434. The lower region 434 of source canister 300 is at least partially filled with the precursor materials 414. Alternately, a liquid 416 may be added to a solid precursor material 414 to form a slurry 412. The precursor materials 414, the liquid 416, or the premixed slurry 412 may be introduced into source canister 300 by removing the lid 404 or through one of the ports 406, 408. The liquid 416 is selected such that the liquid 416 is non-reactive with the precursor materials 414, that the precursor materials 414 are insoluble therein, that the liquid 416 has a negligible vapor pressure compared to the precursor materials 414, and that the ratio of the vapor pressure of the solid precursor material 414, e.g., tungsten hexa-carbonyl, to that of the liquid 416 is greater than 103.
[0045] Precursor materials 414 mixed with the liquid 416 may be sporadically agitated to keep the precursor materials 414 suspended in the liquid 416 in the slurry 412. In one embodiment, precursor materials 414 and the liquid 416 are agitated by a magnetic stirrer 440. The magnetic stirrer 440 includes a magnetic motor 442 disposed beneath the bottom 432 of the source canister 300 and a magnetic pill 444 disposed in the lower region 434 of the source canister 300. The magnetic motor 442 operates to rotate the magnetic pill 444 within the source canister 300, thereby mixing the slurry 412. The magnetic pill 444 should have an outer coating of material that is a non-reactive with the precursor materials 414, the liquid 416, or the source canister 300. Suitable magnetic mixers are commercially available. One example of a suitable magnetic mixer is IKAMAG® REO available from IKA® Works in Wilmington, North Carolina. Alternatively, the slurry 412 may be agitated other means, such as by a mixer, a bubbler, or the like.
[0046] The agitation of the liquid 416 may induce droplets of the liquid 416 to become entrained in the carrier gas and carried toward the processing chamber 306. To prevent such droplets of liquid 416 from reaching the processing chamber 306, an oil trap 450 may optionally be coupled to the exit port 408 of the source canister 300. The oil trap 450 includes a body 452 containing a plurality of interleaved baffles 454 which extend past a centerline 456 of the oil trap body 452 and are angled at least slightly downward towards the source canister 300. The baffles 454 force the gas flowing towards the processing chamber 306 to flow a tortuous path around the baffles 454. The surface area of the baffles 454 provides a large surface area exposed to the flowing gas to which oil droplets that may be entrained in the gas adhere. The downward angle of the baffles 454 allows any oil accumulated in the oil trap to flow downward and back into the source canister 300.
[0047] The source canister 300 includes at least one baffle 410 disposed within the upper region 418 of the source canister 300. The baffle 410 is disposed between inlet port 406 and outlet port 408, creating an extended mean flow path, thereby preventing direct (i.e., straight line) flow of the carrier gas from the inlet port 406 to the outlet port 408. This has the effect of increasing the mean dwell time of the carrier gas in the source canister 300 and increasing the quantity of sublimated or vaporized precursor gas carried by the carrier gas. Additionally, the baffles 410 direct the carrier gas over the entire exposed surface of the precursor material 414 disposed in the source canister 300, ensuring repeatable gas generation characteristics and efficient consumption of the precursor materials 414.
[0048] The number, spacing and shape of the baffles 410 may be selected to tune the source canister 300 for optimum generation of precursor gas. For example, a greater number of baffles 410 may be selected to impart higher carrier gas velocities at the precursor material 414 or the shape of the baffles 410 may be configured to control the consumption of the precursor material 414 for more efficient usage of the precursor material.
[0049] The baffle 410 may be attached to the sidewall 402 or the lid 404, or the baffle 410 may be a prefabricated insert designed to fit within the source canister 300. In one embodiment, the baffles 410 disposed in the source canister 300 comprise five rectangular plates fabricated of the same material as the sidewall 402. Referring to Figure 4B, the baffles 410 are welded or otherwise fastened to the sidewall 402 parallel to each other. The baffles 410 are interleaved, fastened to opposing sides of the source canister in an alternating fashion, such that a serpentine extended mean flow path is created. Furthermore, the baffles 410 are situated between the inlet port 406 and the outlet port 408 on the lid 404 when placed on the sidewall 402 and are disposed such that there is no air space between the baffles 410 and the lid 404. The baffles 410 additionally extend at least partially into the lower region 434 of the source canister 300, thus defining an extended mean flow path for the carrier gas flowing through the upper region 418.
[0050] Optionally, an inlet tube 422 may be disposed in the interior volume 438 of the source canister 300. The tube 422 is coupled by a first end 424 to the inlet port 406 of the source canister 300 and terminates at a second end 426 in the upper region 418 of the source canister 300. The tube 422 injects the carrier gas into the upper region 418 of the source canister 300 at a location closer to the precursor materials 414 or the slurry 412.
[0051] The precursor materials 414 generate a precursor gas at a predefined temperature and pressure. Sublimating or vaporized gas from the precursor materials 414 accumulate in the upper region 418 of the source canister 300 and are swept out by an inert carrier gas entering through inlet port 406 and exiting outlet port 408 to be carried to the processing chamber 306. In one embodiment, the precursor materials 414 are heated to a predefined temperature by a resistive heater 430 disposed proximate to the sidewall 402. Alternately, the precursor materials 414 may be heated by other means, such as by a cartridge heater (not shown) disposed in the upper region 418 or the lower region 434 of the source canister 300 or by preheating the carrier gas with a heater (not shown) placed upstream of the carrier gas inlet port 406. To maximize uniform heat distribution throughout the slurry 412, the liquid 416 and the baffles 410 should be good conductors of heat.
[0052] In accordance with yet another embodiment of the invention, a plurality of solid beads or particles 810 with high thermal conductivity, such as, aluminum nitride or boron nitride, may be used in lieu of the liquid 416, as shown in Figure 8. Such solid particles 810 may be used to transfer more heat from the sidewall of the canister 800 to the precursor materials 414 than the liquid 416. The solid particles 810 have the same properties as the liquid 416 in that they are non-reactive with the precursor materials 414, insoluble, have a negligible vapor pressure compared to the precursor materials 414. As such, the solid particles 810 are configured to efficiently transfer heat from the sidewall of the canister 800 to the center portion of the canister 800, thereby leading to more precursor material utilization during sublimation or vaporization. The solid particles 810 may also be degassed and cleaned from contaminants, water vapor and the like, prior to being deposited into the canister 800. [0053] In one exemplary mode of operation, the lower region 434 of the source canister 300 is at least partially filled with a mixture of tungsten hexa-carbonyl and diffusion pump oil to form the slurry 412. The slurry 412 is held at a pressure of about 5 Torr and is heated to a temperature in the range of about 40 degrees Celsius to about 50 degrees Celsius by a resistive heater 430 located proximate to the source canister 300. Carrier gas in the form of argon is flowed through inlet port 406 into the upper region 418 at a rate of about 400 standard cc/min. The argon flows in an extended mean flow path defined by the torturous path through the baffles 410 before exiting the source canister 300 through outlet port 408, advantageously increasing the mean dwell time of the argon in the upper region 418 of the source canister 300. The increased dwell time in the source canister 300 advantageously increases the saturation level of sublimated tungsten hexa-carbonyl vapors within the carrier gas. Moreover, the torturous path through the baffles 410 advantageously exposes the substantially all of the exposed surface area of the precursor material 414 to the carrier gas flow for uniform consumption of the precursor material 414 and generation of the precursor gas.
[0054] Figure 7 illustrates another embodiment for heating the precursor materials 414. More specifically, Figure 7 illustrates a sectional view of a canister 700 surrounded by a canister heater 730, which is configured to create a temperature gradient between a lower region 434 of the canister 700 and an upper region 418 of the canister 700 with the lower region 434 being the coldest region and the upper region 418 being the hottest region. The temperature gradient may range from about 5 degrees Celsius to about 15 degrees Celsius. Since solid precursor materials generally tend to accumulate or condense at the coldest region of the canister 700, the canister heater 730 is configured to ensure that the solid precursor materials 414 will accumulate at the lower region 434 of the canister 700, thereby increasing the predictability of where the solid precursor materials 414 will condense and the temperature of the solid precursor materials 414. The canister heater 730 includes a heating element 750 disposed inside the canister heater 730 such that the entire canister 700, including the upper region 418 and the lower region 434, is heated by the canister heater 730. The heating element 750 near the upper region 418 may be configured to generate more heat than the heating element 750 near the lower region 434, thereby allowing the canister heater 730 to create the temperature gradient between the lower region 434 and the upper region 418. In one embodiment, the heating element 750 is configured such that the temperature at the upper region 418 is between about 5 degrees to about 15 degrees Celsius higher than the temperature at the lower region 434. In another embodiment, the heating element 750 is configured such that the temperature at the upper region 418 is about 70 degrees Celsius, the temperature at the lower region 434 is about 60 degrees Celsius and the temperature at the sidewall of the canister 700 is about 65 degrees Celsius. The power of the heating element 730 may be about 600 Watts at 208 VAC input. [0055] The canister heater 730 may also include a cooling plate 720 positioned at the bottom of the canister heater 730 to further ensure that the coldest region of the canister 700 is the lower region 434, and thereby ensuring that the solid precursor materials 414 condense at the lower region 434. The cooling plate 720 may also be annular in shape. Further, the valves 312, 314, the oil trap 450, the inlet port 406 and the exit port 408 may be heated with a resistive heating tape. Since the upper region 418 is configured to have a higher temperature than the lower region 434, the baffles 410 may be used to transfer heat from the upper region 418 to the lower region 434, thereby allowing the canister heater 730 to maintain the desired temperature gradient. [0056] Figure 9 illustrates a cross sectional view of a plurality of silos 910 extending from the bottom portion 432 of the canister 700 to the upper region 418. Figure 10 illustrates a top view of the silos 910 extending from the bottom portion 432 of the canister 700 to the upper region 418. The silos 910 are configured to reduce the temperature gradient in the precursor materials 414, and thereby keeping the temperature inside the precursor materials 414 substantially uniform. The silos 910 may extend from the bottom portion 432 to slightly above the upper surface of the precursor materials 414 and the liquid 416. The silos 910 may be in the form of posts or fins. The silos 910 may be made from a heat-conducting material, such as, stainless steel, aluminum and the like.
[0057] Figure 9 further illustrates an inlet tube 422 disposed in the interior volume 438 of the source canister 700. The tube 422 is coupled by a first end 424 to the inlet port 406 of the source canister 700 and terminates at a second end 426 in the upper region 418 of the source canister 700. The tube 422 injects the carrier gas into the upper region 418 of the source canister 700 at a location closer to the precursor materials 414 or the slurry 412. The second end 426 may further may be adapted to direct the flow of gas toward the sidewall 402, thus preventing direct (linear or line of sight) flow of the gas through the canister 700 between the ports 406, 408, creating an extended mean flow path.
[0058] Figure 5 depicts a sectional view of another embodiment of a canister 500 for generating a process gas. The canister 500 includes a sidewall 402, a lid 404 and a bottom 432 enclosing an interior volume 438. At least one of the lid 404 or sidewall 402 contains an inlet port 406 and an outlet port 408 for gas entry and egress. The interior volume 438 of the canister 500 is split into an upper region 418 and a lower region 434. Precursor materials 414 at least partially fill the lower region 434. The precursor materials 414 may be in the form of a solid, liquid or slurry, and are adapted to generate a process gas by sublimation and/or vaporization.
[0059] A tube 502 is disposed in the interior volume 438 of the canister 500 and is adapted to direct a flow of gas within the canister 500 away from the precursor materials 414, advantageously preventing gas flowing out of the tube 502 from directly impinging the precursor materials 414 and causing particulates to become airborne and carried through the outlet port 408 and into the processing chamber 306. The tube 502 is coupled at a first end 504 to the inlet port 406. The tube 502 extends from the first end 504 to a second end 526A that is positioned in the upper region 418 above the precursor materials 414. The second end 526A may be adapted to direct the flow of gas toward the sidewall 402, thus preventing direct (linear or line of sight) flow of the gas through the canister 500 between the ports 406, 408, creating an extended mean flow path.
[0060] In one embodiment, an outlet 506 of the second end 526A of the tube 502 is oriented an angle of about 15 to about 90 degrees relative to a center axis 508 of the canister 500. In another embodiment, the tube 502 has a 'J'-shaped second end 526B that directs the flow of gas exiting the outlet 506 towards the lid 404 of the canister 500. In another embodiment, the tube 502 has a capped second end 526C having a plug or cap 510 closing the end of the tube 502. The capped second end 526C has at least one opening 528 formed in the side of the tube 502 proximate the cap 510. Gas, exiting the openings 528, is typically directed perpendicular to the center axis 508 and away from the precursor materials 414 disposed in the lower region 434 of the canister 500. Optionally, at least one baffle 410 (shown in phantom) as described above may be disposed within the chamber 500 and utilized in tandem with any of the embodiments of the tube 502 described above.
[0061] In one exemplary mode of operation, the lower region 434 of the canister 500 is at least partially filled with a mixture of tungsten hexa-carbonyl and diffusion pump oil to form the slurry 412. The slurry 412 is held at a pressure of about 5 Torr and is heated to a temperature in the range of about 40 to about 50 degrees Celsius by a resistive heater 430 located proximate to the canister 500. A carrier gas in the form of argon is flowed through the inlet port 406 and the tube 502 into the upper region 418 at a rate of about 200 standard cc/min. The second end 526A of the tube 502 directs the flow of the carrier gas in an extended mean flow path away from the outlet port 408, advantageously increasing the mean dwell time of the argon in the upper region 418 of the canister 500 and preventing direct flow of carrier gas upon the precursor materials 414 to minimize particulate generation. The increased dwell time in the canister 500 advantageously increases the saturation level of sublimated tungsten hexa-carbonyl gas within the carrier gas while the decrease in particulate generation improves product yields, conserves source solids, and reduces downstream contamination.
[0062] Figure 6 depicts a sectional view of another embodiment of a canister 600 for generating a precursor gas. The canister 600 includes a sidewall 402, a lid 404 and a bottom 432 enclosing an interior volume 438. At least one of the lid 404 or sidewall 402 contains an inlet port 406 and an outlet port 408 for gas entry and egress. Inlet and outlet ports 406, 408 are coupled to valves 312, 314 fitted with mating disconnect fittings 436A, 436B to facilitate removal of the canister 600 from the gas delivery system 304. Optionally, an oil trap 450 is coupled between the outlet port 408 and the valve 314 to capture any oil particulate that may be present in the gas flowing to the process chamber 306.
[0063] The interior volume 438 of the canister 600 is split into an upper region 418 and a lower region 434. Precursor materials 414 and a liquid 416 at least partially fill the lower region 434. A tube 602 is disposed in the interior volume 438 of the canister 600 and is adapted to direct a first gas flow Fi within the canister 600 away from the precursor material and liquid mixture and to direct a second gas flow F2 through the mixture. The flow F-i is much greater than the flow F2. The flow F2 is configured to act as a bubbler, being great enough to agitate the precursor material and liquid mixture but not enough to cause particles or droplets of the precursor materials 414 or liquid 416 from becoming airborne. Thus, this embodiment advantageously agitates the precursor material and liquid mixture while minimizing particulates produced due to direct impingement of the gas flowing out of the tube 602 on the precursor materials 414 from becoming airborne and carried through the outlet port 408 and into the processing chamber 306.
[0064] The tube 602 is coupled at a first end 604 to the inlet port 406. The tube 602 extends from the first end 604 to a second end 606 that is positioned in the lower region 434 of the canister 600, within the precursor material and liquid mixture. The tube 602 has an opening 608 disposed in the upper region 418 of the canister 600 that directs the first gas flow F-i towards a sidewall 402 of the canister 600. The tube 600 has a restriction 610 disposed in the upper region 438 of the canister 600 located below the opening 608. The restriction 610 serves to decrease the second gas flow F2 flowing toward the second end 606 of the tube 602 and into the slurry 412. By adjusting the amount of the restriction, the relative rates of the first and second gas flows Fi and F2 can be regulated. This regulation serves at least two purposes. First, the second gas flow F2 can be minimized to provide just enough agitation to maintain suspension or mixing of the precursor materials 414 in the liquid 416 while minimizing particulate generation and potential contamination of the processing chamber 306. Second, the first gas flow F-i can be regulated to maintain the overall flow volume necessary to provide the required quantity of sublimated and/or vapors from the precursor materials 414 to the processing chamber 306.
[0065] Optionally, an at least one baffle 410 as described above may be disposed within the canister 600 and utilized in tandem with any of the embodiments of the tube 602 described above.
[0066] While foregoing is directed to the preferred embodiment of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims

What is claimed is:
1. A method of filling one or more features on a substrate, comprising: depositing a barrier layer on the substrate, the barrier layer being formed from purified pentakis(dimethylamido)tantalum having less than about 5 ppm impurities; depositing a seed layer over the barrier layer; and depositing a conductive layer over the seed layer.
2. The method of claim 1 , further comprising subliming pentakis(dimethylamido)tantalum to remove at least a portion of tantalum oxo amides and form the purified pentakis(dimethylamido)tantalum.
3. The method of claim 1 , wherein the conductive layer comprises copper.
4. The method of claim 1 , wherein the barrier layer is formed by atomic layer deposition.
5. The method of claim 1 , wherein the impurities are selected from the group consisting of chlorine, lithium, iron, fluorine, bromine, iodine, and combinations thereof.
6. The method of claim 1 , wherein depositing a barrier layer from purified pentakis(dimethylamido)tantalum results in a conductive layer having fewer defects than a conductive layer formed over a barrier layer formed from unpurified pentakis(dimethylamido)tantalum.
7. A method of depositing a tantalum nitride barrier layer on a substrate, comprising: introducing purified pentakis(dimethylamido)tantalum to a processing chamber having a substrate disposed therein to form a tantalum containing layer on the substrate, the purified pentakis(dimethylamido)tantalum having about 5 ppm or less of impurities; and introducing a nitrogen containing compound to the processing chamber to form a nitrogen containing layer on the substrate.
8. The method of claim 7, wherein the substrate has a temperature of from about 20 °C to about 500 °C.
9. The method of claim 7, wherein the processing chamber has a pressure of about 100 torr or less.
10. The method of claim 7, wherein the impurities are selected from the group consisting essentially of chlorine, lithium, iron, fluorine, bromine, iodine, and combinations thereof.
11. The method of claim 7, wherein the nitrogen containing compound comprises ammonia gas.
12. The method of claim 7, wherein the nitrogen containing compound is selected from the group consisting of ammonia, hydrazine, dimethyl hydrazine, t-butylhydrazine, phenylhydrazine, 2,2-azoisobutane, ethylazide, derivatives thereof, and combinations thereof.
13. The method of claim 7, wherein the barrier layer is formed by atomic layer deposition.
14. The method of claim 7, wherein the temperature of the substrate is selected so that 50% or more of the barrier layer deposition is by chemisorption.
15. The method of claim 7, wherein the purified pentakis(dimethylamido)tantalum is sublimed prior to introduction into the processing chamber.
16. The method of claim 7, further comprising removing at least a portion of the pentakis(dimethylamido)tantalum upon formation of the tantalum containing layer on the substrate.
17. A purified pentakis(dimethylamido) tantalum having about 5 ppm or less of impurities.
18. The purified pentakis(dimethylamido) tantalum of claim 17, wherein the impurities are selected from the group consisting of tantalum oxo amides, chlorine, lithium, iron, fluorine, bromine, iodine, and combinations thereof.
19. The purified pentakis(dimethylamido) tantalum of claim 18, wherein the purified pentakis(dimethylamido) tantalum is sublimed to reduce the concentration of tantalum oxo amides therein.
20. Apparatus for generating a precursor for a semiconductor processing system, comprising: a canister having a sidewall, a top portion and a bottom portion, wherein the canister defines an interior volume having an upper region and a lower region; and a heater surrounding the canister, wherein the heater creates a temperature gradient between the upper region and the lower region.
21. The apparatus of claim 20, wherein the temperature gradient ranges from about 5 degrees Celsius to about 15 degrees Celsius.
22. The apparatus of claim 20, wherein the lower region has a lower temperature than the upper region.
23. The apparatus of claim 22, wherein the lower region has a temperature of about 5 degrees to about 15 degrees Celsius lower than the upper region.
24. The apparatus of claim 20, wherein the heater is disposed proximate the sidewall of the canister.
25. The apparatus of claim 20, wherein the heater is disposed around an outside portion of the canister.
26. The apparatus of claim 25, wherein the heater disposed around the outside portion of the canister is configured to generate more heat in the upper region of the canister.
27. The apparatus of claim 20, further comprising a cooling plate disposed proximate the bottom portion of the canister.
28. The apparatus of claim 20, wherein the canister comprises a heat transfer medium connecting the upper region to the lower region.
29. The apparatus of claim 28, wherein the heat transfer medium is at least one baffle extending from the top portion to the lower region.
30. The apparatus of claim 20, further comprising at least one silo extending from the bottom portion of the canister to the upper region.
31. The apparatus of claim 30, wherein the at least one silo is at least one of a post and a fin.
32. The apparatus of claim 20, further comprising: a precursor material at least partially filling the lower region of the canister; and a plurality of solid particles intermixed with the precursor material, wherein the solid particles are non-reactive with the precursor material, have a negligible vapor pressure relative to the precursor material, are insoluble with the precursor material, and configured to transfer heat from the sidewall of the canister.
33. The apparatus of claim 32, further comprising: a precursor material at least partially filling the lower region of the canister; and at least one silo extending from the bottom portion of the canister to the upper region.
34. The apparatus of claim 33, wherein at least one silo is configured to reduce the temperature gradient inside the precursor material.
35. Apparatus for generating a precursor for a semiconductor processing system, comprising: a canister defining an interior volume having an upper region and a lower region; a precursor material at least partially filling the lower region of the canister; and a gas flow inlet tube adapted to inject a carrier gas into the canister in a direction away from the precursor materials.
36. The apparatus of claim 35, wherein the gas flow inlet tube is adapted to create a non-linear flow of gas into the upper region of the canister.
37. The apparatus of claim 36, wherein the linear flow is adapted to create an increased saturation level of the gas in the upper region of the canister.
38. The apparatus of claim 35, wherein the gas flow inlet tube extends from the upper region of the canister to a lower region of the canister.
39. The apparatus of claim 38, wherein the gas flow inlet tube is adapted to provide a first flow of gas into the upper region of the canister.
40. The apparatus of claim 39, wherein the gas flow inlet tube is adapted to provide a second flow of gas to the lower region of the canister.
41. The apparatus of claim 38, wherein the gas flow inlet tube comprises a restriction.
42. The apparatus of claim 41 , wherein the gas flow outlet tube comprises at least one opening anterior to the restriction.
43. The apparatus of claim 42, wherein the opening is adapted to provide a nonlinear flow of gas into the upper region of the canister.
44. The apparatus of claim 40, wherein the second flow of gas to the lower region is adapted to maintain a suspension of the precursor materials.
45. The apparatus of claim 40, wherein the second flow of gas is adapted to maintain an overall gas flow volume.
PCT/US2004/016715 2002-07-17 2004-05-27 Method and apparatus for generating a precursor for a semiconductor processing system WO2004106584A1 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
KR1020057022469A KR101104058B1 (en) 2003-05-27 2004-05-27 Method and apparatus for generating a precursor for a semiconductor processing system
US10/590,448 US7524374B2 (en) 2002-07-17 2004-05-27 Method and apparatus for generating a precursor for a semiconductor processing system
EP04753532A EP1636400A1 (en) 2003-05-27 2004-05-27 Method and apparatus for generating a precursor for a semiconductor processing system
CN2004800147104A CN1795290B (en) 2003-05-27 2004-05-27 Method and apparatus for generating a precursor for a semiconductor processing system
JP2006533450A JP5342110B2 (en) 2003-05-27 2004-05-27 Source canister containing precursor and method for filling features using the same
US12/371,138 US8062422B2 (en) 2002-07-17 2009-02-13 Method and apparatus for generating a precursor for a semiconductor processing system

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US10/447,255 2003-05-27
US10/447,255 US6905541B2 (en) 2002-07-17 2003-05-27 Method and apparatus of generating PDMAT precursor
US47747803P 2003-06-05 2003-06-05
US60/477,478 2003-06-05

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/447,255 Continuation-In-Part US6905541B2 (en) 2002-07-17 2003-05-27 Method and apparatus of generating PDMAT precursor

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US11/590,448 Continuation US7388117B2 (en) 2005-11-01 2006-10-30 Azeotrope compositions comprising 1,2,3,3,3-pentafluoropropene and hydrogen fluoride and uses thereof
US12/371,138 Continuation US8062422B2 (en) 2002-07-17 2009-02-13 Method and apparatus for generating a precursor for a semiconductor processing system

Publications (2)

Publication Number Publication Date
WO2004106584A1 true WO2004106584A1 (en) 2004-12-09
WO2004106584B1 WO2004106584B1 (en) 2005-02-17

Family

ID=33493044

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2004/016715 WO2004106584A1 (en) 2002-07-17 2004-05-27 Method and apparatus for generating a precursor for a semiconductor processing system

Country Status (5)

Country Link
EP (1) EP1636400A1 (en)
JP (1) JP5342110B2 (en)
KR (1) KR101104058B1 (en)
CN (1) CN1795290B (en)
WO (1) WO2004106584A1 (en)

Cited By (286)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7562672B2 (en) 2006-03-30 2009-07-21 Applied Materials, Inc. Chemical delivery apparatus for CVD or ALD
JP2010506429A (en) * 2006-10-10 2010-02-25 エーエスエム アメリカ インコーポレイテッド Precursor delivery system
US7674715B2 (en) 2000-06-28 2010-03-09 Applied Materials, Inc. Method for forming tungsten materials during vapor deposition processes
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7699023B2 (en) 2001-10-26 2010-04-20 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7709385B2 (en) 2000-06-28 2010-05-04 Applied Materials, Inc. Method for depositing tungsten-containing layers by vapor deposition techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7745333B2 (en) 2000-06-28 2010-06-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7745329B2 (en) 2002-02-26 2010-06-29 Applied Materials, Inc. Tungsten nitride atomic layer deposition processes
US7749815B2 (en) 2001-07-16 2010-07-06 Applied Materials, Inc. Methods for depositing tungsten after surface treatment
US7794544B2 (en) 2004-05-12 2010-09-14 Applied Materials, Inc. Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US7867896B2 (en) 2002-03-04 2011-01-11 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7867914B2 (en) 2002-04-16 2011-01-11 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7892602B2 (en) 2001-12-07 2011-02-22 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US8668776B2 (en) 2001-10-26 2014-03-11 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US8821637B2 (en) 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US8951478B2 (en) 2006-03-30 2015-02-10 Applied Materials, Inc. Ampoule with a thermally conductive coating
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10876205B2 (en) 2016-09-30 2020-12-29 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11624113B2 (en) 2019-09-13 2023-04-11 Asm Ip Holding B.V. Heating zone separation for reactant evaporation system
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11634812B2 (en) 2018-08-16 2023-04-25 Asm Ip Holding B.V. Solid source sublimator
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11926894B2 (en) 2016-09-30 2024-03-12 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US9109287B2 (en) * 2006-10-19 2015-08-18 Air Products And Chemicals, Inc. Solid source container with inlet plenum
US8741062B2 (en) * 2008-04-22 2014-06-03 Picosun Oy Apparatus and methods for deposition reactors
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
JP5761067B2 (en) * 2012-02-13 2015-08-12 東京エレクトロン株式会社 Gas supply device and heat treatment device
TWI615497B (en) * 2013-02-28 2018-02-21 應用材料股份有限公司 Metal amide deposition precursors and their stabilization with an inert ampoule liner
TWI504774B (en) * 2013-03-14 2015-10-21 Nanmat Technology Co Ltd Preparing method of high purity pdmat precursor vapor
CN105132886B (en) * 2015-09-11 2018-03-23 兰州空间技术物理研究所 A kind of method for improving tubular substrate inner surface deposition film uniformity
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020009544A1 (en) * 1999-08-20 2002-01-24 Mcfeely F. Read Delivery systems for gases for gases via the sublimation of solid precursors
US20030082307A1 (en) * 2001-10-26 2003-05-01 Applied Materials, Inc. Integration of ALD tantalum nitride and alpha-phase tantalum for copper metallization application

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000252269A (en) * 1992-09-21 2000-09-14 Mitsubishi Electric Corp Equipment and method for liquid vaporization
US6274195B1 (en) * 1999-04-30 2001-08-14 Postech Foundation Organometallic complex process for the preparation thereof and metal organic chemical vapor deposition using same
JP2001049434A (en) * 1999-08-10 2001-02-20 Asahi Denka Kogyo Kk METHOD FOR FORMATION OF TiN FILM AND PRODUCTION OF ELECTRONIC PARTS
JP4672897B2 (en) * 2001-04-13 2011-04-20 田中貴金属工業株式会社 Process for producing bis (cyclopentadienyl) ruthenium derivative
JP4757403B2 (en) * 2001-06-01 2011-08-24 東京エレクトロン株式会社 Solid material vaporizer
JP2005528776A (en) * 2001-09-26 2005-09-22 アプライド マテリアルズ インコーポレイテッド Integration of barrier layer and seed layer
EP1444380B1 (en) * 2001-10-26 2005-03-23 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020009544A1 (en) * 1999-08-20 2002-01-24 Mcfeely F. Read Delivery systems for gases for gases via the sublimation of solid precursors
US20030082307A1 (en) * 2001-10-26 2003-05-01 Applied Materials, Inc. Integration of ALD tantalum nitride and alpha-phase tantalum for copper metallization application

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
SHENAI D V ET AL: "Correlation of vapor pressure equation and film properties with trimethylindium purity for the MOVPE grown III-V compounds", JOURNAL OF CRYSTAL GROWTH, NORTH-HOLLAND PUBLISHING CO. AMSTERDAM, NL, vol. 248, February 2003 (2003-02-01), pages 91 - 98, XP004399862, ISSN: 0022-0248 *

Cited By (355)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7709385B2 (en) 2000-06-28 2010-05-04 Applied Materials, Inc. Method for depositing tungsten-containing layers by vapor deposition techniques
US7846840B2 (en) 2000-06-28 2010-12-07 Applied Materials, Inc. Method for forming tungsten materials during vapor deposition processes
US7745333B2 (en) 2000-06-28 2010-06-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7674715B2 (en) 2000-06-28 2010-03-09 Applied Materials, Inc. Method for forming tungsten materials during vapor deposition processes
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7749815B2 (en) 2001-07-16 2010-07-06 Applied Materials, Inc. Methods for depositing tungsten after surface treatment
US7699023B2 (en) 2001-10-26 2010-04-20 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US8668776B2 (en) 2001-10-26 2014-03-11 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7892602B2 (en) 2001-12-07 2011-02-22 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US7745329B2 (en) 2002-02-26 2010-06-29 Applied Materials, Inc. Tungsten nitride atomic layer deposition processes
US7867896B2 (en) 2002-03-04 2011-01-11 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7867914B2 (en) 2002-04-16 2011-01-11 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7794544B2 (en) 2004-05-12 2010-09-14 Applied Materials, Inc. Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8282992B2 (en) 2004-05-12 2012-10-09 Applied Materials, Inc. Methods for atomic layer deposition of hafnium-containing high-K dielectric materials
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7748400B2 (en) 2006-03-30 2010-07-06 Applied Materials, Inc. Chemical delivery apparatus for CVD or ALD
US7832432B2 (en) 2006-03-30 2010-11-16 Applied Materials, Inc. Chemical delivery apparatus for CVD or ALD
US7568495B2 (en) 2006-03-30 2009-08-04 Applied Materials, Inc. Chemical delivery apparatus for CVD or ALD
US7562672B2 (en) 2006-03-30 2009-07-21 Applied Materials, Inc. Chemical delivery apparatus for CVD or ALD
US8951478B2 (en) 2006-03-30 2015-02-10 Applied Materials, Inc. Ampoule with a thermally conductive coating
KR101480971B1 (en) * 2006-10-10 2015-01-09 에이에스엠 아메리카, 인코포레이티드 Precursor delivery system
US9593416B2 (en) 2006-10-10 2017-03-14 Asm America, Inc. Precursor delivery system
JP2010506429A (en) * 2006-10-10 2010-02-25 エーエスエム アメリカ インコーポレイテッド Precursor delivery system
US8821637B2 (en) 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11926894B2 (en) 2016-09-30 2024-03-12 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US11377732B2 (en) 2016-09-30 2022-07-05 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US10876205B2 (en) 2016-09-30 2020-12-29 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11634812B2 (en) 2018-08-16 2023-04-25 Asm Ip Holding B.V. Solid source sublimator
US11773486B2 (en) 2018-08-16 2023-10-03 Asm Ip Holding B.V. Solid source sublimator
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11624113B2 (en) 2019-09-13 2023-04-11 Asm Ip Holding B.V. Heating zone separation for reactant evaporation system
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Also Published As

Publication number Publication date
KR20060052683A (en) 2006-05-19
CN1795290B (en) 2010-06-16
KR101104058B1 (en) 2012-01-06
CN1795290A (en) 2006-06-28
JP2007501536A (en) 2007-01-25
EP1636400A1 (en) 2006-03-22
WO2004106584B1 (en) 2005-02-17
JP5342110B2 (en) 2013-11-13

Similar Documents

Publication Publication Date Title
US6905541B2 (en) Method and apparatus of generating PDMAT precursor
US7524374B2 (en) Method and apparatus for generating a precursor for a semiconductor processing system
KR101104058B1 (en) Method and apparatus for generating a precursor for a semiconductor processing system
US6265311B1 (en) PECVD of TaN films from tantalum halide precursors
US6410433B1 (en) Thermal CVD of TaN films from tantalum halide precursors
US6410432B1 (en) CVD of integrated Ta and TaNx films from tantalum halide precursors
WO2000065124A1 (en) Plasma treatment of thermal cvd tan films from tantalum halide precursors
JP2008112994A (en) Solid source container with inlet plenum
JP5583078B2 (en) Method and apparatus for generating precursors for semiconductor processing systems
US6413860B1 (en) PECVD of Ta films from tanatalum halide precursors
WO2000065126A1 (en) Cvd tantalum nitride plug formation from tantalum halide precursors
KR20070117734A (en) Process gas generating apparatus for use in semiconductor device fabrication equipment

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
B Later publication of amended claims

Effective date: 20041229

WWE Wipo information: entry into national phase

Ref document number: 1020057022469

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 2006533450

Country of ref document: JP

Ref document number: 20048147104

Country of ref document: CN

WWE Wipo information: entry into national phase

Ref document number: 2004753532

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 2004753532

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020057022469

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 2007067609

Country of ref document: US

Ref document number: 10590448

Country of ref document: US

WWP Wipo information: published in national office

Ref document number: 10590448

Country of ref document: US