WO2004059383A3 - Fluoride in supercritical fluid for photoresist and residue removal - Google Patents

Fluoride in supercritical fluid for photoresist and residue removal Download PDF

Info

Publication number
WO2004059383A3
WO2004059383A3 PCT/US2003/040105 US0340105W WO2004059383A3 WO 2004059383 A3 WO2004059383 A3 WO 2004059383A3 US 0340105 W US0340105 W US 0340105W WO 2004059383 A3 WO2004059383 A3 WO 2004059383A3
Authority
WO
WIPO (PCT)
Prior art keywords
fluoride
cleaning solution
residue
supercritical cleaning
supercritical
Prior art date
Application number
PCT/US2003/040105
Other languages
French (fr)
Other versions
WO2004059383A2 (en
Inventor
Paul E Schilling
Original Assignee
Supercritical Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Supercritical Systems Inc filed Critical Supercritical Systems Inc
Priority to AU2003297212A priority Critical patent/AU2003297212A1/en
Publication of WO2004059383A2 publication Critical patent/WO2004059383A2/en
Publication of WO2004059383A3 publication Critical patent/WO2004059383A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0021Cleaning by methods not provided for in a single other subclass or a single group in this subclass by liquid gases or supercritical fluids

Abstract

A method and system for removing a residue from a substrate material is disclosed. The method and system utilize a supercritical cleaning solution with an fluoride source to control the concentration of fluoride ions and/or hydrogen fluoride within the supercritical cleaning solution. Preferably, the method and the system utilize a supercritical cleaning solution with supercritical CO2 and an ammonium fluoride salt and/or an organo-ammonium fluoride and/or amine adduct. The supercritical cleaning solution, in accordance with further embodiments, includes one or more acids and one or more carrier solvents. The supercritical cleaning solution of the present invention is capable of removing a residue, such a post-etch photo polymer residue from a semiconductor substrate material by dissolution of the reside, etching a portion of the residue, etching a portion of the substrate material or any combination thereof.
PCT/US2003/040105 2002-12-16 2003-12-16 Fluoride in supercritical fluid for photoresist and residue removal WO2004059383A2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
AU2003297212A AU2003297212A1 (en) 2002-12-16 2003-12-16 Fluoride in supercritical fluid for photoresist and residue removal

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/321,341 US20040112409A1 (en) 2002-12-16 2002-12-16 Fluoride in supercritical fluid for photoresist and residue removal
US10/321,341 2002-12-16

Publications (2)

Publication Number Publication Date
WO2004059383A2 WO2004059383A2 (en) 2004-07-15
WO2004059383A3 true WO2004059383A3 (en) 2005-02-17

Family

ID=32507102

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2003/040105 WO2004059383A2 (en) 2002-12-16 2003-12-16 Fluoride in supercritical fluid for photoresist and residue removal

Country Status (3)

Country Link
US (1) US20040112409A1 (en)
AU (1) AU2003297212A1 (en)
WO (1) WO2004059383A2 (en)

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7064070B2 (en) * 1998-09-28 2006-06-20 Tokyo Electron Limited Removal of CMP and post-CMP residue from semiconductors using supercritical carbon dioxide process
AU2001255656A1 (en) * 2000-04-25 2001-11-07 Tokyo Electron Limited Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
JP2006508521A (en) * 2002-02-15 2006-03-09 東京エレクトロン株式会社 Drying of resist using solvent bath and supercritical CO2
AU2003220039A1 (en) * 2002-03-04 2003-09-22 Supercritical Systems Inc. Method of passivating of low dielectric materials in wafer processing
AU2003220443A1 (en) * 2002-03-22 2003-10-13 Supercritical Systems Inc. Removal of contaminants using supercritical processing
US7169540B2 (en) * 2002-04-12 2007-01-30 Tokyo Electron Limited Method of treatment of porous dielectric films to reduce damage during cleaning
US7223352B2 (en) * 2002-10-31 2007-05-29 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for ashed and unashed aluminum post-etch residue removal
US20040177867A1 (en) * 2002-12-16 2004-09-16 Supercritical Systems, Inc. Tetra-organic ammonium fluoride and HF in supercritical fluid for photoresist and residue removal
US20040134885A1 (en) * 2003-01-14 2004-07-15 Iyer Subramanyam A. Etching and cleaning of semiconductors using supercritical carbon dioxide
JP2004249189A (en) * 2003-02-19 2004-09-09 Sony Corp Washing method
US20040231707A1 (en) * 2003-05-20 2004-11-25 Paul Schilling Decontamination of supercritical wafer processing equipment
US20040266184A1 (en) * 2003-06-30 2004-12-30 Ramachandrarao Vijayakumar S Post-deposition modification of interlayer dielectrics
US20050022850A1 (en) * 2003-07-29 2005-02-03 Supercritical Systems, Inc. Regulation of flow of processing chemistry only into a processing chamber
US20060183654A1 (en) * 2005-02-14 2006-08-17 Small Robert J Semiconductor cleaning using ionic liquids
US7923424B2 (en) * 2005-02-14 2011-04-12 Advanced Process Technologies, Llc Semiconductor cleaning using superacids
US7550075B2 (en) * 2005-03-23 2009-06-23 Tokyo Electron Ltd. Removal of contaminants from a fluid
WO2006107517A2 (en) * 2005-04-04 2006-10-12 Mallinckrodt Baker, Inc. Composition for cleaning ion implanted photoresist in front end of line applications
US7789971B2 (en) * 2005-05-13 2010-09-07 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide
JP4734090B2 (en) * 2005-10-31 2011-07-27 株式会社東芝 Manufacturing method of semiconductor device
US20070219105A1 (en) * 2006-03-17 2007-09-20 Georgia Tech Research Corporation Ionic Additives to Solvent-Based Strippers
US20080206949A1 (en) * 2007-02-28 2008-08-28 Semiconductor Technology Academic Research Center Apparatus for forming conductor, method for forming conductor, and method for manufacturing semiconductor device
US8500913B2 (en) * 2007-09-06 2013-08-06 Micron Technology, Inc. Methods for treating surfaces, and methods for removing one or more materials from surfaces
US20090253268A1 (en) * 2008-04-03 2009-10-08 Honeywell International, Inc. Post-contact opening etchants for post-contact etch cleans and methods for fabricating the same
JP6326041B2 (en) * 2012-04-17 2018-05-16 プラクスエア・テクノロジー・インコーポレイテッド Carbon dioxide delivery system to refined multi-phase process tools
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
JP7190450B2 (en) 2017-06-02 2022-12-15 アプライド マテリアルズ インコーポレイテッド Dry stripping of boron carbide hardmask
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR102405723B1 (en) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 High pressure and high temperature annealing chamber
WO2019055415A1 (en) 2017-09-12 2019-03-21 Applied Materials, Inc. Apparatus and methods for manufacturing semiconductor structures using protective barrier layer
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
SG11202003355QA (en) 2017-11-11 2020-05-28 Micromaterials Llc Gas delivery system for high pressure processing chamber
JP7330181B2 (en) 2017-11-16 2023-08-21 アプライド マテリアルズ インコーポレイテッド High-pressure steam annealing treatment equipment
CN111432920A (en) 2017-11-17 2020-07-17 应用材料公司 Condenser system for high pressure processing system
JP7299898B2 (en) 2018-01-24 2023-06-28 アプライド マテリアルズ インコーポレイテッド Seam repair using high pressure annealing
WO2019173006A1 (en) 2018-03-09 2019-09-12 Applied Materials, Inc. High pressure annealing process for metal containing materials
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
JP7179172B6 (en) 2018-10-30 2022-12-16 アプライド マテリアルズ インコーポレイテッド Method for etching structures for semiconductor applications
KR20210077779A (en) 2018-11-16 2021-06-25 어플라이드 머티어리얼스, 인코포레이티드 Film Deposition Using Enhanced Diffusion Process
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
JP7344720B2 (en) * 2019-09-03 2023-09-14 東京エレクトロン株式会社 Substrate processing method, storage medium, and substrate processing apparatus
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001033613A2 (en) * 1999-11-02 2001-05-10 Tokyo Electron Limited Removal of photoresist and residue from substrate using supercritical carbon dioxide process
US20020001929A1 (en) * 2000-04-25 2002-01-03 Biberger Maximilian A. Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
US20020164873A1 (en) * 2001-02-09 2002-11-07 Kaoru Masuda Process and apparatus for removing residues from the microstructure of an object
US20030003762A1 (en) * 2001-06-27 2003-01-02 International Business Machines Corporation Process of removing residue material from a precision surface
US20030125225A1 (en) * 2001-12-31 2003-07-03 Chongying Xu Supercritical fluid cleaning of semiconductor substrates

Family Cites Families (89)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2993449A (en) * 1959-03-09 1961-07-25 Hydratomic Engineering Corp Motor-pump
US3135211A (en) * 1960-09-28 1964-06-02 Integral Motor Pump Corp Motor and pump assembly
FR2128426B1 (en) * 1971-03-02 1980-03-07 Cnen
US3890176A (en) * 1972-08-18 1975-06-17 Gen Electric Method for removing photoresist from substrate
FR2288392A1 (en) * 1974-10-18 1976-05-14 Radiotechnique Compelec PROCESS FOR THE EMBODIMENT OF SEMICONDUCTOR DEVICES
US4341592A (en) * 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US4029517A (en) * 1976-03-01 1977-06-14 Autosonics Inc. Vapor degreasing system having a divider wall between upper and lower vapor zone portions
US4091643A (en) * 1976-05-14 1978-05-30 Ama Universal S.P.A. Circuit for the recovery of solvent vapor evolved in the course of a cleaning cycle in dry-cleaning machines or plants, and for the de-pressurizing of such machines
US4219333A (en) * 1978-07-03 1980-08-26 Harris Robert D Carbonated cleaning solution
FR2536433A1 (en) * 1982-11-19 1984-05-25 Privat Michel METHOD AND APPARATUS FOR CLEANING AND DECONTAMINATING PARTICULARLY CLOTHING, ESPECIALLY CLOTHES CONTAMINATED WITH RADIOACTIVE PARTICLES
GB8332394D0 (en) * 1983-12-05 1984-01-11 Pilkington Brothers Plc Coating apparatus
US4749440A (en) * 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US4917556A (en) * 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4670126A (en) * 1986-04-28 1987-06-02 Varian Associates, Inc. Sputter module for modular wafer processing system
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
JPS63157870A (en) * 1986-12-19 1988-06-30 Anelva Corp Substrate treatment device
US4924892A (en) * 1987-07-28 1990-05-15 Mazda Motor Corporation Painting truck washing system
DE3725565A1 (en) * 1987-08-01 1989-02-16 Peter Weil METHOD AND SYSTEM FOR DE-PAINTING OBJECTS WITH A SUBMERSIBLE CONTAINER WITH SOLVENT
US5105556A (en) * 1987-08-12 1992-04-21 Hitachi, Ltd. Vapor washing process and apparatus
US4838476A (en) * 1987-11-12 1989-06-13 Fluocon Technologies Inc. Vapour phase treatment process and apparatus
US4933404A (en) * 1987-11-27 1990-06-12 Battelle Memorial Institute Processes for microemulsion polymerization employing novel microemulsion systems
JP2663483B2 (en) * 1988-02-29 1997-10-15 勝 西川 Method of forming resist pattern
US5185296A (en) * 1988-07-26 1993-02-09 Matsushita Electric Industrial Co., Ltd. Method for forming a dielectric thin film or its pattern of high accuracy on a substrate
US5013366A (en) * 1988-12-07 1991-05-07 Hughes Aircraft Company Cleaning process using phase shifting of dense phase gases
US5051135A (en) * 1989-01-30 1991-09-24 Kabushiki Kaisha Tiyoda Seisakusho Cleaning method using a solvent while preventing discharge of solvent vapors to the environment
WO1990009233A1 (en) * 1989-02-16 1990-08-23 Pawliszyn Janusz B Apparatus and method for delivering supercritical fluid
US5068040A (en) * 1989-04-03 1991-11-26 Hughes Aircraft Company Dense phase gas photochemical process for substrate treatment
US5288333A (en) * 1989-05-06 1994-02-22 Dainippon Screen Mfg. Co., Ltd. Wafer cleaning method and apparatus therefore
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US4923828A (en) * 1989-07-07 1990-05-08 Eastman Kodak Company Gaseous cleaning method for silicon devices
US4983223A (en) * 1989-10-24 1991-01-08 Chenpatents Apparatus and method for reducing solvent vapor losses
US5213619A (en) * 1989-11-30 1993-05-25 Jackson David P Processes for cleaning, sterilizing, and implanting materials using high energy dense fluids
US5370741A (en) * 1990-05-15 1994-12-06 Semitool, Inc. Dynamic semiconductor wafer processing using homogeneous chemical vapors
US5306350A (en) * 1990-12-21 1994-04-26 Union Carbide Chemicals & Plastics Technology Corporation Methods for cleaning apparatus using compressed fluids
DE69231971T2 (en) * 1991-01-24 2002-04-04 Wako Pure Chem Ind Ltd Solutions for surface treatment of semiconductors
US5185058A (en) * 1991-01-29 1993-02-09 Micron Technology, Inc. Process for etching semiconductor devices
US5201960A (en) * 1991-02-04 1993-04-13 Applied Photonics Research, Inc. Method for removing photoresist and other adherent materials from substrates
US5730874A (en) * 1991-06-12 1998-03-24 Idaho Research Foundation, Inc. Extraction of metals using supercritical fluid and chelate forming legand
US5225173A (en) * 1991-06-12 1993-07-06 Idaho Research Foundation, Inc. Methods and devices for the separation of radioactive rare earth metal isotopes from their alkaline earth metal precursors
US5431843A (en) * 1991-09-04 1995-07-11 The Clorox Company Cleaning through perhydrolysis conducted in dense fluid medium
GB2259525B (en) * 1991-09-11 1995-06-28 Ciba Geigy Ag Process for dyeing cellulosic textile material with disperse dyes
KR930019861A (en) * 1991-12-12 1993-10-19 완다 케이. 덴슨-로우 Coating method using dense gas
US5496901A (en) * 1992-03-27 1996-03-05 University Of North Carolina Method of making fluoropolymers
US5313965A (en) * 1992-06-01 1994-05-24 Hughes Aircraft Company Continuous operation supercritical fluid treatment process and system
US5401322A (en) * 1992-06-30 1995-03-28 Southwest Research Institute Apparatus and method for cleaning articles utilizing supercritical and near supercritical fluids
US5267455A (en) * 1992-07-13 1993-12-07 The Clorox Company Liquid/supercritical carbon dioxide dry cleaning system
US5316591A (en) * 1992-08-10 1994-05-31 Hughes Aircraft Company Cleaning by cavitation in liquefied gas
US5355901A (en) * 1992-10-27 1994-10-18 Autoclave Engineers, Ltd. Apparatus for supercritical cleaning
US5294261A (en) * 1992-11-02 1994-03-15 Air Products And Chemicals, Inc. Surface cleaning using an argon or nitrogen aerosol
US5514220A (en) * 1992-12-09 1996-05-07 Wetmore; Paula M. Pressure pulse cleaning
US5320709A (en) * 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
JP3356480B2 (en) * 1993-03-18 2002-12-16 株式会社日本触媒 Leakless pump
US5403665A (en) * 1993-06-18 1995-04-04 Regents Of The University Of California Method of applying a monolayer lubricant to micromachines
US5312882A (en) * 1993-07-30 1994-05-17 The University Of North Carolina At Chapel Hill Heterogeneous polymerization in carbon dioxide
US5377705A (en) * 1993-09-16 1995-01-03 Autoclave Engineers, Inc. Precision cleaning system
US5509431A (en) * 1993-12-14 1996-04-23 Snap-Tite, Inc. Precision cleaning vessel
US5417768A (en) * 1993-12-14 1995-05-23 Autoclave Engineers, Inc. Method of cleaning workpiece with solvent and then with liquid carbon dioxide
US5872257A (en) * 1994-04-01 1999-02-16 University Of Pittsburgh Further extractions of metals in carbon dioxide and chelating agents therefor
US5641887A (en) * 1994-04-01 1997-06-24 University Of Pittsburgh Extraction of metals in carbon dioxide and chelating agents therefor
EP0681317B1 (en) * 1994-04-08 2001-10-17 Texas Instruments Incorporated Method for cleaning semiconductor wafers using liquefied gases
JP3320549B2 (en) * 1994-04-26 2002-09-03 岩手東芝エレクトロニクス株式会社 Film removing method and film removing agent
KR0137841B1 (en) * 1994-06-07 1998-04-27 문정환 Method for removing a etching waste material
US5482564A (en) * 1994-06-21 1996-01-09 Texas Instruments Incorporated Method of unsticking components of micro-mechanical devices
US5637151A (en) * 1994-06-27 1997-06-10 Siemens Components, Inc. Method for reducing metal contamination of silicon wafers during semiconductor manufacturing
US5522938A (en) * 1994-08-08 1996-06-04 Texas Instruments Incorporated Particle removal in supercritical liquids using single frequency acoustic waves
US6262510B1 (en) * 1994-09-22 2001-07-17 Iancu Lungu Electronically switched reluctance motor
US5501761A (en) * 1994-10-18 1996-03-26 At&T Corp. Method for stripping conformal coatings from circuit boards
US5505219A (en) * 1994-11-23 1996-04-09 Litton Systems, Inc. Supercritical fluid recirculating system for a precision inertial instrument parts cleaner
US5629918A (en) * 1995-01-20 1997-05-13 The Regents Of The University Of California Electromagnetically actuated micromachined flap
US5681398A (en) * 1995-03-17 1997-10-28 Purex Co., Ltd. Silicone wafer cleaning method
JPH08330266A (en) * 1995-05-31 1996-12-13 Texas Instr Inc <Ti> Method of cleansing and processing surface of semiconductor device or the like
US5783082A (en) * 1995-11-03 1998-07-21 University Of North Carolina Cleaning process using carbon dioxide as a solvent and employing molecularly engineered surfactants
US5726211A (en) * 1996-03-21 1998-03-10 International Business Machines Corporation Process for making a foamed elastometric polymer
US5868856A (en) * 1996-07-25 1999-02-09 Texas Instruments Incorporated Method for removing inorganic contamination by chemical derivitization and extraction
US5868862A (en) * 1996-08-01 1999-02-09 Texas Instruments Incorporated Method of removing inorganic contamination by chemical alteration and extraction in a supercritical fluid media
US5881577A (en) * 1996-09-09 1999-03-16 Air Liquide America Corporation Pressure-swing absorption based cleaning methods and systems
US5908510A (en) * 1996-10-16 1999-06-01 International Business Machines Corporation Residue removal by supercritical fluids
US5888050A (en) * 1996-10-30 1999-03-30 Supercritical Fluid Technologies, Inc. Precision high pressure control assembly
JPH10144757A (en) * 1996-11-08 1998-05-29 Dainippon Screen Mfg Co Ltd Substrate processing device
US5900354A (en) * 1997-07-03 1999-05-04 Batchelder; John Samuel Method for optical inspection and lithography
WO1999010587A1 (en) * 1997-08-29 1999-03-04 Micell Technologies End functionalized polysiloxane surfactants in carbon dioxide formulations
US6200943B1 (en) * 1998-05-28 2001-03-13 Micell Technologies, Inc. Combination surfactant systems for use in carbon dioxide-based cleaning formulations
US6021791A (en) * 1998-06-29 2000-02-08 Speedfam-Ipec Corporation Method and apparatus for immersion cleaning of semiconductor devices
US6017820A (en) * 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6242165B1 (en) * 1998-08-28 2001-06-05 Micron Technology, Inc. Supercritical compositions for removal of organic material and methods of using same
US6277753B1 (en) * 1998-09-28 2001-08-21 Supercritical Systems Inc. Removal of CMP residue from semiconductors using supercritical carbon dioxide process
JP3978023B2 (en) * 2001-12-03 2007-09-19 株式会社神戸製鋼所 High pressure processing method
US6764552B1 (en) * 2002-04-18 2004-07-20 Novellus Systems, Inc. Supercritical solutions for cleaning photoresist and post-etch residue from low-k materials
US6989358B2 (en) * 2002-10-31 2006-01-24 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for removal of photoresists

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001033613A2 (en) * 1999-11-02 2001-05-10 Tokyo Electron Limited Removal of photoresist and residue from substrate using supercritical carbon dioxide process
US20020001929A1 (en) * 2000-04-25 2002-01-03 Biberger Maximilian A. Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
US20020164873A1 (en) * 2001-02-09 2002-11-07 Kaoru Masuda Process and apparatus for removing residues from the microstructure of an object
US20030003762A1 (en) * 2001-06-27 2003-01-02 International Business Machines Corporation Process of removing residue material from a precision surface
US20030125225A1 (en) * 2001-12-31 2003-07-03 Chongying Xu Supercritical fluid cleaning of semiconductor substrates

Also Published As

Publication number Publication date
US20040112409A1 (en) 2004-06-17
AU2003297212A1 (en) 2004-07-22
AU2003297212A8 (en) 2004-07-22
WO2004059383A2 (en) 2004-07-15

Similar Documents

Publication Publication Date Title
WO2004059383A3 (en) Fluoride in supercritical fluid for photoresist and residue removal
MY146827A (en) Aqueous cleaning composition for removing residues and method using same
ATE340244T1 (en) NON-CORROSIVE CLEANING COMPOSITION FOR REMOVAL OF PLASMA ETCHING RESIDUE
TWI263676B (en) Compositions for chemically treating a substrate using foam technology
ATE405622T1 (en) AQUEOUS PHOSPHORIC ACID COMPOSITION FOR CLEANING SEMICONDUCTOR DEVICES
EP1066365A4 (en) Acidic composition containing fluoride for removal of photoresists and etch residues
WO2005057281A3 (en) Resist, barc and gap fill material stripping chemical and method
WO2002049078A3 (en) Method for cleaning post-etch residues from a substrate
DK1105778T3 (en) Silicate-containing alkaline compositions for the purification of micorelectronic substrates
ATE259681T1 (en) METHOD AND APPARATUS FOR TREATING A WORKPIECE, SUCH AS A SEMICONDUCTOR WAFER
TW370691B (en) Method for removing photoresist and plasma etch residues
WO2005081289A3 (en) Process and apparatus for removing residues from semiconductor substrates
ATE288468T1 (en) STABLE ALKALINE COMPOSITIONS FOR CLEANING MICROELECTRONIC SUBSTRATES
DE60028962D1 (en) COMPOSITIONS FOR CLEANING AND REMOVING ORGANIC AND PLASMA RETENTION RESIDUES ON SEMICONDUCTOR DEVICES
TWI263872B (en) Cleaning solution used in photolithography and a method of treating substrate
DE602007002643D1 (en) pH buffered aqueous cleaning composition and method of removing photoresist residues
DE69529705D1 (en) Process and solution for cleaning a substrate from metal contamination
AR001382A1 (en) Aqueous hard surface cleaner and method of cleaning stains from a surface with it.
MY130650A (en) Compositions for removing etching residue and use thereof
TW200630482A (en) Aqueous based residue removers comprising fluoride
WO2004042472A3 (en) Supercritical carbon dioxide/chemical formulation for removal of photoresists
TW200517795A (en) Process sequence for photoresist stripping and/or cleaning of photomasks for integrated circuit manufacturing
TW200702435A (en) Phosphonic acid-containing formulation for cleaning semiconductor wafer and cleaning method
SG131867A1 (en) Aqueous cleaning composition and method for using same
EP1481741A3 (en) Process and apparatus for treating a workpiece such as a semiconductor wafer

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): BW GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase

Ref country code: JP

WWW Wipo information: withdrawn in national office

Country of ref document: JP