WO2004036631A3 - Silicon-containing layer deposition with silicon compounds - Google Patents

Silicon-containing layer deposition with silicon compounds Download PDF

Info

Publication number
WO2004036631A3
WO2004036631A3 PCT/US2003/033263 US0333263W WO2004036631A3 WO 2004036631 A3 WO2004036631 A3 WO 2004036631A3 US 0333263 W US0333263 W US 0333263W WO 2004036631 A3 WO2004036631 A3 WO 2004036631A3
Authority
WO
WIPO (PCT)
Prior art keywords
silicon
halogen
compounds
atoms
germanium
Prior art date
Application number
PCT/US2003/033263
Other languages
French (fr)
Other versions
WO2004036631A2 (en
Inventor
Kaushal K Singh
Paul B Comita
Lance A Scudder
David K Carlson
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to AU2003301382A priority Critical patent/AU2003301382A1/en
Priority to JP2004545570A priority patent/JP2006515955A/en
Priority to EP03809181.5A priority patent/EP1563529B1/en
Priority to KR1020057006706A priority patent/KR101144366B1/en
Publication of WO2004036631A2 publication Critical patent/WO2004036631A2/en
Publication of WO2004036631A3 publication Critical patent/WO2004036631A3/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/0896Compounds with a Si-H linkage
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/04Hydrides of silicon
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/08Compounds containing halogen
    • C01B33/107Halogenated silanes
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/12Organo silicon halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides

Abstract

Embodiments of the invention generally provide a composition of silicon compounds and methods for using the silicon compounds to deposit a silicon-containing film. The processes employ introducing the silicon compound to a substrate surface and depositing a portion of the silicon compound, the silicon motif, as the silicon-containing film. The ligands are another portion of the silicon compound and are liberated as an in-situ etchant. The in-situ etchants supports the growth of selective silicon epitaxy. Silicon compounds include SiRX6, Si2RX6, Si2RX8, wherein X is independently hydrogen or halogen and R is carbon, silicon or germanium. Silicon compound also include compounds comprising three silicon atoms, fourth atom of carbon, silicon or germanium and atoms of hydrogen or halogen with at least one halogen, as well as, comprising four silicon atoms, fifth atom of carbon, silicon or germanium and atoms of hydrogen or halogen with at least one halogen.
PCT/US2003/033263 2002-10-18 2003-10-20 Silicon-containing layer deposition with silicon compounds WO2004036631A2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
AU2003301382A AU2003301382A1 (en) 2002-10-18 2003-10-20 Silicon-containing layer deposition with silicon compounds
JP2004545570A JP2006515955A (en) 2002-10-18 2003-10-20 Deposition of silicon-containing layers with silicon compounds
EP03809181.5A EP1563529B1 (en) 2002-10-18 2003-10-20 Silicon-containing layer deposition with silicon compounds
KR1020057006706A KR101144366B1 (en) 2002-10-18 2003-10-20 Silicon-containing layer deposition with silicon compounds

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US41942602P 2002-10-18 2002-10-18
US41950402P 2002-10-18 2002-10-18
US41937602P 2002-10-18 2002-10-18
US60/419,376 2002-10-18
US60/419,504 2002-10-18
US60/419,426 2002-10-18
US10/688,797 2003-10-17
US10/688,797 US7540920B2 (en) 2002-10-18 2003-10-17 Silicon-containing layer deposition with silicon compounds

Publications (2)

Publication Number Publication Date
WO2004036631A2 WO2004036631A2 (en) 2004-04-29
WO2004036631A3 true WO2004036631A3 (en) 2004-06-24

Family

ID=32111050

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2003/033263 WO2004036631A2 (en) 2002-10-18 2003-10-20 Silicon-containing layer deposition with silicon compounds

Country Status (6)

Country Link
US (3) US7540920B2 (en)
EP (1) EP1563529B1 (en)
JP (3) JP2006515955A (en)
KR (1) KR101144366B1 (en)
AU (1) AU2003301382A1 (en)
WO (1) WO2004036631A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7326357B2 (en) 2018-06-29 2023-08-15 エボニック オペレーションズ ゲーエムベーハー Partially hydrogenated chlorosilanes and method for their production by selective hydrogenation

Families Citing this family (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2002306436A1 (en) 2001-02-12 2002-10-15 Asm America, Inc. Improved process for deposition of semiconductor films
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US7468311B2 (en) * 2003-09-30 2008-12-23 Tokyo Electron Limited Deposition of silicon-containing films from hexachlorodisilane
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US6987055B2 (en) * 2004-01-09 2006-01-17 Micron Technology, Inc. Methods for deposition of semiconductor material
WO2005116304A2 (en) * 2004-04-23 2005-12-08 Asm America, Inc. In situ doped epitaxial films
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
KR101292435B1 (en) 2004-09-14 2013-07-31 아리조나 보드 오브 리전트스, 아리조나주의 아리조나 주립대 대행법인 Method for growing si-ge semiconductor materials and devices on substrates
US7981392B2 (en) 2004-09-14 2011-07-19 The Arizona Board Of Regents, A Body Corporate Of The State Of Arizona Acting For And On Behalf Of Arizona State University Hydride compounds with silicon and germanium core atoms and method of synthesizing same
US20060071213A1 (en) * 2004-10-04 2006-04-06 Ce Ma Low temperature selective epitaxial growth of silicon germanium layers
US7015153B1 (en) * 2004-10-20 2006-03-21 Freescale Semiconductor, Inc. Method for forming a layer using a purging gas in a semiconductor process
KR100585175B1 (en) * 2005-01-31 2006-05-30 삼성전자주식회사 Fabrication method of gesbte thin film by chemical vapor deposition process
US7438760B2 (en) * 2005-02-04 2008-10-21 Asm America, Inc. Methods of making substitutionally carbon-doped crystalline Si-containing materials by chemical vapor deposition
KR100642646B1 (en) * 2005-07-08 2006-11-10 삼성전자주식회사 Methods of selectively forming an epitaxial semiconductor layer using a ultra high vacuum chemical vapor deposition technique and batch-type ultra high vacuum chemical vapor deposition apparatus used therein
US20070048956A1 (en) * 2005-08-30 2007-03-01 Tokyo Electron Limited Interrupted deposition process for selective deposition of Si-containing films
US20090087967A1 (en) * 2005-11-14 2009-04-02 Todd Michael A Precursors and processes for low temperature selective epitaxial growth
EP1960310B1 (en) 2005-11-23 2013-08-21 THE ARIZONA BOARD OF REGENTS, a body corporate acting on behalf of ARIZONA STATE UNIVERSITY Silicon-germanium hydrides and methods for making and using same
WO2007062096A2 (en) * 2005-11-23 2007-05-31 The Arizona Board Of Regents, A Body Corporate Acting On Behalf Of Arizona State University Silicon-germanium hydrides and methods for making and using same
WO2007078802A2 (en) * 2005-12-22 2007-07-12 Asm America, Inc. Epitaxial deposition of doped semiconductor materials
KR100695168B1 (en) * 2006-01-10 2007-03-14 삼성전자주식회사 Method of forming phase change material thin film, and method of manufacturing phase change memory device using the same
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US20080026149A1 (en) * 2006-05-31 2008-01-31 Asm America, Inc. Methods and systems for selectively depositing si-containing films using chloropolysilanes
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
DE102006034061A1 (en) * 2006-07-20 2008-01-24 REV Renewable Energy Ventures, Inc., Aloha Polysilane processing and use
DE102006043929B4 (en) * 2006-09-14 2016-10-06 Spawnt Private S.À.R.L. Process for the preparation of solid polysilane mixtures
US8147789B2 (en) * 2006-10-24 2012-04-03 Dow Corning Corporation Composition comprising neopentasilane and method of preparing same
US20080132039A1 (en) * 2006-12-01 2008-06-05 Yonah Cho Formation and treatment of epitaxial layer containing silicon and carbon
US7837790B2 (en) * 2006-12-01 2010-11-23 Applied Materials, Inc. Formation and treatment of epitaxial layer containing silicon and carbon
US7741200B2 (en) * 2006-12-01 2010-06-22 Applied Materials, Inc. Formation and treatment of epitaxial layer containing silicon and carbon
US20080138955A1 (en) * 2006-12-12 2008-06-12 Zhiyuan Ye Formation of epitaxial layer containing silicon
US7897495B2 (en) * 2006-12-12 2011-03-01 Applied Materials, Inc. Formation of epitaxial layer containing silicon and carbon
US8394196B2 (en) * 2006-12-12 2013-03-12 Applied Materials, Inc. Formation of in-situ phosphorus doped epitaxial layer containing silicon and carbon
US7960236B2 (en) * 2006-12-12 2011-06-14 Applied Materials, Inc. Phosphorus containing Si epitaxial layers in N-type source/drain junctions
US9064960B2 (en) * 2007-01-31 2015-06-23 Applied Materials, Inc. Selective epitaxy process control
DE102007007874A1 (en) 2007-02-14 2008-08-21 Evonik Degussa Gmbh Process for the preparation of higher silanes
JP5638387B2 (en) * 2007-04-02 2014-12-10 アリゾナ ボード オブ リージェンツ ア ボディー コーポレート アクティング オン ビハーフ オブ アリゾナ ステイト ユニバーシティARIZONA BOARD OF REGENTS,a body corporate acting on behalf of ARIZONA STATE UNIVERSITY Novel process for producing and using halosilylgermane
US7915104B1 (en) 2007-06-04 2011-03-29 The Arizona Board Of Regents, A Body Corporate Of The State Of Arizona Acting For And On Behalf Of Arizona State University Methods and compositions for preparing tensile strained Ge on Ge1-ySny buffered semiconductor substrates
TWI347000B (en) * 2007-06-11 2011-08-11 Xintec Inc Integrated circuit package and operation, fabrication method thereof
KR101028416B1 (en) * 2007-08-20 2011-04-13 재단법인서울대학교산학협력재단 Method for production of thin film and apparatus for manufacturing the same
US7759199B2 (en) 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US7776698B2 (en) 2007-10-05 2010-08-17 Applied Materials, Inc. Selective formation of silicon carbon epitaxial layer
JP4933399B2 (en) * 2007-10-25 2012-05-16 株式会社ニューフレアテクノロジー Semiconductor manufacturing method and semiconductor manufacturing apparatus
US7939447B2 (en) 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8486191B2 (en) 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
DE102009056436B4 (en) * 2009-12-02 2013-06-27 Spawnt Private S.À.R.L. Chloride-containing silicon
DE102010002405A1 (en) 2010-02-26 2011-09-01 Evonik Degussa Gmbh A process for the oligomerization of hydridosilanes, the process of preparing oligomerizates and their use
US8012859B1 (en) * 2010-03-31 2011-09-06 Tokyo Electron Limited Atomic layer deposition of silicon and silicon-containing films
WO2011127147A1 (en) 2010-04-06 2011-10-13 Kovio, Inc Epitaxial structures, methods of forming the same, and devices including the same
US8916425B2 (en) 2010-07-26 2014-12-23 Semiconductor Energy Laboratory Co., Ltd. Method for forming microcrystalline semiconductor film and method for manufacturing semiconductor device
JP5847566B2 (en) 2011-01-14 2016-01-27 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and program
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US8900979B2 (en) 2011-11-23 2014-12-02 University Of South Carolina Pretreatment method for reduction and/or elimination of basal plane dislocations close to epilayer/substrate interface in growth of SiC epitaxial films
US20130193492A1 (en) * 2012-01-30 2013-08-01 International Business Machines Corporation Silicon carbon film structure and method
JP5959907B2 (en) * 2012-04-12 2016-08-02 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and program
US20150087140A1 (en) * 2012-04-23 2015-03-26 Tokyo Electron Limited Film forming method, film forming device, and film forming system
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
JP2015053382A (en) * 2013-09-06 2015-03-19 株式会社日本触媒 Silicon-containing epitaxial film, manufacturing method thereof, and semiconductor device
US20150303060A1 (en) * 2014-04-16 2015-10-22 Samsung Electronics Co., Ltd. Silicon precursor, method of forming a layer using the same, and method of fabricating semiconductor device using the same
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9472392B2 (en) 2015-01-30 2016-10-18 Applied Materials, Inc. Step coverage dielectric
US10157735B2 (en) 2015-05-22 2018-12-18 Dow Silicones Corporation Pentachlorodisilane
JP6086942B2 (en) * 2015-06-10 2017-03-01 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and program
KR102352232B1 (en) 2015-06-15 2022-01-17 삼성전자주식회사 Method of fabricating semiconductor device having contact structures
US11268190B2 (en) * 2015-06-16 2022-03-08 Versum Materials Us, Llc Processes for depositing silicon-containing films using halidosilane compounds
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9633838B2 (en) 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
KR102441431B1 (en) * 2016-06-06 2022-09-06 어플라이드 머티어리얼스, 인코포레이티드 Processing methods comprising positioning a substrate with a surface in a processing chamber
JP6934045B2 (en) * 2016-09-26 2021-09-08 ナタ セミコンダクター マテリアルズ カンパニー リミテッド Trichlorodisilane
TWI812984B (en) 2016-12-12 2023-08-21 美商應用材料股份有限公司 Method of forming strained channel layer
CN116978862A (en) * 2017-05-02 2023-10-31 应用材料公司 Method for forming tungsten pillar
EP3409678B1 (en) 2017-06-01 2021-04-21 Evonik Operations GmbH New halogen germanides and method for their preparation
EP3413334B1 (en) 2017-06-01 2020-09-09 Evonik Operations GmbH New chlorsilylaryl germanes, method for their preparation and their use
EP3409645B1 (en) * 2017-06-01 2019-10-02 Evonik Degussa GmbH Triphenylgermylsilane and trichlorsilyl-trichlorgermane for the production of germanium-silicon layers as well as processes for their preparation from trichlorsilyl-triphenylgermane
WO2019005571A1 (en) * 2017-06-29 2019-01-03 Dow Silicones Corporation Synthesis of 1,1,1-trichlorodisilane
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
KR102346832B1 (en) * 2018-05-23 2022-01-03 삼성에스디아이 주식회사 Etching composition for silicon nitride layer and etching process using the same
CN112469845A (en) * 2018-07-12 2021-03-09 路特斯应用技术有限责任公司 Water insensitive method of forming metal oxide films and related products
EP3653578B1 (en) 2018-11-14 2021-04-21 Evonik Operations GmbH Tetrakis(trichlorsilyl) german, method for producing same
EP3653577B1 (en) 2018-11-14 2021-10-06 Evonik Operations GmbH Tris(trichlorsilyl)dichlorogallylgerman, method for producing same and its use
US11145504B2 (en) 2019-01-14 2021-10-12 Applied Materials, Inc. Method of forming film stacks with reduced defects
DE102020114994A1 (en) 2020-06-05 2021-12-09 Johann Wolfgang Goethe-Universität Frankfurt am Main Stiftung des öffentlichen Rechts Silylated oligogerman, process for the production of the same and the use of the same for the production of a solid containing Si and Ge
JP2023529170A (en) 2020-06-05 2023-07-07 ヨハン ウォルフガング ゲーテ-ウニベルジテート フランクフルト アム マイン Silylated oligogermane and polycyclic silicon-germanium compounds, methods for their preparation, and their use for the preparation of Si- and Ge-containing solids
WO2022111758A1 (en) 2020-11-27 2022-06-02 Johann Wolfgang Goethe-Universität Polycyclic silicon-germanium compounds, process of preparing same and their use for producing a si- and ge-containing solid

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4690830A (en) * 1986-02-18 1987-09-01 Solarex Corporation Activation by dehydrogenation or dehalogenation of deposition feedstock and dopant materials useful in the fabrication of hydrogenated amorphous silicon alloys for photovoltaic devices and other semiconductor devices
JPS63234513A (en) * 1987-03-24 1988-09-29 Canon Inc Deposition film formation
EP0296702A2 (en) * 1987-06-22 1988-12-28 Dow Corning Corporation Method of forming semiconducting amorphous silicon films from the thermal decompositon of fluorohydridodisilanes
JPS6483510A (en) * 1987-09-28 1989-03-29 Ibiden Co Ltd Production of beta type silicon carbide powder
US4830890A (en) * 1985-12-24 1989-05-16 Canon Kabushiki Kaisha Method for forming a deposited film from a gaseous silane compound heated on a substrate and introducing an active species therewith
US5604151A (en) * 1992-11-23 1997-02-18 Cvd, Incorporated Chemical vapor deposition-produced silicon carbide having improved properties

Family Cites Families (116)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5294286A (en) 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
US5294285A (en) * 1986-02-07 1994-03-15 Canon Kabushiki Kaisha Process for the production of functional crystalline film
JPH0639357B2 (en) 1986-09-08 1994-05-25 新技術開発事業団 Method for growing element semiconductor single crystal thin film
US5607511A (en) 1992-02-21 1997-03-04 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
CA1333420C (en) * 1988-02-29 1994-12-06 Tokumichi Murakami Vector quantizer
US5112439A (en) 1988-11-30 1992-05-12 Mcnc Method for selectively depositing material on substrates
JPH0824191B2 (en) 1989-03-17 1996-03-06 富士通株式会社 Thin film transistor
AU5977190A (en) 1989-07-27 1991-01-31 Nishizawa, Junichi Impurity doping method with adsorbed diffusion source
US4990374A (en) * 1989-11-28 1991-02-05 Cvd Incorporated Selective area chemical vapor deposition
JPH03185817A (en) * 1989-12-15 1991-08-13 Seiko Epson Corp Method of forming semiconductor film
JPH0485818A (en) * 1990-07-26 1992-03-18 Fujitsu Ltd Manufacture of semiconductor device
US5480818A (en) 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
JPH0750690B2 (en) 1992-08-21 1995-05-31 日本電気株式会社 Method and apparatus for epitaxial growth of semiconductor crystal using halide
US5273930A (en) 1992-09-03 1993-12-28 Motorola, Inc. Method of forming a non-selective silicon-germanium epitaxial film
JP3265042B2 (en) 1993-03-18 2002-03-11 東京エレクトロン株式会社 Film formation method
JPH0729897A (en) 1993-06-25 1995-01-31 Nec Corp Manufacture of semiconductor device
US5372860A (en) 1993-07-06 1994-12-13 Corning Incorporated Silicon device production
JPH07109573A (en) 1993-10-12 1995-04-25 Semiconductor Energy Lab Co Ltd Glass substrate and heat treatment
US5512126A (en) * 1994-03-11 1996-04-30 Polaroid Corporation Optical laminator
JPH07300649A (en) 1994-04-27 1995-11-14 Kobe Steel Ltd Hard film excellent in wear resistance and oxidation resistance and high hardness member
JP3484815B2 (en) * 1994-05-09 2004-01-06 昭和電工株式会社 Method for manufacturing thin film transistor
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
JP3286522B2 (en) 1996-03-14 2002-05-27 日立ビアメカニクス株式会社 Printed circuit board processing equipment
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
AUPO347196A0 (en) 1996-11-06 1996-12-05 Pacific Solar Pty Limited Improved method of forming polycrystalline-silicon films on glass
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US6335280B1 (en) 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
US6118216A (en) 1997-06-02 2000-09-12 Osram Sylvania Inc. Lead and arsenic free borosilicate glass and lamp containing same
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100385946B1 (en) 1999-12-08 2003-06-02 삼성전자주식회사 Method for forming a metal layer by an atomic layer deposition and a semiconductor device with the metal layer as a barrier metal layer, an upper electrode, or a lower electrode of capacitor
KR100269306B1 (en) 1997-07-31 2000-10-16 윤종용 Integrate circuit device having buffer layer containing metal oxide stabilized by low temperature treatment and fabricating method thereof
JP3462976B2 (en) * 1997-07-31 2003-11-05 シャープ株式会社 Method for producing silicon particles and method for forming silicon film
KR100261017B1 (en) 1997-08-19 2000-08-01 윤종용 Method for forming metal wiring of semiconductor device
US6027705A (en) 1998-01-08 2000-02-22 Showa Denko K.K. Method for producing a higher silane
JPH11260729A (en) * 1998-01-08 1999-09-24 Showa Denko Kk Production of higher order silane
US6042654A (en) 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
US6514880B2 (en) 1998-02-05 2003-02-04 Asm Japan K.K. Siloxan polymer film on semiconductor substrate and method for forming same
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6159852A (en) 1998-02-13 2000-12-12 Micron Technology, Inc. Method of depositing polysilicon, method of fabricating a field effect transistor, method of forming a contact to a substrate, method of forming a capacitor
US6797558B2 (en) 2001-04-24 2004-09-28 Micron Technology, Inc. Methods of forming a capacitor with substantially selective deposite of polysilicon on a substantially crystalline capacitor dielectric layer
DE69923436T2 (en) 1998-03-06 2006-01-05 Asm America Inc., Phoenix PROCESS FOR COATING SILICON WITH HIGH EDGE COVER
JP4214585B2 (en) 1998-04-24 2009-01-28 富士ゼロックス株式会社 Semiconductor device, semiconductor device manufacturing method and manufacturing apparatus
US6025627A (en) 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
KR100275738B1 (en) 1998-08-07 2000-12-15 윤종용 Method for producing thin film using atomatic layer deposition
KR20000022003A (en) 1998-09-10 2000-04-25 이경수 Method for forming three-components compound comprising metal and silicon
KR100287180B1 (en) 1998-09-17 2001-04-16 윤종용 Method for manufacturing semiconductor device including metal interconnection formed using interface control layer
JP2000114190A (en) * 1998-10-08 2000-04-21 Sony Corp Vapor phase growth method, and manufacture of semiconductor device
KR100327328B1 (en) 1998-10-13 2002-05-09 윤종용 Method for forming dielectric layer of capacitor having partially different thickness in the layer
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
JP2001024194A (en) * 1999-05-06 2001-01-26 Toshiba Corp Semiconductor device and manufacture thereof
US6305531B1 (en) * 1999-05-25 2001-10-23 Michael A. Wilkman Reduced cost impregnated wipes
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
KR20010017820A (en) 1999-08-14 2001-03-05 윤종용 Semiconductor device and manufacturing method thereof
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6489241B1 (en) 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
FI117942B (en) 1999-10-14 2007-04-30 Asm Int Process for making oxide thin films
AU1208201A (en) 1999-10-15 2001-04-30 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
TW468212B (en) 1999-10-25 2001-12-11 Motorola Inc Method for fabricating a semiconductor structure including a metal oxide interface with silicon
FI118804B (en) * 1999-12-03 2008-03-31 Asm Int Process for making oxide films
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
WO2001041544A2 (en) 1999-12-11 2001-06-14 Asm America, Inc. Deposition of gate stacks including silicon germanium layers
US6291319B1 (en) 1999-12-17 2001-09-18 Motorola, Inc. Method for fabricating a semiconductor structure having a stable crystalline interface with silicon
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
EP1123991A3 (en) 2000-02-08 2002-11-13 Asm Japan K.K. Low dielectric constant materials and processes
US6492283B2 (en) 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
JP3636962B2 (en) * 2000-04-10 2005-04-06 三菱住友シリコン株式会社 Semiconductor manufacturing method
EP1266054B1 (en) 2000-03-07 2006-12-20 Asm International N.V. Graded thin films
KR100363088B1 (en) 2000-04-20 2002-12-02 삼성전자 주식회사 Method of manufacturing barrier metal layer using atomic layer deposition method
US6458718B1 (en) 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
JP2001352087A (en) * 2000-06-07 2001-12-21 Tokuyama Corp Silicon film and its forming method
JP2002009035A (en) * 2000-06-26 2002-01-11 Toshiba Corp Method and device for washing substrate
JP4193017B2 (en) * 2000-09-26 2008-12-10 Jsr株式会社 Method for forming boron doped silicon film
KR100378186B1 (en) 2000-10-19 2003-03-29 삼성전자주식회사 Semiconductor device adopting thin film formed by atomic layer deposition and fabrication method thereof
US6319772B1 (en) 2000-10-30 2001-11-20 Chartered Semiconductor Manufacturing Ltd. Method for making low-leakage DRAM structures using selective silicon epitaxial growth (SEG) on an insulating layer
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
EP1340269B1 (en) 2000-11-30 2009-02-25 Asm International N.V. Thin films for magnetic devices
KR100385947B1 (en) 2000-12-06 2003-06-02 삼성전자주식회사 Method of forming thin film by atomic layer deposition
KR20020049875A (en) 2000-12-20 2002-06-26 윤종용 Ferroelectric capacitor in semiconductor memory device and method for manufacturing the same
KR100393208B1 (en) 2001-01-15 2003-07-31 삼성전자주식회사 Semiconductor device using doped polycrystalline silicon-germanium layer and method for manufacturing the same
US6528374B2 (en) 2001-02-05 2003-03-04 International Business Machines Corporation Method for forming dielectric stack without interfacial layer
US6603413B2 (en) * 2001-02-07 2003-08-05 Canon Kabushiki Kaisha Variable-length decoding apparatus and method
JP2002237590A (en) * 2001-02-09 2002-08-23 Univ Tohoku Mos field effect transistor
AU2002306436A1 (en) 2001-02-12 2002-10-15 Asm America, Inc. Improved process for deposition of semiconductor films
US7026219B2 (en) 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
JP2002270685A (en) 2001-03-08 2002-09-20 Mitsubishi Electric Corp Manufacturing method for semiconductor device
KR100500013B1 (en) * 2001-04-02 2005-07-12 마츠시타 덴끼 산교 가부시키가이샤 Semiconductor device and method for manufacture thereof
JP2002343790A (en) 2001-05-21 2002-11-29 Nec Corp Vapor-phase deposition method of metallic compound thin film and method for manufacturing semiconductor device
US6905542B2 (en) * 2001-05-24 2005-06-14 Arkadii V. Samoilov Waveguides such as SiGeC waveguides and method of fabricating the same
US6770134B2 (en) * 2001-05-24 2004-08-03 Applied Materials, Inc. Method for fabricating waveguides
JP2004533118A (en) 2001-05-30 2004-10-28 エーエスエム アメリカ インコーポレイテッド Low temperature loading and unloading and baking
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6709989B2 (en) 2001-06-21 2004-03-23 Motorola, Inc. Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6861334B2 (en) 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US6806145B2 (en) 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US6926926B2 (en) * 2001-09-10 2005-08-09 Applied Materials, Inc. Silicon carbide deposited by high density plasma chemical-vapor deposition with bias
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6743681B2 (en) * 2001-11-09 2004-06-01 Micron Technology, Inc. Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride
US6696332B2 (en) * 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6790755B2 (en) * 2001-12-27 2004-09-14 Advanced Micro Devices, Inc. Preparation of stack high-K gate dielectrics with nitrided layer
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6753618B2 (en) 2002-03-11 2004-06-22 Micron Technology, Inc. MIM capacitor with metal nitride electrode materials and method of formation
JP3937892B2 (en) * 2002-04-01 2007-06-27 日本電気株式会社 Thin film forming method and semiconductor device manufacturing method
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US20030197831A1 (en) * 2002-04-12 2003-10-23 Kim Hyoung Sik Lens fastening device for frameless spectacles
US7186630B2 (en) * 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US20040226911A1 (en) * 2003-04-24 2004-11-18 David Dutton Low-temperature etching environment
US6982433B2 (en) * 2003-06-12 2006-01-03 Intel Corporation Gate-induced strain for MOS performance improvement
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4830890A (en) * 1985-12-24 1989-05-16 Canon Kabushiki Kaisha Method for forming a deposited film from a gaseous silane compound heated on a substrate and introducing an active species therewith
US4690830A (en) * 1986-02-18 1987-09-01 Solarex Corporation Activation by dehydrogenation or dehalogenation of deposition feedstock and dopant materials useful in the fabrication of hydrogenated amorphous silicon alloys for photovoltaic devices and other semiconductor devices
JPS63234513A (en) * 1987-03-24 1988-09-29 Canon Inc Deposition film formation
EP0296702A2 (en) * 1987-06-22 1988-12-28 Dow Corning Corporation Method of forming semiconducting amorphous silicon films from the thermal decompositon of fluorohydridodisilanes
JPS6483510A (en) * 1987-09-28 1989-03-29 Ibiden Co Ltd Production of beta type silicon carbide powder
US5604151A (en) * 1992-11-23 1997-02-18 Cvd, Incorporated Chemical vapor deposition-produced silicon carbide having improved properties

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
MOORE, DARREN L. ET AL: "Reaction of hydrogen peroxide with organosilanes under chemical vapour deposition conditions", DALTON (2000), (16), 2673-2677, 2000, XP002276265 *
PATENT ABSTRACTS OF JAPAN vol. 013, no. 034 (E - 708) 25 January 1989 (1989-01-25) *
PATENT ABSTRACTS OF JAPAN vol. 013, no. 290 (C - 614) 5 July 1989 (1989-07-05) *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7326357B2 (en) 2018-06-29 2023-08-15 エボニック オペレーションズ ゲーエムベーハー Partially hydrogenated chlorosilanes and method for their production by selective hydrogenation

Also Published As

Publication number Publication date
US20070240632A1 (en) 2007-10-18
JP2010232674A (en) 2010-10-14
WO2004036631A2 (en) 2004-04-29
JP2014027294A (en) 2014-02-06
JP5593129B2 (en) 2014-09-17
AU2003301382A1 (en) 2004-05-04
US7645339B2 (en) 2010-01-12
US7758697B2 (en) 2010-07-20
US20080102218A1 (en) 2008-05-01
EP1563529B1 (en) 2013-12-18
KR20050074965A (en) 2005-07-19
JP2006515955A (en) 2006-06-08
KR101144366B1 (en) 2012-05-21
US7540920B2 (en) 2009-06-02
US20040224089A1 (en) 2004-11-11
EP1563529A2 (en) 2005-08-17
AU2003301382A8 (en) 2004-05-04

Similar Documents

Publication Publication Date Title
WO2004036631A3 (en) Silicon-containing layer deposition with silicon compounds
JP2007537601A5 (en)
WO2003036698A3 (en) Method of depositing high-quality sige on sige substrates
ATE524577T1 (en) METHOD FOR PRODUCING AN EPITACTICALLY GROWN LAYER
GB2409468A (en) Single crystal diamond
WO2003031679A3 (en) Method for depositing metal layers employing sequential deposition techniques
DE602004008941D1 (en) PROCESS FOR PREPARING AN EPITACTIC LAYER
WO2011017339A3 (en) Methods of selectively depositing an epitaxial layer
TW200506107A (en) Multiple-step electrodeposition process for direct copper plating on barrier metals
EP1953809A3 (en) Method for depositing metal films by CVD on diffusion barrier layers
WO2004017365A3 (en) Deposition of amorphous silicon-containing films
EP1383163A3 (en) Methods for forming silicon dioxide layers on substrates using atomic layer deposition
WO2005087983A3 (en) Alternative methods for fabrication of substrates and heterostructures made of silicon compounds and alloys
WO2007078802A3 (en) Epitaxial deposition of doped semiconductor materials
WO2005013326A3 (en) Epitaxial growth of relaxed silicon germanium layers
TWI265558B (en) Method for depositing III-V semiconductor layers on a non-III-V substrate
EP1178523A4 (en) METHOD FOR GROWING GaN COMPOUND SEMICONDUCTOR CRYSTAL AND SEMICONDUCTOR SUBSTRATE
TW200501243A (en) Coated semiconductor wafer, and process and device for producing the semiconductor wafer
JP2010523458A5 (en)
TW200603267A (en) Method for making compound semiconductor and method for making semiconductor device
CA2204086A1 (en) Production of diamond film
PL1664379T3 (en) Metallization of substrate (s) by a liquid/vapor deposition process
WO2006083693B1 (en) Etchant treatment processes for substrate surfaces and chamber surfaces
WO2001039257A3 (en) Silicon layer highly sensitive to oxygen and method for obtaining same
AU2003210882A1 (en) Methods of treating a silicon carbide substrate for improved epitaxial deposition

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2004545570

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 20038A14143

Country of ref document: CN

WWE Wipo information: entry into national phase

Ref document number: 1020057006706

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 2003809181

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020057006706

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 2003809181

Country of ref document: EP