WO2004008491A2 - Thermal processing system and configurable vertical chamber - Google Patents

Thermal processing system and configurable vertical chamber Download PDF

Info

Publication number
WO2004008491A2
WO2004008491A2 PCT/US2003/021575 US0321575W WO2004008491A2 WO 2004008491 A2 WO2004008491 A2 WO 2004008491A2 US 0321575 W US0321575 W US 0321575W WO 2004008491 A2 WO2004008491 A2 WO 2004008491A2
Authority
WO
WIPO (PCT)
Prior art keywords
process chamber
substrates
carrier
pedestal
injector
Prior art date
Application number
PCT/US2003/021575
Other languages
French (fr)
Other versions
WO2004008491A3 (en
Inventor
Dale R. Dubois
Jamie H. Nam
Craig Wildman
Taiquing Qiu
Jeffrey M. Kowalski
Original Assignee
Aviza Technology, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Aviza Technology, Inc. filed Critical Aviza Technology, Inc.
Priority to AU2003259104A priority Critical patent/AU2003259104A1/en
Priority to EP03764437A priority patent/EP1522090A4/en
Priority to JP2004521615A priority patent/JP2005533378A/en
Priority to US10/521,619 priority patent/US20070243317A1/en
Publication of WO2004008491A2 publication Critical patent/WO2004008491A2/en
Publication of WO2004008491A3 publication Critical patent/WO2004008491A3/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements

Definitions

  • the present invention relates generally to systems and methods for heat- treating objects, such as substrates. More specifically, the present invention relates to an apparatus and method for heat treating, annealing, and depositing layers of material on or removing layers of material from a semiconductor wafer or substrate.
  • Thermal processing apparatuses are commonly used in the manufacture of integrated circuits (ICs) or semiconductor devices from semiconductor substrates or wafers.
  • Thermal processing of semiconductor wafers include, for example, heat treating, annealing, diffusion or driving of dopant material, deposition or growth of layers of material, and etching or removal of material from the substrate.
  • These processes often call for the wafer to be heated to a temperature as high asl300°C and as low as 300°C before and during the process, and that one or more fluids, such as a process gas or reactant, be delivered to the wafer.
  • these processes typically require that the wafer be maintained at a uniform temperature throughout the process, despite variations in the temperature of the process gas or the rate at which it is introduced into the process chamber.
  • a conventional thermal processing apparatus typically consists of a voluminous process chamber positioned in or surrounded by a furnace. Substrates to be thermally processed are sealed in the process chamber, which is then heated by the furnace to a desired temperature at which the processing is performed. For many processes, such as Chemical Vapor Deposition (CVD), the sealed process chamber is first evacuated, and once the process chamber has reached the desired temperature a reactive or process gases are introduced to form or deposit reactant species on the substrates.
  • CVD Chemical Vapor Deposition
  • thermal processing apparatus typically and in particular vertical thermal processing apparatuses, required guard heaters disposed adjacent to sidewalls of the process chamber above and below the process zone in which product wafers were processed.
  • This arrangement is undesirable since it entails a larger chamber volume that must be pumped down, filled with process gas or vapor, and backfilled or purged, resulting in increased processing time.
  • this configuration takes up a tremendous amount of space and power due to a poor view factor of the wafers from the heaters.
  • Other problems with conventional thermal processing apparatuses include the considerable time required both before processing to ramp up the temperature of the process chamber and the wafer to be treated, and the time required after processing to ramp down the temperature.
  • a fundamental reason for the relatively long ramp up and ramp down times is the thermal mass of the process chamber and/or fumace in conventional thermal processing apparatuses, which must be heated or cooled prior to effectively heating or cooling the wafer.
  • a common approach to minimizing or offsetting this limitation on throughput of conventional thermal processing apparatus has been to increase the number of wafers capable of being processed in a single cycle or run.. Simultaneous processing of a large number of wafers helps to maximize the effective throughput of the apparatus by reducing the effective processing time on a per wafer basis.
  • this approach also increases the magnitude of the risk should something go wrong during processing. That is a larger number of wafers could be destroyed or damaged by a single failure, for example, if there was an equipment or process failure during a single processing cycle. This is particularly a concern with larger wafer sizes and more complex integrated circuits where a single wafer could be valued at from $1,000 to $10,000 depending on the stage of processing.
  • Another problem with this solution is that increasing the size of the process chamber to accommodate a larger number of wafers increases the thermal mass effects of the process chamber, thereby reducing the rate at which the wafer can be heated or cooled. Moreover, larger process chambers processing larger batches of wafers leads to or compounds a first-in-last-out syndrome in which the first wafers loaded into the chamber are also the last wafers removed, resulting in these wafers being exposed to elevated temperatures for longer periods and reducing uniformity across the batch of wafers.
  • RTP rapid thermal processing
  • Conventional RTP systems generally use high intensity lamps to selectively heat a single wafer or small number of wafers within a small, transparent, usually quartz, process chamber. RTP systems minimize or eliminate the thermal mass effects of the process chamber, and since the lamps have very low thermal mass, the wafer can be heated and cooled rapidly by instantly turning the lamps on or off.
  • Another problem with conventional RTP systems is their inability to provide uniform temperature distribution across multiple wafers within a single batch of wafers and even across a single wafer. There are several reasons for this non- uniform temperature distribution including (i) a poor view factor of one or more of the wafers by one or more of the lamps, and (ii) variation in output power from the lamps.
  • the present invention provides a solution to these and other problems, and offers other advantages over the prior art.
  • the present invention provides an apparatus and method for isothermally heating work pieces, such as semiconductor substrates or wafers, for performing processes such as annealing, diffusion or driving of dopant material, deposition or growth of layers of material, and etching or removal of material from the wafer.
  • a thermal processing apparatus for processing substrates held in a carrier at high or elevated temperatures.
  • the apparatus includes a process chamber having a top wall, a side wall and a bottom wall, and a heating source having a number of heating elements proximal to the top wall, the side wall and the bottom wall of the process chamber to provide an isothermal environment in a process zone in which the carrier is positioned to thermally process the substrates.
  • the dimensions of the process chamber are selected to enclose a volume substantially no larger than a volume necessary to accommodate the carrier, and the process zone extends substantially throughout the process chamber.
  • the process chamber has dimensions selected to enclose a volume substantially no larger than 125% of that necessary to accommodate the carrier.
  • the apparatus further includes a pumping system to evacuate the process chamber prior to processing pressure and a purge system to backfill the process chamber after processing is complete, and the dimensions of the process chamber are selected to provide both a rapid evacuation and a rapid backfilling of the process chamber.
  • the bottom wall of the process chamber includes a movable pedestal having at least one heating element therein, and the movable pedestal is adapted to be lowered and raised to enable the carrier with the substrates to be inserted into and removed from the process chamber.
  • the apparatus further includes a removable thermal shield adapted to be inserted between heating element in the pedestal and the substrates held the carrier. The thermal shield is adapted to reflect thermal energy from the heating element in the pedestal back to the pedestal, and to shield the substrates on the carrier from thermal energy from the heating element in the pedestal.
  • the apparatus further includes a shutter adapted to be moved into place above the carrier to isolate the process chamber when the pedestal is in a lowered position. Where the apparatus includes a pumping system to evacuate the process chamber, and the shutter can be adapted to seal with the process chamber, thereby enabling the pumping system to evacuate the process chamber when the pedestal is in the lowered position.
  • the apparatus further includes a magnetically coupled repositioning system that repositions the carrier during thermal processing of the substrates.
  • the mechanical energy used to reposition the carrier is magnetically coupled through the pedestal to the carrier without use of a movable feedthrough into the process chamber, and substantially without moving the heating element in the pedestal.
  • the magnetically coupled repositioning system is a magnetically coupled rotation system that rotates the carrier within the process zone during thermal processing of the substrates.
  • the apparatus further includes a liner separating the carrier from the top wall and the side wall of the process chamber, and a distributive or cross-flow injection system to direct flow of a fluid across surfaces of each of the substrates held in the carrier.
  • the cross-flow injection system generally includes a cross-flow injector having a number of injection ports positioned relative to substrates held in the carrier, and through which the fluid is introduced on one side of the number of substrates.
  • a number of exhaust ports in the liner positioned relative to the substrates held in the carrier cause the fluid to flow across the surfaces of the substrates.
  • Fluids introduced by the cross- flow injection system can include process gas or vapor, and inert purge gases or vapor used for purging or backfilling the chamber or for cooling the substrates therein.
  • FIG. 1 is a cross-sectional view of a thermal processing apparatus having a pedestal heater for providing an isothermal control volume according to an embodiment of the present invention, employing conventional up-flow configuration;
  • FIG. 2 is a perspective view of an alternative embodiment a base-plate useful in the thermal processing apparatus shown in FIG. 1 ;
  • FIG. 3 is a cross-sectional view of a portion of a thermal processing apparatus having a pedestal heater and a thermal shield according to an embodiment of the present invention
  • FIG. 4 is a diagrammatic illustration of the pedestal heater and thermal shield of FIG. 3 according to an embodiment of the present invention.
  • FIG. 5 is a diagrammatic illustration of an embodiment of the thermal shield having a top layer of material with a high absorptivity and a lower layer of material with a high reflectivity according to present invention
  • FIG. 6 is a diagrammatic illustration of another embodiment of the thermal shield having a cooling channel according to present invention.
  • FIG. 7 is a perspective view of an embodiment of a thermal shield and an actuator according to present invention.
  • FIG. 8 is a cross-sectional view of a portion of a thermal processing apparatus having a shutter according to an embodiment of the present invention
  • FIG. 9 is a cross-sectional view of a process chamber having a pedestal heater and a magnetically coupled wafer rotation system according to an embodiment of the present invention
  • FIG. 10 is a cross-sectional view of a thermal processing apparatus having a cross-flow injector system according to an embodiment of the present invention
  • FIG. 11 is a cross-sectional side view of a portion of the thermal processing apparatus of FIG. 10 showing positions of injector orifices in relation to the liner and of exhaust slots in relation to the wafers according to an embodiment of the present invention
  • FIG. 12 is a plan view of a portion of the thermal processing apparatus of FIG. 10 taken along the line A-A of FIG. 10 showing gas flow from orifices of a primary and a secondary injector across a wafer and to an exhaust port according to an embodiment of the present invention
  • FIG. 13 is a plan view of a portion of the thermal processing apparatus of
  • FIG. 10 taken along the line A-A of FIG. 10 showing gas flow from orifices of a primary and a secondary injector across a wafer and to an exhaust port according to another embodiment of the present invention
  • FIG. 14 is a plan view of a portion of the thermal processing apparatus of FIG. 10 taken along the line A-A of FIG. 10 showing gas flow from orifices of a primary and a secondary injector across a wafer and to an exhaust port according to yet another embodiment of the present invention;
  • FIG. 15 is a plan view of a portion of the thermal processing apparatus of FIG. 10 taken along the line A-A of FIG. 10 showing gas flow from orifices of a primary and a secondary injector across a wafer and to an exhaust port according to still another embodiment of the present invention;
  • FIG. 16 is a cross-sectional view of a thermal processing apparatus having an alternative up-flow injector system according to an embodiment of the present invention
  • FIG. 17 is a cross-sectional view of a thermal processing apparatus having an alternative down- flow injector system according to an embodiment of the present invention
  • FIG. 18 is flowchart showing an embodiment of a process for thermally processing a batch of wafers according to an embodiment of the present invention whereby each wafer of the batch of wafers is quickly and uniformly heated to the desired temperature;
  • FIG. 19 is flowchart showing another embodiment of a process for thermally processing a batch of wafers according to an embodiment of the present invention whereby each wafer of the batch of wafers is quickly and uniformly heated to the desired temperature.
  • the present invention is directed to an apparatus and method for processing a relatively small number or mini-batch of one or more work pieces, such as semiconductor substrates or wafers, held in a ca ⁇ ier, such as a cassette or boat, that provides reduced processing cycle times and improved process uniformity.
  • mini-batch means a number of wafers less than the hundreds of wafers found in the typical batch systems, and preferably in the range of from one to about fifty-three semiconductor wafers or wafers, of which from one to fifty are product wafers and the remainder are non-product wafers used for monitoring purposes and as baffle wafers.
  • thermal processing it is meant processes that in which the work piece or wafer is heated to a desired temperature which is typically in the range of about 350°C to 1300°C.
  • Thermal processing of semiconductor wafers can include, for example, heat treating, annealing, diffusion or driving of dopant material, deposition or growth of layers of material, such as chemical vapor deposition or CVD, and etching or removal of material from the wafers.
  • thermal processing apparatus A thermal processing apparatus according to an embodiment will now be described with reference to FIG. 1. For purposes of clarity, many of the details of thermal processing apparatuses that are widely known and are widely known to a person of skill in the art have been omitted. Such detail is described in more detail in, for example, commonly assigned U.S. Patent number 4,770,590, which is incorporated herein by reference.
  • FIG. 1 is a cross-sectional view of an embodiment of a thermal processing apparatus for thermally processing a batch of semiconductor wafers.
  • the thermal processing apparatus 100 generally includes a vessel 101 that encloses a volume to form a process chamber 102 having a support 104 adapted for receiving a carrier or boat 106 with a batch of wafers 108 held therein, and heat source or furnace 110 having a number of heating elements 112-1, 112-2 and 112-3 (referred to collectively hereinafter as heating elements 112) for raising a temperature of the wafers to the desired temperature for thermal processing.
  • heating elements 112 a number of heating elements 112-1, 112-2 and 112-3
  • the thermal processing apparatus 100 further includes one or more optical or electrical temperature sensing elements, such as a resistance temperature device (RTD) or thermal couple (T/C), for monitoring the temperature within the process chamber 102 and/or controlling operation of the heating elements 112.
  • a resistance temperature device RTD
  • T/C thermal couple
  • the temperature sensing element is a profile T/C 114 that has multiple independent temperature sensing nodes or points (not shown) for detecting the temperature at multiple locations within the process chamber 102.
  • the thermal processing apparatus 100 can also include one or more injectors 116 (only one of which is shown) for introducing a fluid, such as a gas or vapor, into the process chamber 102 for processing and/or cooling the wafers 108, and one or more purge ports or vents 118 (only one of which is shown) for introducing a gas to purge the process chamber and/or to cool the wafers.
  • a liner 120 increases the concentration of processing gas or vapor near the wafers 108 in a region or process zone 128 in which the wafers are processed, and reduces contamination of the wafers from flaking or peeling of deposits that can form on interior surfaces of the process chamber 102. Processing gas or vapor exits the process zone through exhaust ports or slots 121 in the chamber liner 120.
  • the dimensions of the process chamber 102 and the base-plate 124 are selected to provide a rapid evacuation, rapid heating and a rapid backfilling of the process chamber.
  • the vessel 101 and the base-plate 124 are sized to provide a process chamber 102 having dimensions selected to enclose a volume substantially no larger than necessary to accommodate the carrier 106 with the wafers 108 held therein.
  • the vessel 101 and the base-plate 124 are sized to provide a process chamber 102 having dimensions of from about 125 to about 150% of that necessary to accommodate the carrier 106 with the wafers 108 held therein, and more preferably, the process chamber has dimensions no larger than about 125% of that necessary to accommodate the carrier and the wafers in order to minimize the chamber volume which aids in pump down and back-fill time required. Openings for the injectors 116, T/Cs 114 and vents 118 are sealed using seals such as o-rings, VCR ® , or CF fittings.
  • seals such as o-rings, VCR ® , or CF fittings.
  • Gases or vapor released or introduced during processing are evacuated through a foreline or exhaust port 126 formed in a wall of the process chamber 102 (not shown) or in a plenum 127 of the base-plate 124, as shown in FIG. 1.
  • the process chamber 102 can be maintained at atmospheric pressure during thermal processing or evacuated to a vacuum as low as 5 millitorr through a pumping system (not shown) including one or more roughing pumps, blowers, hi-vacuum pumps, and roughing, throttle and foreline valves.
  • the base-plate 124 further includes a substantially annular flow channel 129 adapted to receive and support an injector 116 including a ring 131 from which depend a number of vertical injector tube or injectors 116A.
  • the injectors 116A can be sized and shaped to provide an up-flow, down flow or cross-flow flow pattern, as described below.
  • the ring 131 and injectors 116A are located so as to inject the gas into the process chamber 102 between the boat 106 and the vessel 101.
  • the injectors 116A are spaced apart around the ring 131 to uniformly introduce process gas or vapor into the process chamber 102, and may, if desired, be used during purging or backfilling to introduce a purge gas into the process chamber.
  • the base-plate 124 is sized in a short cylindrical form with an outwardly extending upper flange 133, a sidewall 135, and an inwardly extending base 137.
  • the upper flange 133 is adapted to receive and support the vessel 101, and contains an o-ring 122 to seal the vessel to the upper flange.
  • the base 137 is adapted to receive and support the liner 120 outside of where the ring 131 of injectors 116 is supported.
  • the base-plate 124 shown in FIG. 2 incorporates various ports including backfill/purge gas inlet ports 139, 143, cooling ports 145,147, provided to circulate cooling fluid in the base-plate 124, and a pressure monitoring port 149 for monitoring pressure within the process chamber 102.
  • Process gas inlet ports 151, 161 introduce a gas from a supply (not shown) to the injectors 116.
  • the backfill/purge ports 139,143 are provided at the sidewall 135 of the base-plate 124 principally to introduce a gas from a vent/purge gas supply (not shown) to the vents 118.
  • a mass flow controller (not shown) or any other suitable flow controller is placed in line between the gas supplies and the ports 139, 143, 151 and 161 to control the gas flow into the process chamber 102.
  • the vessel 101 and liner 120 can be made of any metal, ceramic, crystalline or glass material that is capable of withstanding the thermal and mechanical stresses of high temperature and high vacuum operation, and which is resistant to erosion from gases and vapors used or released during processing.
  • the vessel 101 and liner 120 are made from an opaque, translucent or transparent quartz glass having a sufficient thickness to withstand the mechanical stresses and that resists deposition of process byproducts, thereby reducing potential contamination of the processing environment. More preferably, the vessel 101 and liner 120 are made from quartz that reduces or eliminates the conduction of heat away from the region or process zone 128 in which the wafers 108 are processed.
  • the batch of wafers 108 is introduced into the thermal processing apparatus 100 through a load lock or loadport (not shown) and then into the process chamber 102 through an access or opening in the process chamber or base-plate 124 capable of forming a gas tight seal therewith.
  • the process chamber 102 is a vertical reactor and the access utilizes a movable pedestal 130 that is raised during processing to seal with a seal, such as an o-ring 132 on the base-plate 124, and lowered to enable an operator or an automated handling system, such as a boat handling unit (BHU) (not shown), to position the carrier or boat 106 on the support 104 affixed to the pedestal.
  • the heating elements 112 include elements positioned proximal to a top 134
  • the heating elements 112 surround the wafers to achieve a good view factor of the wafers and thereby provide an isothermal control volume or process zone 128 in the process chamber in which the wafers 108 are processed.
  • the heating elements 112-1 proximal to the bottom 138 of the process chamber 102 can be disposed in or on the pedestal 130. If desired, additional heating elements may be disposed in or on the base plate 124 to supplement heat from the heating elements 112-1.
  • the heating elements 112-1 proximal to the bottom of the process chamber preferably are recessed in the movable pedestal 130.
  • the pedestal 130 is made from a thermally and electrically insulating material or insulating block 140 having an electric, resistive heating elements 112-1 embedded therein or affixed thereto.
  • the pedestal 130 further includes one or more feedback sensors or T/Cs 141 used to control the heating elements 112-1. In the configuration shown, the T/Cs 141 are embedded in the center of the insulating block 140.
  • the side heating elements 112-2 and the top heating elements 112-3 may be disposed in or on an insulating block 110 about the vessel 101.
  • the heating elements 112 and the insulating blocks 110 and 140 may be configured in any of a variety of ways and may be made in any of a variety of ways and with any of a variety of materials. Some suitable configurations, fabrication techniques and materials are well known in the art, and others are described in a PCT Patent Application Serial No. TBD entitled "Variable Heater Element For Low To High Temperature Ranges," which was filed on even date herewith under Attorney Docket No. FP-71795-PC, and which hereby is incorporated herein by reference thereto in its entirely.
  • the heating elements 112-1 proximal to the bottom 138 of the process chamber 102 have a maximum power output of from about 0.1 kW to about 10 kW with a maximum process temperature of at least 1150°C. More preferably, these bottom heating elements 112-1 have a power output of at least about 3.8 kW with a maximum process temperature of at least 950°C.
  • the side heating elements 112-2 are functionally divided into multiple zones, including a lower zone nearest the pedestal 130 and upper zone, each of which are capable of being operated independently at different power levels and duty cycles from each other and from the top heating elements 112-3 and bottom heating elements 112-1.
  • the heating elements 112 are controlled in any suitable manner, either by using a control technique of a type well known in the art, or the control technique described in a PCT Patent Application Serial No. TBD entitled “Feed Forward Temperature Controller”, which was filed on even date herewith under Attorney Docket No. FP-71754-PC, and which hereby is incorporated herein by reference thereto in its entirely.
  • Contamination from the insulating block 140 and bottom heating elements 112-1 is reduced if not eliminated by housing the heating element and insulation block in an inverted quartz crucible 142, which serves as a barrier between the heating element and insulation block and the process chamber 102.
  • the crucible 142 is also sealed against the loadport and BHU environment to further reduce or eliminate contamination of the processing environment.
  • the interior of the crucible 142 is at standard atmospheric pressure, so that the crucible 142 should be strong enough to withstand a pressure differential between the process chamber 102 and the pedestal 130 across the crucible 142 of as much as 1 atmosphere.
  • the bottom heating elements 112-1 are powered to maintain an idle temperature lower than the desired processing temperature.
  • the idle temperature can be from 50-150°.
  • the idle temperature can be set higher for certain processes, such as those having a higher desired processing temperature and/or higher desired ramp up rate, or to reduce thermal cycling effects on the bottom heating elements 112-1, thereby extending element life.
  • the bottom heating elements 112-1 can be ramped to at or below the desired process temperature during the push or load, that is while the pedestal 130 with a boat 106 of wafers 108 positioned thereon is being raised.
  • the bottom heating elements 112-1 reach the desired process temperature at the same time as the heating elements 112-3 and 112-2 located proximal to respectively the top 134 and side 136 of the process chamber 102.
  • the temperature of the bottom heating elements 112-1 can begin being ramped up before the pedestal 130 begins being raised, while the last of the wafers 108 in a batch are being loaded.
  • power to the bottom heating elements 112-1 can be reduce or removed completely to begin ramping down the pedestal 130 to the idle temperature, in preparation for cooling of the wafers 108 and unloading by the BHU.
  • a purge line for air or an inert purge gas such as nitrogen
  • nitrogen is injected through a passage 144 through the center of the insulating block 140 and allowed to flow out between the top of the insulating block 140 and the interior of the crucible 142 to a perimeter thereof.
  • the hot nitrogen is then exhausted to the environment either through High Efficiency Particulate Air (HEP A) filter (not shown) or to a facility exhaust (not shown).
  • HEP A High Efficiency Particulate Air
  • This center injection configuration facilitates the faster cooling of the center of the wafers 108, and therefore is ideal to minimize the center/edge temperature differential of the bottom wafer or wafers, which could otherwise result in damage due to slip-dislocation of the crystal lattice structure.
  • the idle temperature can be set higher, closer to the desired processing temperature to reduce the effects of thermal cycling.
  • the resistive heating elements are formed from an Aluminum containing alloy, such as Kanthal ®
  • baking out the heating elements 112-1 in an oxygen rich environment promotes an alumna oxide surface growth.
  • the insulating block 140 can further include an oxygen line (not shown) to promote the formation of the protective oxide surface coat during bake out of the heating elements 112-1.
  • oxygen for bake out can be introduced through the purge line used during processing to supply cooling nitrogen via a three-way valve.
  • FIG. 3 is a cross-sectional view of a portion of a thermal processing apparatus 100.
  • FIG. 3 shows the thermal processing apparatus 100 while the wafers 108 are being loaded or unloaded, that is while the pedestal 130 is in the lowered position.
  • the thermal processing apparatus 100 further includes a thermal shield 146 that can be rotated or slid into place above the pedestal 130 and the lower wafer 108 in the boat 106.
  • the thermal shield is reflective on the side facing the heating elements 112-1 and absorptive on the side facing the wafers 108.
  • Purposes of the thermal shield 146 include increasing the rate of cooling of the wafers 108 lower down in the boat 106, and assisting in maintaining the idle temperature of the pedestal 130 and bottom heating elements 112-1 to decrease the time required to ramp up the process chamber 102 to the desired processing temperature.
  • An embodiment of a thermal processing apparatus having a thermal shield will now be described in further detail with reference to FIGs. 3 through 6.
  • FIG. 3 also shows an embodiment of a thermal processing apparatus 100 having pedestal heating elements 112-1 and a thermal shield 146.
  • the thermal shield 146 is attached via arm 148 to a ratable shaft 150 that is turned by an electric, pneumatic or hydraulic actuator to rotate the thermal shield 146 into a first position between the heated pedestal 130 and the lowest of the wafers 108 in the boat 106 during the pull or unload cycle, and removed or rotated to a second position not between the pedestal and the wafers during at least a final portion or end of the push or load cycle, just before the bottom of the boat 106 enters into the chamber 102.
  • the ratable shaft 150 is mounted on or affixed to the mechanism (not shown) used for raising and lowering the pedestal 130, thereby enabling the thermal shield 146 to be rotated into position as soon as the top of the pedestal has cleared the process chamber 102. Having the shield 146 in place during the load cycle enables the heating elements 112-1 to be heated to a desired temperature more rapidly than would otherwise be possible. Similarly, during unload cycle the shield 146 helps in cooling the wafers, particularly those closer to the pedestal, by reflect the heat radiating from the pedestal heating elements 112-1.
  • the ratable shaft 150 can be a mounted on or affixed to another part of the thermal processing apparatus 100 and adapted to move axially in synchronization with the pedestal 130, or to rotate the thermal shield 146 into position only when the pedestal is fully lowered.
  • FIG. 4 is a diagrammatic illustration of the pedestal heating elements 112-1 and thermal shield 146 of FIG. 3 illustrating the reflection of thermal energy or heat radiating from the bottom heating elements back to the pedestal 130 and the absorption of thermal energy or heat radiating from the lower wafer 108 in the batch or stack of wafers. It has been determined that the desired characteristics, high reflectivity and high absorptivity, can be obtained using a number of different materials, such as metals, ceramic, glass or polymeric coatings, either individually or in combination. By way of example the following table list various suitable materials and co ⁇ esponding parameters.
  • the thermal shield 146 can be made from a single material such as silicon-carbide (SiC), opaque quartz or stainless steel which has been polished on one side and scuffed, abraded or roughened on the other.
  • SiC silicon-carbide
  • opaque quartz or stainless steel which has been polished on one side and scuffed, abraded or roughened on the other.
  • Roughening a surface of the thermal shield 146 can significantly change its heat transfer properties, particularly its reflectivity.
  • the thermal shield 146 can be made from two different layers of material.
  • FIG. 5 is a diagrammatic illustration of a thermal shield 146 having a top layer 152 of material, such as SiC or opaque quartz, with a high absorptivity and a lower layer 154 of material or metal, such as polished stainless steel or polished aluminum, with a high reflectivity.
  • a top layer 152 of material such as SiC or opaque quartz
  • a lower layer 154 of material or metal such as polished stainless steel or polished aluminum
  • the lower layer 154 can be an extremely thin layer or film of polished metal deposited, formed or plated on a quartz plate that forms the top layer 152.
  • the materials can be integrally formed or interlocking, or joined by conventional means such as bonding or fasteners.
  • the thermal shield 146 further includes an internal cooling channel 156 to further insulate the wafers 108 from the bottom heating elements 112-1.
  • the cooling channel 156 is formed between two different layers 152 and 154 of material.
  • the cooling channel 156 can be formed by milling or any other suitable technique in a highly absorptive opaque quartz layer 152, and be covered by a metal layer 154 or coating such as a Titanium or Aluminum coating.
  • the cooling channel 156 can be formed in the metal layer 154 or both the metal layer and the quartz layer 152.
  • FIG 7 is a perspective view of an embodiment of a thermal shield assembly 153 including the thermal shield 146, arm 148, ratable shaft 150 and an actuator 155.
  • the thermal processing apparatus 100 further includes a shutter 158 that can be rotated or slid or otherwise moved into place above the boat 106 to isolate the process chamber 102 from the outside or load port environment when the pedestal 130 is in the fully lowered position.
  • the shutter 158 can be slid into place above the carrier 106 when the pedestal 130 is in a lowered position, and raised to isolate the process chamber 102.
  • the shutter 158 can be rotated or swung into place above the carrier 106 when the pedestal 130 is in a lowered position, and subsequently raised to isolate the process chamber 102.
  • the shutter 158 may be rotated about or relative to threaded screw or rod to simultaneously raise the shutter to isolate the process chamber 102 as it is swung into place above the carrier 106.
  • the shutter 158 could form a vacuum seal against the base-plate 124 to allow the process chamber 102 to be pumped down to the process pressure or vacuum. For example, it may be desirable to pump down the process chamber 102 between sequential batches of wafers to reduce or eliminate the potential for contaminating the process environment.
  • Forming a vacuum seal is preferably done with a large diameter seal, such as an o-ring, and thus the shutter 158 can desirably include a number of water channels 160 to cool the seal.
  • the shutter 158 seals with the same o-ring 132 used to seal with the crucible 142 when the pedestal 130 is in the raised position.
  • the shutter 158 is simply an insulating plug designed to reduce heat loss from the bottom of the process chamber.
  • One embodiment for accomplishing this involves the use of an opaque quartz plate, which may or may not further include a number of cooling channels underneath or internal thereto.
  • the shutter 158 When the pedestal 130 is in the fully lowered position, the shutter 158 is moved into position below the process chamber 102 and then raised to isolate the process chamber by one or more electric, hydraulic or pneumatic actuators (not shown).
  • the actuators are pneumatic actuators using from about 15 to 60 pounds per square inch gauge (PSIG) air, which is commonly available on thermal processing apparatus 100 for operation of pneumatic valves.
  • the shutter 158 can comprise a plate having a number of wheels attached via short arms or cantilevers to two sides thereof. In operation, the plate or shutter 158 is rolled into position beneath the process chamber 102 on two parallel guide rails. Stops on the guide rails then cause the cantilevers to pivot translating the motion of the shutter 158 into an upward direction to seal the process chamber 102.
  • the thermal processing apparatus 100 further includes a magnetically coupled wafer rotation system 162 that rotates the support 104 and the boat 106 along with the wafers 108 supported thereon during processing.
  • Rotating the wafers 108 during processing improves within wafer (WIW) uniformity by averaging out any non-uniformities in the heating elements 112 and in process gas flows to create a uniform on-wafer temperature and species reaction profile.
  • the wafer rotation system 162 is capable of rotated the wafers 108 at a speed of from about 0.1 to about 10 revolutions per minute (RPM).
  • the wafer rotation system 162 includes a drive assembly or rotating mechanism 164 having a rotating motor 166, such as an electric or pneumatic motor, and a magnet 168 encased in a chemically resistive container, such as annealed polytetrafluoroethylene or stainless steel.
  • a chemically resistive container such as annealed polytetrafluoroethylene or stainless steel.
  • a steel ring 170 located just below the insulating block 140 of the pedestal 130, and a drive shaft 172 with the insulating block transfer the rotational energy to another magnet 174 located above the insulating block in a top portion of the pedestal.
  • the steel ring 170, drive shaft 172 and second magnet 174 are also encased in a chemically resistive container compound.
  • the magnet 174 located in the side of the pedestal 130 magnetically couples through the crucible 142 with a steel ring or magnet 176 embedded in or affixed to the support 104 in the process chamber 102.
  • Magnetically coupling the rotating mechanism 164 through the pedestal 130 eliminates the need for locating it within the processing environment or for having a mechanical feedthrough, thereby eliminating a potential source of leaks and contamination. Furthermore, locating rotating mechanism 164 outside and at some distance from the processing minimizes the maximum temperature of to which it is exposed, thereby increasing the reliability and operating life of the wafer rotation system 162.
  • the wafer rotation system 162 can further include one or more sensors (not shown) to ensure proper boat 106 position and proper magnetic coupling between the steel ring or magnet 176 in the process chamber 102 and the magnet 174 in the pedestal 130.
  • the boat position verification sensor includes a sensor protrusion (not shown) on the boat 106 and an optical or laser sensor located below the base- plate 124. In operation, after the wafers 108 have been processed and the pedestal 130 is lowered about 3 inches below the base-plate 124. There, the wafer rotation system 162 is commanded to turn the boat 106 until the boat sensor protrusion can be seen.
  • the wafer rotation system 162 is operated to align the boat so that the wafers 108 can be unloaded. After this is done, the boat is lowered to the load/unload height. After the initial check, it is only capable of verifying the boat location from the flag sensor.
  • improved injectors 216 are preferably used in the thermal processing apparatus 100.
  • the injectors 216 are distributive or cross(X)- flow injectors 216-1 in which process gas or vapor is introduced through injector openings or orifices 180 on one side of the wafers 108 and boat 106 and caused to flow across the surfaces of the wafers in a laminar flow to exit exhaust ports or slots 182 in the chamber line 120 on opposite the side.
  • X-flow injectors 116-1 improve wafer 108 to wafer uniformity within a batch of wafers 108 by providing an improved distribution of process gas or vapor over earlier up-flow or down flow configurations.
  • X-flow injectors 216 can serve other purposes, including the injection of gases for cool-down (e.g., helium, nitrogen, hydrogen) for forced convective cooling between the wafers 108.
  • gases for cool-down e.g., helium, nitrogen, hydrogen
  • Use of X-flow injectors 216 results in a more uniform cooling between wafers 108 whether disposed at the bottom or top of the stack or batch and those wafers that are disposed in the middle, as compared with earlier up-flow or down flow configurations.
  • the injector 216 orifices 180 are sized, shaped and position to provide a spray pattern that promotes forced convective cooling between the wafers 108 in a manner that does not create a large temperature gradient across the wafer. FIG.
  • FIG. 11 is a cross-sectional side view of a portion of the thermal processing apparatus 100 of FIG. 10 showing illustrative portions of the injector orifices 180 in relation to the chamber liner 120 and the exhaust slots 182 in relation to the wafers 108.
  • FIG. 12 is a plan view of a portion of the thermal processing apparatus 100 of FIG. 10 taken along the line A-A of FIG. 10 showing laminar gas flow from the orifices 180-1 and 180-2 of primary and secondary injectors 184, 186, across an illustrative one of the wafers 108 and to exhaust slots 182-1 and 182-2 according to one embodiment. It should be noted that the position of the exhaust slot 182 as shown in FIG.
  • the process gas or vapor is initially directed away from the wafers 108 and toward the liner 120 to promote mixing of the process gas or vapor before it reaches the wafers.
  • This configuration of orifices 180-1 and 180-2 is particularly useful for processes or recipes in which different reactants are introduced from each of the primary and secondary injectors 184, 186, for example to form a multi-component film or layer.
  • FIG. 13 is another plan view of a portion of the thermal processing apparatus 100 of FIG. 10 taken along the line A-A of FIG. 10 showing an alternative gas flow path from the orifices 180 of the primary and secondary injector 184, 186, across an illustrative on of the wafer 108 and to the exhaust slots 182 according to another embodiment.
  • FIG. 14 is another plan view of a portion of the thermal processing apparatus 100 of FIG. 10 taken along the line A-A of FIG. 10 showing an alternative gas flow path from the orifices 180 of the primary and secondary injector 184, 186, across an illustrative on of the wafer 108 and to the exhaust slots 182 according to yet another embodiment.
  • FIG. 15 is another plan view of a portion of the thermal processing apparatus 100 of FIG. 10 taken along the line A-A of FIG. 10 showing an alternative gas flow path from the orifices 180 of the primary and secondary injector 184, 186, across an illustrative on of the wafer 108 and to the exhaust slots 182 according to still another embodiment.
  • FIG. 16 is a cross-sectional view of a thermal processing apparatus 100 having two or more up-flow injectors 116-1 and 116-2 according to an alternative embodiment.
  • process gas or vapor admitted from the process injectors 116-1 and 116-2 having respective outlet orifices low in the process chamber 102 flows up and across the wafers 108, and spent gases exit exhaust slots 182 in the top of the liner 120.
  • An up-flow injector system is also shown in FIG. 1.
  • FIG. 17 is a cross-sectional view of a thermal processing apparatus 100 having a down-flow injector system according to an alternative embodiment.
  • the injectors 116, 216, and/or the liner 120 can be quickly and easily replaced or swapped with other injectors and liners having different points for the injection and exhausting of the process gas from the process zone 128.
  • the embodiment of the x-flow injector 216 shown in FIG. 10 adds a degree of process flexibility by enabling the flow pattern within the process chamber 102 to be quickly and easily changed from a cross-flow configuration, as shown in FIG.
  • FIG. 10 to an up-flow configuration, as shown in FIGs. 1 and 16, or a down-flow configuration, as shown in FIG. 17.
  • This can be accomplished through the use of easily installable injector assemblies 216 and liners 120 to convert the flow geometry from cross-flow to an up-flow or down- flow.
  • FIG. 18 is a flowchart showing steps of a method for thermally processing a batch of wafers 108 wherein each wafer of the batch of wafers is quickly and uniformly heated to the desired temperature.
  • the pedestal 130 is lowered, and the thermal shield 142 is moved into a position while the pedestal 130 is lowered to reflect heat from the bottom heating element 112-1 back to the pedestal 130 to maintain the temperature thereof, and to insulate the finished wafers 108 (step 190).
  • the shutter 158 is moved into position to seal or isolate the process chamber 102 (step 192), and power is applied to the heating elements 112-2, 112-3, to begin pre-heating the process chamber 102 to or maintain at an intermediate or idling temperature (step 194).
  • a carrier or boat 106 loaded with new wafers 108 is positioned on the pedestal 130 (step 196).
  • the pedestal 130 is raised to position the boat in the process zone 128, while simultaneously removing the shutter 158, the thermal shield 142, and ramping-up the bottom heating element 112-1 to preheat the wafers to an intermediate temperature (step 197).
  • the thermal shield 142 is removed just before the boat 106 is positioned in the process zone 128.
  • a fluid such as a process gas or vapor, is introduced on one side of the of wafers 108 through a plurality of injection ports 180 (step 198). The fluid flows from the injection ports 180 across surfaces of the wafers 108 to exhaust ports 182 positioned in the liner 120 on the opposite side of the wafers relative to the injection ports (step 199).
  • the boat 106 can be rotated within the process zone 128 during thermal processing of the batch of wafers 108 to further enhance uniformity of the thermal processing, by magnetically coupling mechanical energy through the pedestal 130 to the carrier or boat 106 to reposition it during thermal processing of the wafers (step 200).
  • FIG. 19 is a flowchart showing steps of an embodiment of a method for thermally processing a batch of wafers 108 in a carrier.
  • an apparatus 100 is provided having a process chamber 102 with dimensions and a volume not substantially larger than necessary (guard heaters absent) to accommodate the carrier 106 with the wafers 108 held therein.
  • the pedestal 130 is lowered, and the boat 106 with the wafers 108 held therein positioned thereon (step 202).
  • the pedestal 130 is raised to insert the boat in the process chamber 102, while simultaneously preheating the wafers 108 to an intermediate temperature (step 204).
  • Power is applied to the heating elements 112-1, 112-2, 112-3, each disposed proximate to at least one of the top wall 134, the side wall 136 and the bottom wall 138 of the process chamber 102 to begin heating the process chamber (step 206).
  • power to at least one of the heating elements is adjusted independently to provide a substantially isothermal environment at a desired temperature in a process zone 128 in the process chamber 102 (step 208).
  • the pedestal 130 is lowered, and the thermal shield 142 is moved into position to insulate the finished wafers 108 and to reflect heat from the bottom heating element 112-1 back to the pedestal 130 to maintain the temperature thereof (step 210).
  • the shutter 158 is moved into position to seal or isolate the process chamber 102, and power applied to the heating elements 112-2, 112-3, to maintain the temperature of the process chamber (step 212).
  • the boat 106 is then removed from the pedestal 130 (step 214), and another boat loaded with a new batch of wafers to be processed positioned on the pedestal (step 216).
  • the shutter 158 is repositioned or removed (step 218), and the thermal shield withdrawn or repositioned to preheat the wafers 108 in the boat 106 to an intermediate temperature while simultaneously raising the pedestal 130 to insert the boat into the process chamber 102 to thermally process the new batch of wafers (step 220).
  • thermal processing apparatus 100 reduces the processing or cycle time by about 75% over conventional systems.
  • a conventional large batch thermal processing apparatus may process 100 product wafers in about 232 minutes, including pre-processing and post-processing time.
  • the inventive thermal processing apparatus 100 performs the same processing on a mini-batch of 25 product wafers 108 in about 58 minutes.

Abstract

An apparatus (100) and method are provided for thermally processing substrates (108) held in a carrier (106). The apparatus (100) includes a vessel (101) having a top (134), side (136) and bottom (138), and a heat source (110) with heating elements (112-1, 112-2, 112-3) proximal thereto. The vessel (101) is sized to enclose a volume substantially no larger than necessary to accommodate the carrier (106), and to provide an isothermal process zone (128) extending throughout. In one embodiment, the bottom wall (138) includes a movable pedestal (140) with a bottom heating element therein (112-1), and the pedestal can be lowered and raised to insert the carrier (106) into the vessel (101). The apparatus (100) can include a movable shield (146) that is inserted between the pedestal (140) and the carrier (106) to shield the substrates (108) from the heating element (112-1) and to maintain pedestal temperature. A magnetically coupled repositioning system (162) repositions the carrier (106) during processing of the substrates (108) without use of a movable feedthrough into the volume enclosed by the vessel (101), and without moving the bottom heating element (112-1) in the pedestal (140).

Description

THERMAL PROCESSING SYSTEM AND CONFIGURABLE VERTICAL CHAMBER
CROSS REFERENCE TO RELATED APPLICATIONS
The present application claims the benefit of and priority from commonly assigned U.S. Provisional Patent Applications Serial Nos. 60/396,536, entitled
Thermal Processing System, and filed July 15, 2002, and 60/428,526, entitled
Thermal Processing System and Method for Using the Same, and filed November
22, 2002, both of which are incorporated herein by reference in their entirety.
TECHNICAL FIELD
The present invention relates generally to systems and methods for heat- treating objects, such as substrates. More specifically, the present invention relates to an apparatus and method for heat treating, annealing, and depositing layers of material on or removing layers of material from a semiconductor wafer or substrate.
BACKGROUND
Thermal processing apparatuses are commonly used in the manufacture of integrated circuits (ICs) or semiconductor devices from semiconductor substrates or wafers. Thermal processing of semiconductor wafers include, for example, heat treating, annealing, diffusion or driving of dopant material, deposition or growth of layers of material, and etching or removal of material from the substrate. These processes often call for the wafer to be heated to a temperature as high asl300°C and as low as 300°C before and during the process, and that one or more fluids, such as a process gas or reactant, be delivered to the wafer. Moreover, these processes typically require that the wafer be maintained at a uniform temperature throughout the process, despite variations in the temperature of the process gas or the rate at which it is introduced into the process chamber.
A conventional thermal processing apparatus typically consists of a voluminous process chamber positioned in or surrounded by a furnace. Substrates to be thermally processed are sealed in the process chamber, which is then heated by the furnace to a desired temperature at which the processing is performed. For many processes, such as Chemical Vapor Deposition (CVD), the sealed process chamber is first evacuated, and once the process chamber has reached the desired temperature a reactive or process gases are introduced to form or deposit reactant species on the substrates.
In the past, thermal processing apparatus typically and in particular vertical thermal processing apparatuses, required guard heaters disposed adjacent to sidewalls of the process chamber above and below the process zone in which product wafers were processed. This arrangement is undesirable since it entails a larger chamber volume that must be pumped down, filled with process gas or vapor, and backfilled or purged, resulting in increased processing time. Moreover, this configuration takes up a tremendous amount of space and power due to a poor view factor of the wafers from the heaters. Other problems with conventional thermal processing apparatuses include the considerable time required both before processing to ramp up the temperature of the process chamber and the wafer to be treated, and the time required after processing to ramp down the temperature. Furthermore, additional time is often required to ensure the temperature of the process chamber has stabilized uniformly at the desired temperature before processing can begin. While the actual time required for processing of the wafers may be half hour or less, pre- and postprocessing times typically take 1 to 3 hours or longer. Thus, the time required to quickly ramp up and/or down the temperature of the process chamber to a uniform temperature significantly limits the throughput of the conventional thermal processing apparatus.
A fundamental reason for the relatively long ramp up and ramp down times is the thermal mass of the process chamber and/or fumace in conventional thermal processing apparatuses, which must be heated or cooled prior to effectively heating or cooling the wafer.
A common approach to minimizing or offsetting this limitation on throughput of conventional thermal processing apparatus has been to increase the number of wafers capable of being processed in a single cycle or run.. Simultaneous processing of a large number of wafers helps to maximize the effective throughput of the apparatus by reducing the effective processing time on a per wafer basis. However, this approach also increases the magnitude of the risk should something go wrong during processing. That is a larger number of wafers could be destroyed or damaged by a single failure, for example, if there was an equipment or process failure during a single processing cycle. This is particularly a concern with larger wafer sizes and more complex integrated circuits where a single wafer could be valued at from $1,000 to $10,000 depending on the stage of processing.
Another problem with this solution is that increasing the size of the process chamber to accommodate a larger number of wafers increases the thermal mass effects of the process chamber, thereby reducing the rate at which the wafer can be heated or cooled. Moreover, larger process chambers processing larger batches of wafers leads to or compounds a first-in-last-out syndrome in which the first wafers loaded into the chamber are also the last wafers removed, resulting in these wafers being exposed to elevated temperatures for longer periods and reducing uniformity across the batch of wafers.
Another problem with the above approach is that systems and apparatuses used for many of the processes before and after thermal processing are not amenable to simultaneous processing of large numbers of wafers. Thus, thermal processing of large batches or large numbers wafers, while increasing the throughput of the thermal processing apparatus, can do little to improve the overall throughput of the semiconductor fabrication facility and may actually reduce it by requiring wafers to accumulate ahead of the thermal processing apparatus or causing wafers to bottleneck at other systems and apparatuses downstream therefrom. An alternative to the conventional thermal processing apparatus described above, are rapid thermal processing (RTP) systems that have been developed for rapidly thermal processing of wafers. Conventional RTP systems generally use high intensity lamps to selectively heat a single wafer or small number of wafers within a small, transparent, usually quartz, process chamber. RTP systems minimize or eliminate the thermal mass effects of the process chamber, and since the lamps have very low thermal mass, the wafer can be heated and cooled rapidly by instantly turning the lamps on or off.
Unfortunately, conventional RTP systems have significant shortcomings including the placement of the lamps, which in the past were arranged in zones or banks each consisting of a number of lamps adjacent to sidewalls of the process chamber. This configuration is problematic because it takes up a tremendous amount of space and power in order to be effective due to their poor view factor, all of which are at a premium in the latest generation of semiconductor processing equipment.
Another problem with conventional RTP systems is their inability to provide uniform temperature distribution across multiple wafers within a single batch of wafers and even across a single wafer. There are several reasons for this non- uniform temperature distribution including (i) a poor view factor of one or more of the wafers by one or more of the lamps, and (ii) variation in output power from the lamps.
Moreover, failure or variation in the output of a single lamp can adversely affect the temperature distribution across the wafer. Because of this in most lamp- based systems, the wafer or wafers are rotated to ensure that the temperature non- uniformity due to the variation in lamp output is not transferred to the wafer during processing. However, the moving parts required to rotate the wafer, particularly the rotating feedthrough into the process chamber, adds to the cost and complexity of the system, and reduces the overall reliability thereof.
Yet another troublesome area for RTP systems is in maintaining uniform temperature distribution across the outer edges and the center of the wafer. Most conventional RTP systems have no adequate means to adjust for this type of temperature non-uniformity. As a result, transient temperature fluctuations occur across the surface of the wafer that can cause the formation of slip dislocations in the wafer at high temperatures, unless a black body susceptor is used that is larger in diameter than the wafer.
Conventional lamp-based RTP systems have other drawbacks. For example, there are no adequate means for providing uniform power distribution and temperature uniformity during transient periods, such as when the lamps are powered on and off, unless phase angle control is used which produces electrical noise. Repeatability of performance is also usually a drawback of lamp-based systems, since each lamp tends to perform differently as it ages. Replacing lamps can also be costly and time consuming, especially when one considers that a given lamp system may have upwards of 180 lamps. The power requirement may also be costly, since the lamps may have a peak power consumption of about 250 kWatts.
Accordingly, there is a need for an apparatus and method for quickly and uniformly heating a batch of one or more substrates to a desired temperature across the surface of each substrate in the batch of during thermal processing.
SUMMARY
The present invention provides a solution to these and other problems, and offers other advantages over the prior art.
The present invention provides an apparatus and method for isothermally heating work pieces, such as semiconductor substrates or wafers, for performing processes such as annealing, diffusion or driving of dopant material, deposition or growth of layers of material, and etching or removal of material from the wafer.
A thermal processing apparatus is provided for processing substrates held in a carrier at high or elevated temperatures. The apparatus includes a process chamber having a top wall, a side wall and a bottom wall, and a heating source having a number of heating elements proximal to the top wall, the side wall and the bottom wall of the process chamber to provide an isothermal environment in a process zone in which the carrier is positioned to thermally process the substrates. According to one aspect, the dimensions of the process chamber are selected to enclose a volume substantially no larger than a volume necessary to accommodate the carrier, and the process zone extends substantially throughout the process chamber. Preferably, the process chamber has dimensions selected to enclose a volume substantially no larger than 125% of that necessary to accommodate the carrier. More preferably, the apparatus further includes a pumping system to evacuate the process chamber prior to processing pressure and a purge system to backfill the process chamber after processing is complete, and the dimensions of the process chamber are selected to provide both a rapid evacuation and a rapid backfilling of the process chamber.
According to another aspect of the invention, the bottom wall of the process chamber includes a movable pedestal having at least one heating element therein, and the movable pedestal is adapted to be lowered and raised to enable the carrier with the substrates to be inserted into and removed from the process chamber. In one embodiment, the apparatus further includes a removable thermal shield adapted to be inserted between heating element in the pedestal and the substrates held the carrier. The thermal shield is adapted to reflect thermal energy from the heating element in the pedestal back to the pedestal, and to shield the substrates on the carrier from thermal energy from the heating element in the pedestal. In one version of this embodiment, the apparatus further includes a shutter adapted to be moved into place above the carrier to isolate the process chamber when the pedestal is in a lowered position. Where the apparatus includes a pumping system to evacuate the process chamber, and the shutter can be adapted to seal with the process chamber, thereby enabling the pumping system to evacuate the process chamber when the pedestal is in the lowered position.
In yet another embodiment, the apparatus further includes a magnetically coupled repositioning system that repositions the carrier during thermal processing of the substrates. Preferably, the mechanical energy used to reposition the carrier is magnetically coupled through the pedestal to the carrier without use of a movable feedthrough into the process chamber, and substantially without moving the heating element in the pedestal. More preferably, the magnetically coupled repositioning system is a magnetically coupled rotation system that rotates the carrier within the process zone during thermal processing of the substrates.
According to yet another aspect of the invention, the apparatus further includes a liner separating the carrier from the top wall and the side wall of the process chamber, and a distributive or cross-flow injection system to direct flow of a fluid across surfaces of each of the substrates held in the carrier. The cross-flow injection system generally includes a cross-flow injector having a number of injection ports positioned relative to substrates held in the carrier, and through which the fluid is introduced on one side of the number of substrates. A number of exhaust ports in the liner positioned relative to the substrates held in the carrier cause the fluid to flow across the surfaces of the substrates. Fluids introduced by the cross- flow injection system can include process gas or vapor, and inert purge gases or vapor used for purging or backfilling the chamber or for cooling the substrates therein. BRIEF DESCRIPTION OF THE DRAWINGS
These and various other features and advantages of the present invention will be apparent upon reading of the following detailed description in conjunction with the accompanying drawings and the appended claims provided below, where: FIG. 1 is a cross-sectional view of a thermal processing apparatus having a pedestal heater for providing an isothermal control volume according to an embodiment of the present invention, employing conventional up-flow configuration;
FIG. 2 is a perspective view of an alternative embodiment a base-plate useful in the thermal processing apparatus shown in FIG. 1 ;
FIG. 3 is a cross-sectional view of a portion of a thermal processing apparatus having a pedestal heater and a thermal shield according to an embodiment of the present invention;
FIG. 4 is a diagrammatic illustration of the pedestal heater and thermal shield of FIG. 3 according to an embodiment of the present invention;
FIG. 5 is a diagrammatic illustration of an embodiment of the thermal shield having a top layer of material with a high absorptivity and a lower layer of material with a high reflectivity according to present invention;
FIG. 6 is a diagrammatic illustration of another embodiment of the thermal shield having a cooling channel according to present invention;
FIG. 7 is a perspective view of an embodiment of a thermal shield and an actuator according to present invention;
FIG. 8 is a cross-sectional view of a portion of a thermal processing apparatus having a shutter according to an embodiment of the present invention; FIG. 9 is a cross-sectional view of a process chamber having a pedestal heater and a magnetically coupled wafer rotation system according to an embodiment of the present invention;
FIG. 10 is a cross-sectional view of a thermal processing apparatus having a cross-flow injector system according to an embodiment of the present invention; FIG. 11 is a cross-sectional side view of a portion of the thermal processing apparatus of FIG. 10 showing positions of injector orifices in relation to the liner and of exhaust slots in relation to the wafers according to an embodiment of the present invention; FIG. 12 is a plan view of a portion of the thermal processing apparatus of FIG. 10 taken along the line A-A of FIG. 10 showing gas flow from orifices of a primary and a secondary injector across a wafer and to an exhaust port according to an embodiment of the present invention; FIG. 13 is a plan view of a portion of the thermal processing apparatus of
FIG. 10 taken along the line A-A of FIG. 10 showing gas flow from orifices of a primary and a secondary injector across a wafer and to an exhaust port according to another embodiment of the present invention;
FIG. 14 is a plan view of a portion of the thermal processing apparatus of FIG. 10 taken along the line A-A of FIG. 10 showing gas flow from orifices of a primary and a secondary injector across a wafer and to an exhaust port according to yet another embodiment of the present invention;
FIG. 15 is a plan view of a portion of the thermal processing apparatus of FIG. 10 taken along the line A-A of FIG. 10 showing gas flow from orifices of a primary and a secondary injector across a wafer and to an exhaust port according to still another embodiment of the present invention;
FIG. 16 is a cross-sectional view of a thermal processing apparatus having an alternative up-flow injector system according to an embodiment of the present invention; FIG. 17 is a cross-sectional view of a thermal processing apparatus having an alternative down- flow injector system according to an embodiment of the present invention;
FIG. 18 is flowchart showing an embodiment of a process for thermally processing a batch of wafers according to an embodiment of the present invention whereby each wafer of the batch of wafers is quickly and uniformly heated to the desired temperature; and
FIG. 19 is flowchart showing another embodiment of a process for thermally processing a batch of wafers according to an embodiment of the present invention whereby each wafer of the batch of wafers is quickly and uniformly heated to the desired temperature. DETAILED DESCRIPTION
The present invention is directed to an apparatus and method for processing a relatively small number or mini-batch of one or more work pieces, such as semiconductor substrates or wafers, held in a caπier, such as a cassette or boat, that provides reduced processing cycle times and improved process uniformity.
As used herein the term "mini-batch" means a number of wafers less than the hundreds of wafers found in the typical batch systems, and preferably in the range of from one to about fifty-three semiconductor wafers or wafers, of which from one to fifty are product wafers and the remainder are non-product wafers used for monitoring purposes and as baffle wafers.
By thermal processing it is meant processes that in which the work piece or wafer is heated to a desired temperature which is typically in the range of about 350°C to 1300°C. Thermal processing of semiconductor wafers can include, for example, heat treating, annealing, diffusion or driving of dopant material, deposition or growth of layers of material, such as chemical vapor deposition or CVD, and etching or removal of material from the wafers.
A thermal processing apparatus according to an embodiment will now be described with reference to FIG. 1. For purposes of clarity, many of the details of thermal processing apparatuses that are widely known and are widely known to a person of skill in the art have been omitted. Such detail is described in more detail in, for example, commonly assigned U.S. Patent number 4,770,590, which is incorporated herein by reference.
FIG. 1 is a cross-sectional view of an embodiment of a thermal processing apparatus for thermally processing a batch of semiconductor wafers. As shown, the thermal processing apparatus 100, generally includes a vessel 101 that encloses a volume to form a process chamber 102 having a support 104 adapted for receiving a carrier or boat 106 with a batch of wafers 108 held therein, and heat source or furnace 110 having a number of heating elements 112-1, 112-2 and 112-3 (referred to collectively hereinafter as heating elements 112) for raising a temperature of the wafers to the desired temperature for thermal processing. The thermal processing apparatus 100 further includes one or more optical or electrical temperature sensing elements, such as a resistance temperature device (RTD) or thermal couple (T/C), for monitoring the temperature within the process chamber 102 and/or controlling operation of the heating elements 112. In the embodiment shown the temperature sensing element is a profile T/C 114 that has multiple independent temperature sensing nodes or points (not shown) for detecting the temperature at multiple locations within the process chamber 102. The thermal processing apparatus 100 can also include one or more injectors 116 (only one of which is shown) for introducing a fluid, such as a gas or vapor, into the process chamber 102 for processing and/or cooling the wafers 108, and one or more purge ports or vents 118 (only one of which is shown) for introducing a gas to purge the process chamber and/or to cool the wafers. A liner 120 increases the concentration of processing gas or vapor near the wafers 108 in a region or process zone 128 in which the wafers are processed, and reduces contamination of the wafers from flaking or peeling of deposits that can form on interior surfaces of the process chamber 102. Processing gas or vapor exits the process zone through exhaust ports or slots 121 in the chamber liner 120.
Some other suitable configurations for injectors 116, fabrication techniques and materials are described in greater detail in a commonly assigned, co-pending PCT Patent Application Serial No. TBD entitled "Apparatus And Method For Backfilling A Semiconductor Wafer Process Chamber", which was filed on even date herewith under Attorney Docket No. FP-71750-PC, and which hereby is incorporated herein by reference thereto in its entirely. Generally, the vessel 101 is sealed by a seal, such as an o-ring 122, to a platform or base-plate 124 to form the process chamber 102, which completely encloses the wafers 108 during thermal processing. The dimensions of the process chamber 102 and the base-plate 124 are selected to provide a rapid evacuation, rapid heating and a rapid backfilling of the process chamber. Advantageously, the vessel 101 and the base-plate 124 are sized to provide a process chamber 102 having dimensions selected to enclose a volume substantially no larger than necessary to accommodate the carrier 106 with the wafers 108 held therein. Preferably, the vessel 101 and the base-plate 124 are sized to provide a process chamber 102 having dimensions of from about 125 to about 150% of that necessary to accommodate the carrier 106 with the wafers 108 held therein, and more preferably, the process chamber has dimensions no larger than about 125% of that necessary to accommodate the carrier and the wafers in order to minimize the chamber volume which aids in pump down and back-fill time required. Openings for the injectors 116, T/Cs 114 and vents 118 are sealed using seals such as o-rings, VCR®, or CF fittings. Gases or vapor released or introduced during processing are evacuated through a foreline or exhaust port 126 formed in a wall of the process chamber 102 (not shown) or in a plenum 127 of the base-plate 124, as shown in FIG. 1. The process chamber 102 can be maintained at atmospheric pressure during thermal processing or evacuated to a vacuum as low as 5 millitorr through a pumping system (not shown) including one or more roughing pumps, blowers, hi-vacuum pumps, and roughing, throttle and foreline valves.
In another embodiment, shown in FIG. 2, the base-plate 124 further includes a substantially annular flow channel 129 adapted to receive and support an injector 116 including a ring 131 from which depend a number of vertical injector tube or injectors 116A. The injectors 116A can be sized and shaped to provide an up-flow, down flow or cross-flow flow pattern, as described below. The ring 131 and injectors 116A are located so as to inject the gas into the process chamber 102 between the boat 106 and the vessel 101. In addition, the injectors 116A are spaced apart around the ring 131 to uniformly introduce process gas or vapor into the process chamber 102, and may, if desired, be used during purging or backfilling to introduce a purge gas into the process chamber. The base-plate 124 is sized in a short cylindrical form with an outwardly extending upper flange 133, a sidewall 135, and an inwardly extending base 137. The upper flange 133 is adapted to receive and support the vessel 101, and contains an o-ring 122 to seal the vessel to the upper flange. The base 137 is adapted to receive and support the liner 120 outside of where the ring 131 of injectors 116 is supported.
Additionally, the base-plate 124 shown in FIG. 2 incorporates various ports including backfill/purge gas inlet ports 139, 143, cooling ports 145,147, provided to circulate cooling fluid in the base-plate 124, and a pressure monitoring port 149 for monitoring pressure within the process chamber 102. Process gas inlet ports 151, 161, introduce a gas from a supply (not shown) to the injectors 116. The backfill/purge ports 139,143, are provided at the sidewall 135 of the base-plate 124 principally to introduce a gas from a vent/purge gas supply (not shown) to the vents 118. A mass flow controller (not shown) or any other suitable flow controller is placed in line between the gas supplies and the ports 139, 143, 151 and 161 to control the gas flow into the process chamber 102. The vessel 101 and liner 120 can be made of any metal, ceramic, crystalline or glass material that is capable of withstanding the thermal and mechanical stresses of high temperature and high vacuum operation, and which is resistant to erosion from gases and vapors used or released during processing. Preferably, the vessel 101 and liner 120 are made from an opaque, translucent or transparent quartz glass having a sufficient thickness to withstand the mechanical stresses and that resists deposition of process byproducts, thereby reducing potential contamination of the processing environment. More preferably, the vessel 101 and liner 120 are made from quartz that reduces or eliminates the conduction of heat away from the region or process zone 128 in which the wafers 108 are processed.
The batch of wafers 108 is introduced into the thermal processing apparatus 100 through a load lock or loadport (not shown) and then into the process chamber 102 through an access or opening in the process chamber or base-plate 124 capable of forming a gas tight seal therewith. In the configuration shown in FIG. 1, the process chamber 102 is a vertical reactor and the access utilizes a movable pedestal 130 that is raised during processing to seal with a seal, such as an o-ring 132 on the base-plate 124, and lowered to enable an operator or an automated handling system, such as a boat handling unit (BHU) (not shown), to position the carrier or boat 106 on the support 104 affixed to the pedestal. The heating elements 112 include elements positioned proximal to a top 134
(elements 112-3), side 136 (elements 112-2) and bottom 138 (elements 112-1) of the process chamber 102. Advantageously, the heating elements 112 surround the wafers to achieve a good view factor of the wafers and thereby provide an isothermal control volume or process zone 128 in the process chamber in which the wafers 108 are processed. The heating elements 112-1 proximal to the bottom 138 of the process chamber 102 can be disposed in or on the pedestal 130. If desired, additional heating elements may be disposed in or on the base plate 124 to supplement heat from the heating elements 112-1.
In the embodiment shown in FIG. 1 the heating elements 112-1 proximal to the bottom of the process chamber preferably are recessed in the movable pedestal 130. The pedestal 130 is made from a thermally and electrically insulating material or insulating block 140 having an electric, resistive heating elements 112-1 embedded therein or affixed thereto. The pedestal 130 further includes one or more feedback sensors or T/Cs 141 used to control the heating elements 112-1. In the configuration shown, the T/Cs 141 are embedded in the center of the insulating block 140.
The side heating elements 112-2 and the top heating elements 112-3 may be disposed in or on an insulating block 110 about the vessel 101. Preferably the side heating elements 112-2 and the top heating elements 112-3 are recessed in the insulating block 110.
The heating elements 112 and the insulating blocks 110 and 140 may be configured in any of a variety of ways and may be made in any of a variety of ways and with any of a variety of materials. Some suitable configurations, fabrication techniques and materials are well known in the art, and others are described in a PCT Patent Application Serial No. TBD entitled "Variable Heater Element For Low To High Temperature Ranges," which was filed on even date herewith under Attorney Docket No. FP-71795-PC, and which hereby is incorporated herein by reference thereto in its entirely. Preferably, to attain desired processing temperatures of up to 1150°C the heating elements 112-1 proximal to the bottom 138 of the process chamber 102 have a maximum power output of from about 0.1 kW to about 10 kW with a maximum process temperature of at least 1150°C. More preferably, these bottom heating elements 112-1 have a power output of at least about 3.8 kW with a maximum process temperature of at least 950°C. In one embodiment, the side heating elements 112-2 are functionally divided into multiple zones, including a lower zone nearest the pedestal 130 and upper zone, each of which are capable of being operated independently at different power levels and duty cycles from each other and from the top heating elements 112-3 and bottom heating elements 112-1. The heating elements 112 are controlled in any suitable manner, either by using a control technique of a type well known in the art, or the control technique described in a PCT Patent Application Serial No. TBD entitled "Feed Forward Temperature Controller", which was filed on even date herewith under Attorney Docket No. FP-71754-PC, and which hereby is incorporated herein by reference thereto in its entirely.
Contamination from the insulating block 140 and bottom heating elements 112-1 is reduced if not eliminated by housing the heating element and insulation block in an inverted quartz crucible 142, which serves as a barrier between the heating element and insulation block and the process chamber 102. The crucible 142 is also sealed against the loadport and BHU environment to further reduce or eliminate contamination of the processing environment. Generally, the interior of the crucible 142 is at standard atmospheric pressure, so that the crucible 142 should be strong enough to withstand a pressure differential between the process chamber 102 and the pedestal 130 across the crucible 142 of as much as 1 atmosphere.
While the wafers 108 are being loaded or unloaded, that is while the pedestal 130 is in the lowered position (FIG. 3), the bottom heating elements 112-1 are powered to maintain an idle temperature lower than the desired processing temperature. For example, for a process having a desired processing temperature for the bottom heating elements of 950°C, the idle temperature can be from 50-150°. The idle temperature can be set higher for certain processes, such as those having a higher desired processing temperature and/or higher desired ramp up rate, or to reduce thermal cycling effects on the bottom heating elements 112-1, thereby extending element life. In order to further reduce preprocessing time, that is the time required to prepare the thermal processing apparatus 100 for processing, the bottom heating elements 112-1 can be ramped to at or below the desired process temperature during the push or load, that is while the pedestal 130 with a boat 106 of wafers 108 positioned thereon is being raised. However, to minimize thermal stresses on the wafers 108 and components of the thermal processing apparatus 100 it is preferred to have the bottom heating elements 112-1 reach the desired process temperature at the same time as the heating elements 112-3 and 112-2 located proximal to respectively the top 134 and side 136 of the process chamber 102. Thus, for some processes, such as those requiring higher desired process temperatures, the temperature of the bottom heating elements 112-1 can begin being ramped up before the pedestal 130 begins being raised, while the last of the wafers 108 in a batch are being loaded.
Similarly, it will be appreciated that after processing and during the pull or unload cycle, that is while the pedestal 128 is being lowered, power to the bottom heating elements 112-1 can be reduce or removed completely to begin ramping down the pedestal 130 to the idle temperature, in preparation for cooling of the wafers 108 and unloading by the BHU.
To assist in cooling the pedestal 130 to a pull temperature prior to the pull or unload cycle, a purge line for air or an inert purge gas, such as nitrogen, is installed through the insulating block 140. Preferably, nitrogen is injected through a passage 144 through the center of the insulating block 140 and allowed to flow out between the top of the insulating block 140 and the interior of the crucible 142 to a perimeter thereof. The hot nitrogen is then exhausted to the environment either through High Efficiency Particulate Air (HEP A) filter (not shown) or to a facility exhaust (not shown). This center injection configuration facilitates the faster cooling of the center of the wafers 108, and therefore is ideal to minimize the center/edge temperature differential of the bottom wafer or wafers, which could otherwise result in damage due to slip-dislocation of the crystal lattice structure. As noted above, to increase or extend the life of bottom heating element 112-
1 the idle temperature can be set higher, closer to the desired processing temperature to reduce the effects of thermal cycling. In addition, it is also desirable to periodically bake out the heating elements 112-1 in an oxygen rich environment to promote the formation of a protective oxide surface coat. For example, where the resistive heating elements are formed from an Aluminum containing alloy, such as Kanthal®, baking out the heating elements 112-1 in an oxygen rich environment promotes an alumna oxide surface growth. Thus, the insulating block 140 can further include an oxygen line (not shown) to promote the formation of the protective oxide surface coat during bake out of the heating elements 112-1. Alternatively, oxygen for bake out can be introduced through the purge line used during processing to supply cooling nitrogen via a three-way valve.
FIG. 3 is a cross-sectional view of a portion of a thermal processing apparatus 100. FIG. 3 shows the thermal processing apparatus 100 while the wafers 108 are being loaded or unloaded, that is while the pedestal 130 is in the lowered position. In this mode of operation, the thermal processing apparatus 100 further includes a thermal shield 146 that can be rotated or slid into place above the pedestal 130 and the lower wafer 108 in the boat 106. To improve the performance of the thermal shield 146, generally the thermal shield is reflective on the side facing the heating elements 112-1 and absorptive on the side facing the wafers 108. Purposes of the thermal shield 146 include increasing the rate of cooling of the wafers 108 lower down in the boat 106, and assisting in maintaining the idle temperature of the pedestal 130 and bottom heating elements 112-1 to decrease the time required to ramp up the process chamber 102 to the desired processing temperature. An embodiment of a thermal processing apparatus having a thermal shield will now be described in further detail with reference to FIGs. 3 through 6.
FIG. 3 also shows an embodiment of a thermal processing apparatus 100 having pedestal heating elements 112-1 and a thermal shield 146. In the embodiment shown, the thermal shield 146 is attached via arm 148 to a ratable shaft 150 that is turned by an electric, pneumatic or hydraulic actuator to rotate the thermal shield 146 into a first position between the heated pedestal 130 and the lowest of the wafers 108 in the boat 106 during the pull or unload cycle, and removed or rotated to a second position not between the pedestal and the wafers during at least a final portion or end of the push or load cycle, just before the bottom of the boat 106 enters into the chamber 102. Preferably, the ratable shaft 150 is mounted on or affixed to the mechanism (not shown) used for raising and lowering the pedestal 130, thereby enabling the thermal shield 146 to be rotated into position as soon as the top of the pedestal has cleared the process chamber 102. Having the shield 146 in place during the load cycle enables the heating elements 112-1 to be heated to a desired temperature more rapidly than would otherwise be possible. Similarly, during unload cycle the shield 146 helps in cooling the wafers, particularly those closer to the pedestal, by reflect the heat radiating from the pedestal heating elements 112-1.
Alternatively, the ratable shaft 150 can be a mounted on or affixed to another part of the thermal processing apparatus 100 and adapted to move axially in synchronization with the pedestal 130, or to rotate the thermal shield 146 into position only when the pedestal is fully lowered.
FIG. 4 is a diagrammatic illustration of the pedestal heating elements 112-1 and thermal shield 146 of FIG. 3 illustrating the reflection of thermal energy or heat radiating from the bottom heating elements back to the pedestal 130 and the absorption of thermal energy or heat radiating from the lower wafer 108 in the batch or stack of wafers. It has been determined that the desired characteristics, high reflectivity and high absorptivity, can be obtained using a number of different materials, such as metals, ceramic, glass or polymeric coatings, either individually or in combination. By way of example the following table list various suitable materials and coπesponding parameters.
Figure imgf000019_0001
Table I
According to one embodiment the thermal shield 146 can be made from a single material such as silicon-carbide (SiC), opaque quartz or stainless steel which has been polished on one side and scuffed, abraded or roughened on the other.
Roughening a surface of the thermal shield 146 can significantly change its heat transfer properties, particularly its reflectivity.
In another embodiment, the thermal shield 146 can be made from two different layers of material. FIG. 5 is a diagrammatic illustration of a thermal shield 146 having a top layer 152 of material, such as SiC or opaque quartz, with a high absorptivity and a lower layer 154 of material or metal, such as polished stainless steel or polished aluminum, with a high reflectivity. Although shown as having approximately equal thicknesses, it will be appreciated that either the top layer 152 or the lower layer 154 can have a relatively greater thickness depending on specific requirements for the thermal shield 146, such as minimizing thermal stresses between the layers due to differences in coefficients of thermal expansion. For example, in certain embodiments the lower layer 154 can be an extremely thin layer or film of polished metal deposited, formed or plated on a quartz plate that forms the top layer 152. The materials can be integrally formed or interlocking, or joined by conventional means such as bonding or fasteners.
In yet another embodiment, the thermal shield 146 further includes an internal cooling channel 156 to further insulate the wafers 108 from the bottom heating elements 112-1. In one version of this embodiment, shown in FIG. 6, the cooling channel 156 is formed between two different layers 152 and 154 of material. For example, the cooling channel 156 can be formed by milling or any other suitable technique in a highly absorptive opaque quartz layer 152, and be covered by a metal layer 154 or coating such as a Titanium or Aluminum coating. Alternatively, the cooling channel 156 can be formed in the metal layer 154 or both the metal layer and the quartz layer 152.
FIG 7 is a perspective view of an embodiment of a thermal shield assembly 153 including the thermal shield 146, arm 148, ratable shaft 150 and an actuator 155.
As shown in FIG. 8, the thermal processing apparatus 100 further includes a shutter 158 that can be rotated or slid or otherwise moved into place above the boat 106 to isolate the process chamber 102 from the outside or load port environment when the pedestal 130 is in the fully lowered position. For example, the shutter 158 can be slid into place above the carrier 106 when the pedestal 130 is in a lowered position, and raised to isolate the process chamber 102. Alternatively, the shutter 158 can be rotated or swung into place above the carrier 106 when the pedestal 130 is in a lowered position, and subsequently raised to isolate the process chamber 102. Optionally, the shutter 158 may be rotated about or relative to threaded screw or rod to simultaneously raise the shutter to isolate the process chamber 102 as it is swung into place above the carrier 106.
For a process chamber 102 that is normally operated under vacuum, such as in a CVD system, the shutter 158 could form a vacuum seal against the base-plate 124 to allow the process chamber 102 to be pumped down to the process pressure or vacuum. For example, it may be desirable to pump down the process chamber 102 between sequential batches of wafers to reduce or eliminate the potential for contaminating the process environment. Forming a vacuum seal is preferably done with a large diameter seal, such as an o-ring, and thus the shutter 158 can desirably include a number of water channels 160 to cool the seal. In the embodiment shown in FIG. 8 the shutter 158 seals with the same o-ring 132 used to seal with the crucible 142 when the pedestal 130 is in the raised position.
For a thermal processing apparatus 130 in which the process chamber 102 is normally operated at atmospheric pressure, the shutter 158 is simply an insulating plug designed to reduce heat loss from the bottom of the process chamber. One embodiment for accomplishing this involves the use of an opaque quartz plate, which may or may not further include a number of cooling channels underneath or internal thereto.
When the pedestal 130 is in the fully lowered position, the shutter 158 is moved into position below the process chamber 102 and then raised to isolate the process chamber by one or more electric, hydraulic or pneumatic actuators (not shown). Preferably, the actuators are pneumatic actuators using from about 15 to 60 pounds per square inch gauge (PSIG) air, which is commonly available on thermal processing apparatus 100 for operation of pneumatic valves. For example, in one version of this embodiment the shutter 158 can comprise a plate having a number of wheels attached via short arms or cantilevers to two sides thereof. In operation, the plate or shutter 158 is rolled into position beneath the process chamber 102 on two parallel guide rails. Stops on the guide rails then cause the cantilevers to pivot translating the motion of the shutter 158 into an upward direction to seal the process chamber 102.
As shown in FIG. 9, the thermal processing apparatus 100 further includes a magnetically coupled wafer rotation system 162 that rotates the support 104 and the boat 106 along with the wafers 108 supported thereon during processing. Rotating the wafers 108 during processing improves within wafer (WIW) uniformity by averaging out any non-uniformities in the heating elements 112 and in process gas flows to create a uniform on-wafer temperature and species reaction profile. Generally, the wafer rotation system 162 is capable of rotated the wafers 108 at a speed of from about 0.1 to about 10 revolutions per minute (RPM).
The wafer rotation system 162 includes a drive assembly or rotating mechanism 164 having a rotating motor 166, such as an electric or pneumatic motor, and a magnet 168 encased in a chemically resistive container, such as annealed polytetrafluoroethylene or stainless steel. A steel ring 170 located just below the insulating block 140 of the pedestal 130, and a drive shaft 172 with the insulating block transfer the rotational energy to another magnet 174 located above the insulating block in a top portion of the pedestal. The steel ring 170, drive shaft 172 and second magnet 174 are also encased in a chemically resistive container compound. The magnet 174 located in the side of the pedestal 130 magnetically couples through the crucible 142 with a steel ring or magnet 176 embedded in or affixed to the support 104 in the process chamber 102. Magnetically coupling the rotating mechanism 164 through the pedestal 130 eliminates the need for locating it within the processing environment or for having a mechanical feedthrough, thereby eliminating a potential source of leaks and contamination. Furthermore, locating rotating mechanism 164 outside and at some distance from the processing minimizes the maximum temperature of to which it is exposed, thereby increasing the reliability and operating life of the wafer rotation system 162.
In addition to the above, the wafer rotation system 162 can further include one or more sensors (not shown) to ensure proper boat 106 position and proper magnetic coupling between the steel ring or magnet 176 in the process chamber 102 and the magnet 174 in the pedestal 130. A sensor which determines the relative position of the boat 106, or boat position verification sensor, is particularly useful. In one embodiment, the boat position verification sensor includes a sensor protrusion (not shown) on the boat 106 and an optical or laser sensor located below the base- plate 124. In operation, after the wafers 108 have been processed and the pedestal 130 is lowered about 3 inches below the base-plate 124. There, the wafer rotation system 162 is commanded to turn the boat 106 until the boat sensor protrusion can be seen. Then, the wafer rotation system 162 is operated to align the boat so that the wafers 108 can be unloaded. After this is done, the boat is lowered to the load/unload height. After the initial check, it is only capable of verifying the boat location from the flag sensor.
As shown in FIG. 10, improved injectors 216 are preferably used in the thermal processing apparatus 100. The injectors 216 are distributive or cross(X)- flow injectors 216-1 in which process gas or vapor is introduced through injector openings or orifices 180 on one side of the wafers 108 and boat 106 and caused to flow across the surfaces of the wafers in a laminar flow to exit exhaust ports or slots 182 in the chamber line 120 on opposite the side. X-flow injectors 116-1 improve wafer 108 to wafer uniformity within a batch of wafers 108 by providing an improved distribution of process gas or vapor over earlier up-flow or down flow configurations.
Additionally, X-flow injectors 216 can serve other purposes, including the injection of gases for cool-down (e.g., helium, nitrogen, hydrogen) for forced convective cooling between the wafers 108. Use of X-flow injectors 216 results in a more uniform cooling between wafers 108 whether disposed at the bottom or top of the stack or batch and those wafers that are disposed in the middle, as compared with earlier up-flow or down flow configurations. Preferably, the injector 216 orifices 180 are sized, shaped and position to provide a spray pattern that promotes forced convective cooling between the wafers 108 in a manner that does not create a large temperature gradient across the wafer. FIG. 11 is a cross-sectional side view of a portion of the thermal processing apparatus 100 of FIG. 10 showing illustrative portions of the injector orifices 180 in relation to the chamber liner 120 and the exhaust slots 182 in relation to the wafers 108. FIG. 12 is a plan view of a portion of the thermal processing apparatus 100 of FIG. 10 taken along the line A-A of FIG. 10 showing laminar gas flow from the orifices 180-1 and 180-2 of primary and secondary injectors 184, 186, across an illustrative one of the wafers 108 and to exhaust slots 182-1 and 182-2 according to one embodiment. It should be noted that the position of the exhaust slot 182 as shown in FIG. 10 have been shifted from the position of exhaust slots 182-1 and 182-2 shown in FIG. 12 to allow illustration of the exhaust slot and injector 116-1 in a single a cross-sectional view of a thermal processing apparatus. It should also be noted that the dimensions of the injectors 184, 186, and the exhaust slots 182-1 and 182-2 relative to the wafer 108 and the chamber liner 120 have been exaggerated to more clearly illustrate the gas flow from the injectors to the exhaust slots.
Also as shown in FIG. 12, the process gas or vapor is initially directed away from the wafers 108 and toward the liner 120 to promote mixing of the process gas or vapor before it reaches the wafers. This configuration of orifices 180-1 and 180-2 is particularly useful for processes or recipes in which different reactants are introduced from each of the primary and secondary injectors 184, 186, for example to form a multi-component film or layer.
FIG. 13 is another plan view of a portion of the thermal processing apparatus 100 of FIG. 10 taken along the line A-A of FIG. 10 showing an alternative gas flow path from the orifices 180 of the primary and secondary injector 184, 186, across an illustrative on of the wafer 108 and to the exhaust slots 182 according to another embodiment.
FIG. 14 is another plan view of a portion of the thermal processing apparatus 100 of FIG. 10 taken along the line A-A of FIG. 10 showing an alternative gas flow path from the orifices 180 of the primary and secondary injector 184, 186, across an illustrative on of the wafer 108 and to the exhaust slots 182 according to yet another embodiment.
FIG. 15 is another plan view of a portion of the thermal processing apparatus 100 of FIG. 10 taken along the line A-A of FIG. 10 showing an alternative gas flow path from the orifices 180 of the primary and secondary injector 184, 186, across an illustrative on of the wafer 108 and to the exhaust slots 182 according to still another embodiment.
FIG. 16 is a cross-sectional view of a thermal processing apparatus 100 having two or more up-flow injectors 116-1 and 116-2 according to an alternative embodiment. In this embodiment, process gas or vapor admitted from the process injectors 116-1 and 116-2 having respective outlet orifices low in the process chamber 102 flows up and across the wafers 108, and spent gases exit exhaust slots 182 in the top of the liner 120. An up-flow injector system is also shown in FIG. 1. FIG. 17 is a cross-sectional view of a thermal processing apparatus 100 having a down-flow injector system according to an alternative embodiment. In this embodiment, process gas or vapor admitted from process injectors 116-1 and 116-2 having respective orifices high in the process chamber 102 flows down and across the wafers 108, and spent gases exit exhaust slots 182 in the lower portion of the liner 120. Advantageously, the injectors 116, 216, and/or the liner 120 can be quickly and easily replaced or swapped with other injectors and liners having different points for the injection and exhausting of the process gas from the process zone 128. It will be appreciated by those skilled in the art that the embodiment of the x-flow injector 216 shown in FIG. 10 adds a degree of process flexibility by enabling the flow pattern within the process chamber 102 to be quickly and easily changed from a cross-flow configuration, as shown in FIG. 10, to an up-flow configuration, as shown in FIGs. 1 and 16, or a down-flow configuration, as shown in FIG. 17. This can be accomplished through the use of easily installable injector assemblies 216 and liners 120 to convert the flow geometry from cross-flow to an up-flow or down- flow.
The injectors 116, 216,and the liner 120 can be separate components, or the injector can be integrally formed with liner as a single piece. The latter embodiment is particular useful in applications where it is desirable to frequently change the process chamber 102 configuration. An illustrative method or process for operating the thermal processing apparatus 100 is described with reference to FIG. 18. FIG. 18 is a flowchart showing steps of a method for thermally processing a batch of wafers 108 wherein each wafer of the batch of wafers is quickly and uniformly heated to the desired temperature. In the method, the pedestal 130 is lowered, and the thermal shield 142 is moved into a position while the pedestal 130 is lowered to reflect heat from the bottom heating element 112-1 back to the pedestal 130 to maintain the temperature thereof, and to insulate the finished wafers 108 (step 190). Optionally, the shutter 158 is moved into position to seal or isolate the process chamber 102 (step 192), and power is applied to the heating elements 112-2, 112-3, to begin pre-heating the process chamber 102 to or maintain at an intermediate or idling temperature (step 194). A carrier or boat 106 loaded with new wafers 108 is positioned on the pedestal 130 (step 196). The pedestal 130 is raised to position the boat in the process zone 128, while simultaneously removing the shutter 158, the thermal shield 142, and ramping-up the bottom heating element 112-1 to preheat the wafers to an intermediate temperature (step 197). Preferably, the thermal shield 142 is removed just before the boat 106 is positioned in the process zone 128. A fluid, such as a process gas or vapor, is introduced on one side of the of wafers 108 through a plurality of injection ports 180 (step 198). The fluid flows from the injection ports 180 across surfaces of the wafers 108 to exhaust ports 182 positioned in the liner 120 on the opposite side of the wafers relative to the injection ports (step 199). Optionally, the boat 106 can be rotated within the process zone 128 during thermal processing of the batch of wafers 108 to further enhance uniformity of the thermal processing, by magnetically coupling mechanical energy through the pedestal 130 to the carrier or boat 106 to reposition it during thermal processing of the wafers (step 200).
A method or process for a thermal processing apparatus 100 according to another embodiment will now be described with reference to FIG. 19. FIG. 19 is a flowchart showing steps of an embodiment of a method for thermally processing a batch of wafers 108 in a carrier. In the method, an apparatus 100 is provided having a process chamber 102 with dimensions and a volume not substantially larger than necessary (guard heaters absent) to accommodate the carrier 106 with the wafers 108 held therein. The pedestal 130 is lowered, and the boat 106 with the wafers 108 held therein positioned thereon (step 202). The pedestal 130 is raised to insert the boat in the process chamber 102, while simultaneously preheating the wafers 108 to an intermediate temperature (step 204). Power is applied to the heating elements 112-1, 112-2, 112-3, each disposed proximate to at least one of the top wall 134, the side wall 136 and the bottom wall 138 of the process chamber 102 to begin heating the process chamber (step 206). Optionally, power to at least one of the heating elements is adjusted independently to provide a substantially isothermal environment at a desired temperature in a process zone 128 in the process chamber 102 (step 208). When the wafers 108 have been thermally processed, and while maintaining the desired temperature in the process zone 128, the pedestal 130 is lowered, and the thermal shield 142 is moved into position to insulate the finished wafers 108 and to reflect heat from the bottom heating element 112-1 back to the pedestal 130 to maintain the temperature thereof (step 210). Also, optionally, the shutter 158 is moved into position to seal or isolate the process chamber 102, and power applied to the heating elements 112-2, 112-3, to maintain the temperature of the process chamber (step 212). The boat 106 is then removed from the pedestal 130 (step 214), and another boat loaded with a new batch of wafers to be processed positioned on the pedestal (step 216). The shutter 158 is repositioned or removed (step 218), and the thermal shield withdrawn or repositioned to preheat the wafers 108 in the boat 106 to an intermediate temperature while simultaneously raising the pedestal 130 to insert the boat into the process chamber 102 to thermally process the new batch of wafers (step 220).
It has been determined that the thermal processing apparatus 100 provided and operated as described above, reduces the processing or cycle time by about 75% over conventional systems. For example, a conventional large batch thermal processing apparatus may process 100 product wafers in about 232 minutes, including pre-processing and post-processing time. The inventive thermal processing apparatus 100 performs the same processing on a mini-batch of 25 product wafers 108 in about 58 minutes.
The foregoing description of specific embodiments and examples of the invention have been presented for the purpose of illustration and description, and although the invention has been described and illustrated by certain of the preceding examples, it is not to be construed as being limited thereby. They are not intended to be exhaustive or to limit the invention to the precise forms disclosed, and many modifications, improvements and variations within the scope of the invention are possible in light of the above teaching. It is intended that the scope of the invention encompass the generic area as herein disclosed, and by the claims appended hereto and their equivalents.

Claims

What is claimed is:
1. An apparatus for thermally processing a plurality of substrates held in a carrier, the apparatus comprising: a process chamber having a top wall, a side wall and a bottom wall including a pedestal; a heating source having a plurality of heating elements to thermally process the plurality of substrates, each of the plurality of heating elements proximal to at least one of the top wall, the side wall and the bottom wall of the process chamber, and at least one of the plurality of heating elements in the pedestal; and a removable thermal shield adapted to be inserted between the at least one of the plurality of heating elements in the pedestal and the substrates held the carrier.
2. An apparatus according to claim 1, wherein the thermal shield comprises a first surface facing the substrates held the caπier, the first surface having an absorptivity of at least 0.5.
3. An apparatus according to claim 2, wherein the thermal shield comprises a second surface facing the pedestal, the second surface having a reflectivity of at least 0.8.
4. An apparatus according to claim 3, wherein the thermal shield further comprises a cooling channel between the first and second surfaces.
5. An apparatus according to claim 1, wherein the thermal shield comprises a reflective surface and a absoφtive surface comprising materials selected from the group consisting of:
Stainless Steel Quartz
Aluminum; and Silicon Carbide.
6. An apparatus according to claim 1, wherein the thermal shield comprises stainless steel having a polished reflective surface facing the pedestal, and a non-polished absoφtive surface facing the substrates on the carrier.
7. An apparatus for thermally processing a plurality of substrates, comprising: a thermal process chamber; a pedestal having an open position with respect to the process chamber, a closed position with respect to the process chamber, and a varying position between the open and closed positions; a distributed heating source for establishing substantially uniform heat throughout a process zone within the process chamber, with the pedestal in the closed position; a thermal shield; and a positioner coupled to the thermal shield for removably positioning the thermal shield between the pedestal and the process chamber at least while the pedestal is in the varying position.
8. An apparatus for thermally processing a plurality of substrates held in a carrier, the apparatus comprising: a process chamber having a top wall, a side wall and a bottom wall; a heating source having a plurality of heating elements to thermally process the plurality of substrates, each of the plurality of heating elements proximal to at least one of the top wall, the side wall and the bottom wall of the process chamber; and a magnetically coupled repositioning system that repositions the carrier with the plurality of substrates held therein during thermal processing of the plurality of substrates, wherein the mechanical energy to reposition the carrier is magnetically coupled through the bottom wall to the carrier.
9. An apparatus according to claim 8, wherein the bottom wall includes a movable pedestal having at least one of the plurality of heating elements therein, and wherein the mechanical energy to reposition the carrier is magnetically coupled through the movable pedestal substantially without moving the at least one of the plurality of heating elements in the movable pedestal.
10. An apparatus according to claim 8, wherein the magnetically coupled repositioning system is adapted to rotate the carrier with the plurality of substrates held therein during thermal processing of the plurality of substrates.
11. An apparatus according to claim 10, wherein the magnetically coupled repositioning system is adapted to rotate the carrier at a speed of from about 0.1 to about 10 revolutions per minute (RPM).
12. An apparatus according to claim 8, wherein the magnetically coupled repositioning system is adapted to oscillate the carrier.
13. An apparatus according to claim 8, wherein the carrier comprises a magnetic member to which the mechanical energy to reposition the carrier is magnetically coupled through the bottom wall.
14. An apparatus according to claim 8, further comprising a support on which the carrier is positioned in the process chamber, and wherein the support comprises a magnetic member to which the mechanical energy to reposition the carrier is magnetically coupled through the bottom wall.
15. An apparatus according to claim 8, wherein the mechanical energy to reposition the canier is magnetically coupled through the bottom wall to the carrier without the use of a movable feedthrough into the process chamber
16. An apparatus for thermally processing a plurality of substrates, comprising: a process chamber enclosure defining a thermal process chamber within; a carrier support disposed in the process chamber for supporting a carrier containing a plurality of substrates during thermal processing; a distributed heating source for establishing substantially uniform heat throughout a process zone within the process chamber during thermal processing; and a repositioning system magnetically coupled to the carrier support through the process chamber enclosure for repositioning the carrier support during thermal processing, wherein the substrates are repositioned in the process zone.
17. An apparatus for thermally processing a plurality of substrates held in a carrier, the apparatus comprising: a process chamber having a top wall, a side wall and a bottom wall including a movable pedestal adapted to be lowered and raised to enable the carrier with the plurality of substrates held therein to be inserted into and removed from the process chamber; a heating source having a plurality of heating elements proximal to the process chamber to thermally process the plurality of substrates, at least one of the plurality of heating elements in the movable pedestal; and a shutter adapted to be moved into place above the carrier to isolate the process chamber when the pedestal is in a lowered position.
18. An apparatus according to claim 17, further comprising a pumping system to evacuate the process chamber prior to processing, and wherein the shutter is adapted to seal with the process chamber to enable the pumping system to evacuate the process chamber when the pedestal is in the lowered position.
19. An apparatus according to claim 17, wherein the shutter comprises a cooling channel.
20. An apparatus according to claim 17, wherein the shutter is adapted to be swung into place above the carrier when the pedestal is in a lowered position, and raised to isolate the process chamber.
21. An apparatus according to claim 17, wherein the shutter is adapted to be slid into place above the carrier when the pedestal is in a lowered position, and raised to isolate the process chamber.
22. An apparatus for thermally processing a plurality of substrates, comprising: a process chamber enclosure defining a process chamber within; a shutter disposed upon the process chamber enclosure; a pedestal having an open position with respect to the process chamber, a closed position with respect to the process chamber, and a varying position between the open and closed positions, the pedestal being movable through the shutter; a distributed heating source for establishing substantially uniform heat throughout a process zone within the process chamber with the pedestal in the closed position; and an actuator coupled to the shutter for opening the shutter while the pedestal is in the closed and varying positions, and for closing the shutter when the pedestal is in the open position.
23. An apparatus for thermally processing a plurality of substrates held in a carrier, the apparatus comprising: a process chamber having a top wall, a side wall and a bottom wall; a heating source having a plurality of heating elements to thermally process the plurality of substrates, each of the plurality of heating elements proximal to at least one of the top wall, the side wall and the bottom wall of the process chamber; a liner separating the carrier with the plurality of substrates held therein from the top wall and the side wall of the process chamber; and a cross-flow injection system to direct flow of a fluid across surfaces of each of the plurality of substrates, the cross-flow injection system including: a cross-flow injector having a plurality of injection ports positioned relative to the plurality of substrates held in the carrier, and through which a fluid is introduced on one side of the plurality of substrates; and a plurality of exhaust ports in the liner, the exhaust ports positioned relative to the plurality of substrates held in the carrier to cause the fluid to flow directly across surfaces of the plurality of substrates.
24. An apparatus according to claim 23, wherein the plurality of injection ports are positioned to direct flow of the fluid against the liner prior to the fluid flowing across the surfaces of each of the plurality of substrates.
25. An apparatus according to claim 23, wherein the cross-flow injector comprises a first injector and a second injector, each having a plurality of injection ports positioned relative to the plurality of substrates held in the canier.
26. An apparatus according to claim 25, wherein the plurality of injection ports of the first injector and the second injector are positioned to direct flow of the fluid against the liner prior to the fluid flowing across the surfaces of each of the plurality of substrates, whereby reactants in the fluid introduced by the first injector and the second injector are mixed prior to the fluid flowing across the surfaces of each of the plurality of substrates.
27. An apparatus according to claim 25, wherein the plurality of injection ports of the first injector and the second injector are positioned relative to one another to direct flow of the fluid from the plurality of injection ports of the first injector prior toward the second injector, and to direct flow of the fluid from the plurality of injection ports of the second injector prior toward the first injector, whereby reactants in the fluid introduced by the first injector and the second injector are mixed prior to the fluid flowing across the surfaces of each of the plurality of substrates.
28. An apparatus for thermally processing a plurality of substrates, comprising: a process chamber enclosure defining a thermal process chamber within; a distributed heating source for establishing substantially uniform heat throughout a process zone within the process chamber during thermal processing; a gas injector having a plurality of gas injector ports generally disposed in proximity to the processing zone; and a gas exhaust having a plurality of gas exhaust ports generally disposed in proximity to the processing zone, in opposition to the gas injection ports across the processing zone.
29. A method for thermally processing a plurality of substrates held on a canier within a process zone of a process chamber having a top wall, a side wall, and a bottom wall, the method comprising steps of: heating the process zone from a heat source having a plurality of heating elements, each of the plurality of heating elements disposed proximate to at least one of the top wall, the side wall and the bottom wall of the process chamber; inserting the carrier with the plurality of substrates held therein into the process zone; and introducing a fluid on one side of the plurality of substrates through a plurality of injection ports positioned relative to the plurality of substrates held in the canier; and flowing the fluid across surfaces of the plurality of substrates from the plurality of injection ports to a plurality of exhaust ports in a liner separating the carrier with the plurality of substrates held therein from the top wall and the side wall of the process chamber, the exhaust ports positioned relative to the plurality of substrates held in the canier.
30. A method according to claim 29, wherein the bottom of the process chamber comprises a pedestal having at least one of the plurality of heating elements therein, the pedestal adapted to be lowered and raised to enable the batch of substrates in the carrier to be inserted into the process chamber, and wherein the step of inserting the canier with the plurality of substrates held therein into the process zone comprises the steps of: positioning the canier on the pedestal; and raising the pedestal to insert the carrier with the plurality of substrates held therein into the process zone.
31. A method according to claim 30, wherein the step of raising the pedestal to insert the canier with the plurality of substrates held therein into the process zone comprises the step of simultaneously preheating the plurality of substrates in the canier to an intermediate temperature.
32. A method according to claim 30, wherein the pedestal comprises a removable shield capable of reflecting heat from the at least one of the plurality of heating elements back to the pedestal to maintain the temperature thereof, and wherein the method further comprises the step of prior to inserting the carrier with the plurality of substrates held therein into the process chamber moving the removable shield into a position to reflect heat from the at least one of the plurality of heating elements back to the pedestal to maintain the temperature thereof.
33. A method according to claim 30, wherein the apparatus further comprises a shutter adapted to be moved into place above the canier to isolate the process chamber when the pedestal is in a lowered position, and wherein the method further comprises the step of moving the shutter carrier to isolate the process chamber and maintain the temperature thereof when the pedestal is in the lowered position.
34. A method according to claim 30, wherein the apparatus further comprises a magnetically coupled repositioning system adapted to reposition the canier with the plurality of substrates held therein during thermal processing of the plurality of substrates, and wherein the method further comprises the step of magnetically coupling mechanical energy through the pedestal to the carrier to reposition the canier during thermal processing of the plurality of substrates without use of a movable feedthrough into the process chamber, and substantially without moving the at least one of the plurality of heating elements in the pedestal. a plurality of substrates held on a canier within a process zone of a process chamber having a top wall, a side wall, and a bottom wall,
35. A method of reconfiguring an apparatus for thermally processing a plurality of substrates held on a carrier within a process zone of a process chamber defined by a process vessel and a base-plate, apparatus further including a first injector having at least one injector port positioned in a first position relative to the plurality of substrates held on the carrier through which a fluid is introduced to process the plurality of substrates, and a first liner separating the at least one injector and the carrier with the plurality of substrates held therein from the process vessel, the liner having at least one exhaust port positioned in a first position relative to the plurality of substrates held on the canier, the method comprising steps of: separating the process vessel and the base-plate; removing the first injector from the process chamber; removing the first liner from the process chamber; installing a second liner having at least one exhaust port in the process chamber; installing a second injector having at least one injector port in the process chamber; and wherein the second injector and second liner have at least one injector port and exhaust port positioned in a different position relative to the plurality of substrates held on the canier than the first injector and the first liner.
36. A method according to claim 35, wherein the first injector is integrally formed with the first liner, and wherein the step of removing the first injector from the process chamber also comprises the step of removing the first liner from the process chamber.
37. A method according to claim 35, wherein the second injector is integrally formed with the second liner, and wherein the step of installing the second injector in the process chamber also comprises the step of installing the second liner in the process chamber.
38. A method according to claim 35, wherein the steps of installing the second injector in the process chamber and installing the second liner in the process chamber, comprise the steps of steps of installing the second injector in the process chamber and installing the second liner in the process chamber to provide a flow pattern selected from the group consisting of: up-flow; down-flow; and cross-flow.
39. An apparatus for thermally processing a plurality of substrates held within a process zone in a canier, the canier with the substrates held therein being of a predetermined shape and volume, comprising: a process chamber enclosure, the interior thereof defining a thermal process chamber, and the process zone being contained within the process chamber; and a heating source distributed substantially throughout the interior of the process chamber enclosure for establishing a substantially isothermal environment in the process zone; wherein the process chamber interior is generally conformal with the predetermined shape; and wherein the process chamber is of a volume generally commensurate with the predetermined volume.
40. An apparatus for thermally processing a plurality of substrates held in a carrier, the apparatus comprising: a process chamber having a top wall, a side wall and a bottom wall; a heating source having a plurality of heating elements proximal to the top wall, the side wall and the bottom wall of the process chamber to provide a substantially isothermal environment in a process zone in which the carrier with the plurality of substrates held therein is positioned to thermally process the plurality of substrates; and wherein the process chamber comprises dimensions selected to enclose a volume substantially no larger than a volume necessary to accommodate the canier with the plurality of substrates held therein.
41. An apparatus according to claim 40, wherein the process chamber comprises dimensions selected to enclose a volume substantially no larger than 125% of the volume necessary to accommodate the carrier with the plurality of substrates held therein.
42. An apparatus according to claim 40, further comprising a controller capable of independently adjusting power to at least one of the plurality of heating elements to provide the substantially isothermal environment in the process zone.
43. An apparatus according to claim 40, wherein the bottom wall of the process chamber comprises a movable pedestal having at least one of the plurality of heating elements therein, the movable pedestal adapted to be lowered and raised to enable the canier with the plurality of substrates held therein to be inserted into and removed from the process chamber.
44. An apparatus according to claim 40, wherein the heating source is adapted to provide a substantially isothermal environment in the process zone without the use of guard heaters proximal to the side wall of the process chamber above and below the process zone.
45. A method for thermally processing a plurality of substrates held within a process zone in a canier, the canier with the substrates held therein being of a predetermined shape and volume, comprising: introducing the canier with the substrates held therein into a process chamber enclosure having an interior that is generally conformal with the predetennined shape and that contains a volume generally commensurate with the predetermined volume; and applying heat to the substrates from throughout the interior of the process chamber enclosure; wherein the substrates are maintained at substantially identical temperatures.
46. A method for thermally processing a plurality of substrates held on a canier, the method comprising steps of: inserting the carrier with the plurality of substrates held therein into a process chamber having a top wall, a side wall and a bottom wall, the process chamber having a volume not substantially larger than necessary to accommodate the canier with the plurality of substrates held therein; and heating the process chamber from a heat source having a plurality of heating elements, each of the plurality of heating elements disposed proximate to at least one of the top wall, the side wall and the bottom wall of the process chamber, to provide a substantially isothermal environment at a desired temperature in a process zone in the process chamber, whereby each substrate of the plurality of substrates is quickly and uniformly heated to the desired temperature.
47. A method according to claim 46, wherein the bottom of the process chamber comprises a pedestal having at least one of the plurality of heating elements therein, the pedestal adapted to be lowered and raised to enable the batch of substrates in the carrier to be inserted into the process chamber, and wherein the step of inserting the carrier with the plurality of substrates held therein into the process chamber comprises the steps of: positioning the carrier on the pedestal; and raising the pedestal to insert the carrier with the plurality of substrates held therein into the process chamber while simultaneously preheating the plurality of substrates in the carrier to an intermediate temperature.
48. A method according to claim 46, wherein the step of heating the process chamber comprises the step of independently adjusting power to at least one of the plurality of heating elements to provide a substantially isothermal environment in the process zone.
49. A method according to claim 46, further comprising the steps of while continuing to heat the process chamber using the heat source to maintain a substantially isothermal environment at the desired temperature in the process zone: removing the canier with the batch of substrates therein from the process chamber when the batch of substrates has been thermally processed; and inserting another batch of substrates in another canier into the process chamber to thermally process the batch of substrates, whereby each substrate of each batch of substrates is quickly and uniformly heated to and processed at the desired temperature.
PCT/US2003/021575 2002-07-15 2003-07-10 Thermal processing system and configurable vertical chamber WO2004008491A2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
AU2003259104A AU2003259104A1 (en) 2002-07-15 2003-07-10 Thermal processing system and configurable vertical chamber
EP03764437A EP1522090A4 (en) 2002-07-15 2003-07-10 Thermal processing system and configurable vertical chamber
JP2004521615A JP2005533378A (en) 2002-07-15 2003-07-10 Heat treatment apparatus and configurable vertical chamber
US10/521,619 US20070243317A1 (en) 2002-07-15 2003-07-10 Thermal Processing System and Configurable Vertical Chamber

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US39653602P 2002-07-15 2002-07-15
US60/396,536 2002-07-15
US42852602P 2002-11-22 2002-11-22
US60/428,526 2002-11-22

Publications (2)

Publication Number Publication Date
WO2004008491A2 true WO2004008491A2 (en) 2004-01-22
WO2004008491A3 WO2004008491A3 (en) 2004-06-03

Family

ID=30118590

Family Applications (9)

Application Number Title Priority Date Filing Date
PCT/US2003/021641 WO2004007105A1 (en) 2002-07-15 2003-07-10 Apparatus and method for backfilling a semiconductor wafer process chamber
PCT/US2003/021648 WO2004008054A1 (en) 2002-07-15 2003-07-10 Variable heater element for low to high temperature ranges
PCT/US2003/021645 WO2004008052A2 (en) 2002-07-15 2003-07-10 System and method for cooling a thermal processing apparatus
PCT/US2003/021644 WO2004007800A1 (en) 2002-07-15 2003-07-10 Thermal processing apparatus and method for evacuating a process chamber
PCT/US2003/021647 WO2004008494A2 (en) 2002-07-15 2003-07-10 Servomotor control system and method in a semiconductor manufacturing environment
PCT/US2003/021642 WO2004008493A2 (en) 2002-07-15 2003-07-10 Method and apparatus for supporting semiconductor wafers
PCT/US2003/021575 WO2004008491A2 (en) 2002-07-15 2003-07-10 Thermal processing system and configurable vertical chamber
PCT/US2003/021646 WO2004008008A2 (en) 2002-07-15 2003-07-10 Control of a gaseous environment in a wafer loading chamber
PCT/US2003/021973 WO2004007318A2 (en) 2002-07-15 2003-07-15 Loadport apparatus and method for use thereof

Family Applications Before (6)

Application Number Title Priority Date Filing Date
PCT/US2003/021641 WO2004007105A1 (en) 2002-07-15 2003-07-10 Apparatus and method for backfilling a semiconductor wafer process chamber
PCT/US2003/021648 WO2004008054A1 (en) 2002-07-15 2003-07-10 Variable heater element for low to high temperature ranges
PCT/US2003/021645 WO2004008052A2 (en) 2002-07-15 2003-07-10 System and method for cooling a thermal processing apparatus
PCT/US2003/021644 WO2004007800A1 (en) 2002-07-15 2003-07-10 Thermal processing apparatus and method for evacuating a process chamber
PCT/US2003/021647 WO2004008494A2 (en) 2002-07-15 2003-07-10 Servomotor control system and method in a semiconductor manufacturing environment
PCT/US2003/021642 WO2004008493A2 (en) 2002-07-15 2003-07-10 Method and apparatus for supporting semiconductor wafers

Family Applications After (2)

Application Number Title Priority Date Filing Date
PCT/US2003/021646 WO2004008008A2 (en) 2002-07-15 2003-07-10 Control of a gaseous environment in a wafer loading chamber
PCT/US2003/021973 WO2004007318A2 (en) 2002-07-15 2003-07-15 Loadport apparatus and method for use thereof

Country Status (6)

Country Link
EP (2) EP1522090A4 (en)
JP (2) JP2005533232A (en)
CN (1) CN1643322A (en)
AU (9) AU2003249030A1 (en)
TW (9) TW200406818A (en)
WO (9) WO2004007105A1 (en)

Cited By (288)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006093653A (en) * 2004-09-22 2006-04-06 Asm Internatl Nv Deposition of titanium nitride film within batch reactor
NL1030360C2 (en) * 2005-11-07 2007-05-08 Holding Mij Wilro B V Furnace and method for the production of photovoltaic solar cells using a diffusion process.
US7427571B2 (en) 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
CN103117233A (en) * 2011-11-16 2013-05-22 台湾积体电路制造股份有限公司 Rotating curing
US8563424B2 (en) 2001-07-25 2013-10-22 Applied Materials, Inc. Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US8668776B2 (en) 2001-10-26 2014-03-11 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US9018567B2 (en) 2011-07-13 2015-04-28 Asm International N.V. Wafer processing apparatus with heated, rotating substrate support
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US9157150B2 (en) * 2007-12-04 2015-10-13 Cypress Semiconductor Corporation Method of operating a processing chamber used in forming electronic devices
US20170207078A1 (en) * 2016-01-15 2017-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition apparatus and semiconductor process
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10364493B2 (en) 2016-08-25 2019-07-30 Asm Ip Holding B.V. Exhaust apparatus and substrate processing apparatus having an exhaust line with a first ring having at least one hole on a lateral side thereof placed in the exhaust line
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US20070194470A1 (en) * 2006-02-17 2007-08-23 Aviza Technology, Inc. Direct liquid injector device
WO2007099387A1 (en) 2006-03-03 2007-09-07 Mymetics Corporation Virosome-like vesicles comprising gp41-derived antigens
DE102007058053B4 (en) * 2007-11-30 2009-10-15 Von Ardenne Anlagentechnik Gmbh Diffusion furnace and method for generating a gas flow
JP4885901B2 (en) * 2008-03-31 2012-02-29 株式会社山武 Flow control system
US8136618B2 (en) 2009-01-21 2012-03-20 The Raymond Corporation Cyclonic motor cooling for material handling vehicles
JP5794497B2 (en) * 2010-06-08 2015-10-14 国立研究開発法人産業技術総合研究所 Linkage system
KR101877494B1 (en) * 2010-12-24 2018-07-13 엘지이노텍 주식회사 Vacuum heat treatment apparatus
KR101440307B1 (en) * 2012-09-17 2014-09-18 주식회사 유진테크 Apparatus for processing substrate
US10177014B2 (en) 2012-12-14 2019-01-08 Applied Materials, Inc. Thermal radiation barrier for substrate processing chamber components
US10386019B2 (en) 2013-03-15 2019-08-20 Southwire Company, Llc Flow control and gas metering process
CN105940481A (en) * 2014-01-27 2016-09-14 应用材料公司 High speed EPI system and chamber concepts
US9543171B2 (en) * 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
TWI642137B (en) * 2015-08-04 2018-11-21 日商日立國際電氣股份有限公司 Substrate processing apparatus, reaction container, and manufacturing method of semiconductor device
JP6143964B1 (en) * 2016-01-25 2017-06-07 三菱電機株式会社 Control device
FR3057391B1 (en) * 2016-10-11 2019-03-29 Soitec THERMAL TREATMENT EQUIPMENT WITH COLLECTING DEVICE
JP6736755B2 (en) * 2017-02-17 2020-08-05 株式会社Kokusai Electric Substrate processing apparatus, semiconductor device manufacturing method and program
JP7158133B2 (en) 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド Atmosphere-controlled transfer module and processing system
TWI629441B (en) 2017-07-07 2018-07-11 寶成工業股份有限公司 Smart oven
CN111373519B (en) * 2017-11-16 2021-11-23 应用材料公司 High-pressure steam annealing treatment equipment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP7206678B2 (en) * 2018-07-30 2023-01-18 Tdk株式会社 Load port device, semiconductor manufacturing device, and method for controlling atmosphere in pod
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11407000B2 (en) 2019-09-23 2022-08-09 S. C. Johnson & Son, Inc. Volatile material dispenser
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
GB2610156A (en) * 2021-04-29 2023-03-01 Edwards Ltd Semiconductor processing system
FI129948B (en) * 2021-05-10 2022-11-15 Picosun Oy Substrate processing apparatus and method
KR102444786B1 (en) * 2021-12-23 2022-09-19 주식회사 에이치피에스피 High Pressure Chamber to Improve Cooling Efficiency
CN114990299B (en) * 2022-08-01 2022-10-04 兴化市天泰合金制品科技有限公司 Heat treatment device for preparing nodular cast iron alloy

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5871588A (en) * 1995-07-10 1999-02-16 Cvc, Inc. Programmable ultraclean electromagnetic substrate rotation apparatus and method for microelectronics manufacturing equipment
US6121581A (en) * 1999-07-09 2000-09-19 Applied Materials, Inc. Semiconductor processing system
US6200634B1 (en) * 1995-05-26 2001-03-13 Mattson Technology, Inc. Thermal processing system with supplemental resistive heater and shielded optical pyrometry
US20010002948A1 (en) * 1998-12-11 2001-06-07 Rodney T. Hodgson Gas driven rotating susceptor for rapid thermal processing (rtp) system
US20020069970A1 (en) * 2000-03-07 2002-06-13 Applied Materials, Inc. Temperature controlled semiconductor processing chamber liner
US20020088716A1 (en) * 1999-09-27 2002-07-11 Vikram Pavate Method of enhancing hardness of sputter deposited copper films

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4401689A (en) * 1980-01-31 1983-08-30 Rca Corporation Radiation heated reactor process for chemical vapor deposition on substrates
EP0164928A3 (en) * 1984-06-04 1987-07-29 Texas Instruments Incorporated Vertical hot wall cvd reactor
JPS61191015A (en) * 1985-02-20 1986-08-25 Hitachi Ltd Semiconductor vapor growth and equipment thereof
US4753192A (en) * 1987-01-08 1988-06-28 Btu Engineering Corporation Movable core fast cool-down furnace
JPH088220B2 (en) * 1988-09-05 1996-01-29 株式会社日立製作所 Semiconductor wafer heat treatment apparatus and heat treatment method
EP0306967B1 (en) * 1987-09-11 1997-04-16 Hitachi, Ltd. Apparatus for performing heat treatment on semiconductor wafers
EP0308946B1 (en) * 1987-09-22 1993-11-24 Nec Corporation Chemical vapor deposition apparatus for obtaining high quality epitaxial layer with uniform film thickness
US4787844A (en) * 1987-12-02 1988-11-29 Gas Research Institute Seal arrangement for high temperature furnace applications
US4914276A (en) * 1988-05-12 1990-04-03 Princeton Scientific Enterprises, Inc. Efficient high temperature radiant furnace
JP2654996B2 (en) * 1988-08-17 1997-09-17 東京エレクトロン株式会社 Vertical heat treatment equipment
JPH02130943A (en) * 1988-11-11 1990-05-18 Tel Sagami Ltd Accommodation jig
US5160545A (en) * 1989-02-03 1992-11-03 Applied Materials, Inc. Method and apparatus for epitaxial deposition
DE3906075A1 (en) * 1989-02-27 1990-08-30 Soehlbrand Heinrich Dr Dipl Ch METHOD FOR THERMALLY TREATING SEMICONDUCTOR MATERIALS AND DEVICE FOR CARRYING OUT THE SAME
US5207835A (en) * 1989-02-28 1993-05-04 Moore Epitaxial, Inc. High capacity epitaxial reactor
US5127365A (en) * 1990-02-27 1992-07-07 Kabushiki Kaisha Toshiba Vertical heat-treatment apparatus for semiconductor parts
JP2819073B2 (en) * 1991-04-25 1998-10-30 東京エレクトロン株式会社 Method of forming doped thin film
JP3040212B2 (en) * 1991-09-05 2000-05-15 株式会社東芝 Vapor phase growth equipment
DE69221152T2 (en) * 1992-05-15 1998-02-19 Shinetsu Quartz Prod VERTICAL HEAT TREATMENT DEVICE AND HEAT INSULATION MATERIAL
US5383984A (en) * 1992-06-17 1995-01-24 Tokyo Electron Limited Plasma processing apparatus etching tunnel-type
JP3024449B2 (en) * 1993-07-24 2000-03-21 ヤマハ株式会社 Vertical heat treatment furnace and heat treatment method
US5706627A (en) * 1994-02-02 1998-01-13 Tetra Laval Holdings & Finance, S.A. Control system for a packaging machine
JPH088194A (en) * 1994-06-16 1996-01-12 Kishimoto Sangyo Kk Gas phase growth mechanism and heating apparatus in heat treatment mechanism
US6361618B1 (en) * 1994-07-20 2002-03-26 Applied Materials, Inc. Methods and apparatus for forming and maintaining high vacuum environments
US5724786A (en) * 1994-09-28 1998-03-10 Tetra Laval Holdings & Finance S.A. Control system having error correcting apparatus
JP2732224B2 (en) * 1994-09-30 1998-03-25 信越半導体株式会社 Wafer support boat
JPH08213446A (en) * 1994-12-08 1996-08-20 Tokyo Electron Ltd Processing equipment
JP3471144B2 (en) * 1995-09-06 2003-11-25 東京エレクトロン株式会社 Vertical heat treatment apparatus, heat insulation structure thereof, and heat shield plate
JP3423131B2 (en) * 1995-11-20 2003-07-07 東京エレクトロン株式会社 Heat treatment equipment and treatment equipment
JPH09306980A (en) * 1996-05-17 1997-11-28 Asahi Glass Co Ltd Vertical wafer boat
US20010052359A1 (en) * 1997-02-21 2001-12-20 Masayoshi Ikeda Method of substrate temperature control and method of assessing substrate temperature controllability
US5846073A (en) * 1997-03-07 1998-12-08 Semitool, Inc. Semiconductor furnace processing vessel base
US5826406A (en) * 1997-05-01 1998-10-27 Tetra Laval Holdings & Finance, S.A. Servo-controlled conveyor system for carrying liquid filled containers
US5900177A (en) * 1997-06-11 1999-05-04 Eaton Corporation Furnace sidewall temperature control system
US6352594B2 (en) * 1997-08-11 2002-03-05 Torrex Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
WO1999036587A1 (en) * 1998-01-15 1999-07-22 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US6204194B1 (en) * 1998-01-16 2001-03-20 F.T.L. Co., Ltd. Method and apparatus for producing a semiconductor device
US6059567A (en) * 1998-02-10 2000-05-09 Silicon Valley Group, Inc. Semiconductor thermal processor with recirculating heater exhaust cooling system
US6051113A (en) * 1998-04-27 2000-04-18 Cvc Products, Inc. Apparatus and method for multi-target physical-vapor deposition of a multi-layer material structure using target indexing
US6030208A (en) * 1998-06-09 2000-02-29 Semitool, Inc. Thermal processor
EP1121245B1 (en) * 1998-06-18 2008-12-24 Kline & Walker L.L.C. Automated devices to control equipment and machines with remote control and accountability worldwide
JP3487497B2 (en) * 1998-06-24 2004-01-19 岩手東芝エレクトロニクス株式会社 Object to be processed accommodation jig and heat treatment apparatus using the same
US6537461B1 (en) * 2000-04-24 2003-03-25 Hitachi, Ltd. Process for treating solid surface and substrate surface
US6140833A (en) * 1998-11-16 2000-10-31 Siemens Aktiengesellschaft In-situ measurement method and apparatus for semiconductor processing
US6193811B1 (en) * 1999-03-03 2001-02-27 Applied Materials, Inc. Method for improved chamber bake-out and cool-down
US6450116B1 (en) * 1999-04-22 2002-09-17 Applied Materials, Inc. Apparatus for exposing a substrate to plasma radicals
JP2000311862A (en) * 1999-04-28 2000-11-07 Kokusai Electric Co Ltd Substrate treating system
JP3404674B2 (en) * 2000-01-21 2003-05-12 株式会社真空技研 Ultra high temperature heat treatment equipment
US6537707B1 (en) * 2000-03-15 2003-03-25 Agilent Technologies, Inc. Two-stage roughing and controlled deposition rates for fabricating laser ablation masks
US6641350B2 (en) * 2000-04-17 2003-11-04 Hitachi Kokusai Electric Inc. Dual loading port semiconductor processing equipment
JP2002083780A (en) * 2000-09-05 2002-03-22 Hitachi Kokusai Electric Inc Semiconductor manufacturing apparatus
US6589350B1 (en) * 2000-09-08 2003-07-08 Advanced Micro Devices, Inc. Vacuum processing chamber with controlled gas supply valve
US20030082031A1 (en) * 2001-10-30 2003-05-01 Olivier Vatel Wafer handling device and method for testing wafers
JP4873820B2 (en) * 2002-04-01 2012-02-08 株式会社エフティーエル Semiconductor device manufacturing equipment

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6200634B1 (en) * 1995-05-26 2001-03-13 Mattson Technology, Inc. Thermal processing system with supplemental resistive heater and shielded optical pyrometry
US5871588A (en) * 1995-07-10 1999-02-16 Cvc, Inc. Programmable ultraclean electromagnetic substrate rotation apparatus and method for microelectronics manufacturing equipment
US20010002948A1 (en) * 1998-12-11 2001-06-07 Rodney T. Hodgson Gas driven rotating susceptor for rapid thermal processing (rtp) system
US6121581A (en) * 1999-07-09 2000-09-19 Applied Materials, Inc. Semiconductor processing system
US20020088716A1 (en) * 1999-09-27 2002-07-11 Vikram Pavate Method of enhancing hardness of sputter deposited copper films
US20020069970A1 (en) * 2000-03-07 2002-06-13 Applied Materials, Inc. Temperature controlled semiconductor processing chamber liner

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP1522090A2 *

Cited By (357)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US8563424B2 (en) 2001-07-25 2013-10-22 Applied Materials, Inc. Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US8668776B2 (en) 2001-10-26 2014-03-11 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7732350B2 (en) 2004-09-22 2010-06-08 Asm International N.V. Chemical vapor deposition of TiN films in a batch reactor
JP2006093653A (en) * 2004-09-22 2006-04-06 Asm Internatl Nv Deposition of titanium nitride film within batch reactor
US7966969B2 (en) 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
US7427571B2 (en) 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
WO2007053016A3 (en) * 2005-11-07 2007-10-25 Holdingmij Wilro B V Surface and method for the manufacture of photovolataic cells using a diffusion process
WO2007053016A2 (en) * 2005-11-07 2007-05-10 Holdingmij. Wilro B.V. Surface and method for the manufacture of photovolataic cells using a diffusion process
NL1030360C2 (en) * 2005-11-07 2007-05-08 Holding Mij Wilro B V Furnace and method for the production of photovoltaic solar cells using a diffusion process.
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
US9157150B2 (en) * 2007-12-04 2015-10-13 Cypress Semiconductor Corporation Method of operating a processing chamber used in forming electronic devices
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9018567B2 (en) 2011-07-13 2015-04-28 Asm International N.V. Wafer processing apparatus with heated, rotating substrate support
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
CN103117233A (en) * 2011-11-16 2013-05-22 台湾积体电路制造股份有限公司 Rotating curing
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170207078A1 (en) * 2016-01-15 2017-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition apparatus and semiconductor process
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US10364493B2 (en) 2016-08-25 2019-07-30 Asm Ip Holding B.V. Exhaust apparatus and substrate processing apparatus having an exhaust line with a first ring having at least one hole on a lateral side thereof placed in the exhaust line
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Also Published As

Publication number Publication date
WO2004008494A2 (en) 2004-01-22
WO2004008054A9 (en) 2005-01-13
WO2004008054A1 (en) 2004-01-22
EP1522090A4 (en) 2006-04-05
JP2005533232A (en) 2005-11-04
WO2004008493A3 (en) 2004-05-27
AU2003249029A1 (en) 2004-02-02
WO2004008008A3 (en) 2004-12-16
WO2004008052A3 (en) 2004-05-13
AU2003259104A1 (en) 2004-02-02
WO2004007318A2 (en) 2004-01-22
TW200409176A (en) 2004-06-01
TW200405401A (en) 2004-04-01
AU2003256487A1 (en) 2004-02-02
TW200416774A (en) 2004-09-01
CN1643322A (en) 2005-07-20
EP1540258A1 (en) 2005-06-15
AU2003253873A1 (en) 2004-02-02
WO2004007105A1 (en) 2004-01-22
AU2003249028A1 (en) 2004-02-02
AU2003253907A1 (en) 2004-02-02
AU2003249030A8 (en) 2004-02-02
WO2004008052A2 (en) 2004-01-22
TW200416773A (en) 2004-09-01
AU2003256486A8 (en) 2004-02-02
WO2004008493A9 (en) 2004-07-22
EP1522090A2 (en) 2005-04-13
WO2004007800A1 (en) 2004-01-22
WO2004008494A3 (en) 2005-04-21
WO2004007318A3 (en) 2004-08-05
AU2003259104A8 (en) 2004-02-02
TW200419890A (en) 2004-10-01
WO2004008493A2 (en) 2004-01-22
AU2003249029A8 (en) 2004-02-02
TW200411960A (en) 2004-07-01
JP2005533378A (en) 2005-11-04
AU2003249030A1 (en) 2004-02-02
WO2004007800A9 (en) 2005-01-13
AU2003253907A8 (en) 2004-02-02
TW200406818A (en) 2004-05-01
TW200416775A (en) 2004-09-01
AU2003256486A1 (en) 2004-02-02
WO2004008491A3 (en) 2004-06-03
AU2003253874A8 (en) 2004-02-02
WO2004008008A2 (en) 2004-01-22
TW200411717A (en) 2004-07-01
AU2003253874A1 (en) 2004-02-02

Similar Documents

Publication Publication Date Title
US20070243317A1 (en) Thermal Processing System and Configurable Vertical Chamber
WO2004008491A2 (en) Thermal processing system and configurable vertical chamber
US20050098107A1 (en) Thermal processing system with cross-flow liner
US20050121145A1 (en) Thermal processing system with cross flow injection system with rotatable injectors
US20070137794A1 (en) Thermal processing system with across-flow liner
KR100415475B1 (en) Apparatus for growing thin films onto a substrate
JP4084412B2 (en) Fast heat treatment reactor for processing semiconductor substrates
EP0646285A1 (en) Semiconductor wafer processing method and apparatus with heat and gas flow control
EP1535314A2 (en) High rate deposition at low pressures in a small batch reactor
EP1443543B1 (en) Thermal treating apparatus
KR19990087225A (en) Heat treatment equipment
JP2001512789A (en) Mini-batch process chamber
JPH06302523A (en) Vertical thermal treatment equipment
EP0823491A2 (en) Gas injection system for CVD reactors
WO2004027838A2 (en) Fast gas exchange for thermal conductivity modulation
JPH09143691A (en) Film forming and heat treating device
KR101056363B1 (en) Heat treatment apparatus of semiconductor substrate and its method
KR20050020757A (en) Thermal processing system and configurable vertical chamber
JPH07273101A (en) Single sheet heat treatment system
JP4703844B2 (en) Thermal CVD equipment for forming graphite nanofiber thin films
EP0162111A1 (en) Method and apparatus for chemical vapor deposition
JP2003051497A (en) Method and device for heat treatment
TW202246569A (en) Chamber body feedthrough for in chamber resistive heating element
JP2001326219A (en) Substrate processing device and method of manufacturing semiconductor device
JPH06267872A (en) Chemical vapor growth device

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2003764437

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 1020047013088

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 2003806135X

Country of ref document: CN

WWE Wipo information: entry into national phase

Ref document number: 2004521615

Country of ref document: JP

WWP Wipo information: published in national office

Ref document number: 1020047013088

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 2003764437

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 10521619

Country of ref document: US

WWP Wipo information: published in national office

Ref document number: 10521619

Country of ref document: US