WO2003103019A2 - Fin fet devices from bulk semiconductor and method for forming - Google Patents

Fin fet devices from bulk semiconductor and method for forming Download PDF

Info

Publication number
WO2003103019A2
WO2003103019A2 PCT/US2003/017269 US0317269W WO03103019A2 WO 2003103019 A2 WO2003103019 A2 WO 2003103019A2 US 0317269 W US0317269 W US 0317269W WO 03103019 A2 WO03103019 A2 WO 03103019A2
Authority
WO
WIPO (PCT)
Prior art keywords
fin
semiconductor substrate
forming
substrate
adjacent
Prior art date
Application number
PCT/US2003/017269
Other languages
French (fr)
Other versions
WO2003103019A3 (en
Inventor
David M. Fried
Edward J. Nowak
Beth Ann Rainey
Devendra K. Sadana
Original Assignee
International Business Machines Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corporation filed Critical International Business Machines Corporation
Priority to EP03736783A priority Critical patent/EP1532659B1/en
Priority to KR1020047017562A priority patent/KR100702553B1/en
Priority to DE60336237T priority patent/DE60336237D1/en
Priority to AU2003237320A priority patent/AU2003237320A1/en
Priority to JP2004510008A priority patent/JP4425130B2/en
Priority to AT03736783T priority patent/ATE500610T1/en
Publication of WO2003103019A2 publication Critical patent/WO2003103019A2/en
Publication of WO2003103019A3 publication Critical patent/WO2003103019A3/en
Priority to IL16554604A priority patent/IL165546A0/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66818Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the channel being thinned after patterning, e.g. sacrificial oxidation on fin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection

Definitions

  • the present invention relates generally to the field of semiconductor manufacturing and, more specifically, to a method for manufacturing fin field effect transistors.
  • FETs field effect transistors
  • CMOS technologies such as the in the design and fabrication of field effect transistors (FETs).
  • FETs comprise the dominant components of CMOS .
  • Scaling FETs to attain higher device density in CMOS results in degradation of performance and/or reliability.
  • One type of FET that has been proposed to facilitate increased device density is a fin
  • finFETs the body of the transistor is formed from a vertical structure, generally referred to as a "fin" for its resemblance to the dorsal fin on a fish.
  • the gate of the finFET is then formed on one or more sides of the fin.
  • FinFETs have several advantages, including better current control without requiring increased device size. FinFETs thus facilitate scaling of CMOS dimensions while maintaining an acceptable performance.
  • fin transistors there is a general need to electrically isolate each finFET device. Specifically, finFET devices need to be isolated from each other, and the source and drain of individual devices need to be isolated to ensure source to drain decoupling. For this reason, finFETs have been typically manufactured from silicon-on-insulator (SOI) wafers to provide isolation between the fins of different devices. Specifically, the fins of the transistors are formed from the silicon layer above the buried isolation layer, and each fin is thus isolated from other fins by virtue of the buried isolation layer beneath the fins. Likewise the source and drains of individual finFETs are decoupled from each other by the buried isolation layer.
  • SOI silicon-on-insulator
  • SOI wafers While the use of SOI wafers provides needed isolation for finFETs, it is not without significant drawbacks.
  • the most compelling drawback of forming finFETs from SOI wafers is the added costs for SOI wafers compared to bulk silicon wafers.
  • SOI wafers can commonly cost two to three times the cost of bulk silicon wafers. This increased cost of SOI wafers, while acceptable for some applications, is prohibitive in others.
  • the use of SOI wafers is not compatible with all fabrication processes, such as commonly used SiGe processes.
  • the inability to tailor fin thickness means that a critical dimension of these devices is solely determined by lithography.
  • a feature of the method of the invention is that it provides the ability to tailor the fin via oxidation, enabling the optimization of fin thickness beyond lithographic capabilities.
  • Hisamoto's process does not provide a method to control fin height.
  • the present invention thus provides a device structure and method for forming fin
  • FETs Field Effect Transistors
  • the invention is a method for forming a finFET in semiconductor substrate, the method comprising the steps of forming a fin from the semiconductor substrate; and exposing the substrate to a process that further defines the width of the fin while simultaneously isolating the fin.
  • the invention is a method for forming a finFET in semiconductor substrate, the method comprising the steps of forming a fin from the semiconductor substrate, the fin including a fin sidewall, the formation of the fin exposing areas of the semiconductor substrate adjacent the fin; damaging at least a portion of the semiconductor substrate areas adjacent the fin; and oxidizing the semiconductor substrate such that oxide is formed in the damaged portion of the semiconductor substrate to a greater thickness than is formed on the fin sidwall.
  • FIG. 1 is a flow diagram illustrating a fabrication method of the invention
  • FIGS. 2-7 are cross-sectional side views of an embodiment of a semiconductor structure of the invention during the fabrication method of FIG. 1.
  • the present invention thus provides a device structure and method for forming fin
  • the device structure and method provide the ability to form finFET devices from bulk semiconductor wafers while improving device uniformity.
  • the method facilitates the formation of finFET devices from bulk semiconductor wafers with improved fin height control.
  • the method provides the ability to form finFETs from bulk semiconductor while providing isolation between fins and between the source and drain region of individual finFETs.
  • the method can also provide for the optimization of fin width.
  • the device structure and methods of the present invention thus provide the advantages of uniform finFET fabrication on bulk wafers.
  • One embodiment of the invention uses a process before fin patterning for improved fin height control.
  • This process can comprise the implantation of a heavy ion that damages the substrate to a desired depth and thus changes the etch rate of the damaged substrate relative to that of the undamaged substrate. This allows for improved height control during fin patterning by minimizing the effects of etch rate variations.
  • a second alternative process can comprise the implantation or formation of a marker layer at a desired depth. During fin etching, the elements of the marker layer are monitored to precisely determine when the desired etch depth is reached. Both process control techniques thus provide for improved fin height uniformity, allowing fins to be formed from bulk semiconductor wafers with minimum wafer to wafer variation.
  • a second aspect of the invention generates isolation between adjacent fins and between the source and drain regions of individual finFETs. Additionally, this process optimizes the width of the fins themselves.
  • the process first selectively damages the semiconductor wafer between fins. This selective damage can be attained by implanting suitable heavy ions between fins or by implantation of a p-type species followed by a selective anodic reaction. These methods damage the exposed semiconductor wafer adjacent to the fins, but damage to the fins themselves is minimized by a protective hardmask layer on the top of the fins.
  • the wafer is then oxidized. This results in oxidation being formed on the sidewalls of the fins and in the areas between the fins.
  • the damaged area of the wafer oxidizes faster than undamaged areas, thus resulting in a greater oxide thickness between the fins than on the fin themselves. This oxidation rate differential allows sufficient oxide between the fins without overly narrowing the fins.
  • This process results in sufficient oxidation between fins to provide isolation between fins and between the source and drain region of the fins. Additionally, the formation of the oxide on the fins narrows the fins themselves. When the oxide is removed from the sidewalls of the fins, the resulting fin width is further optimized from the original width, while sufficient oxide remains between the fins to provide isolation. This process thus isolates the fins and optimizes the width of the fins at the same time.
  • the provided methods facilitate the formation of finFET devices from bulk silicon while providing increased control of fin height and width and increased isolation of the source and drain regions of the fin.
  • the present invention may be readily adapted to a variety of finFETs and their related devices, which heretofore have mostly been fabricated on SOI substrates.
  • the methods can be used in the formation of double gate finFETs as disclosed in US Patent No. 6,252,284. It will be thus understood by one of ordinary skill in the art that the invention is not limited to the specific structures illustrated in the drawings or to the specific steps detailed herein. It will also be understood that the invention is not limited to use of any specific dopant types provided that the dopant types selected for the various components are consistent with the intended electrical operation of the device.
  • FIG. 1 exemplary method 100 for forming finFETs in accordance with the present invention is illustrated.
  • the fabrication method 100 allows finFETs to be formed with improved wafer to wafer uniformity and sufficient device isolation from bulk semiconductor wafers. Thus, method 100 provides the advantages of producing finFETs in a more cost-effective fabrication process. Method 100 will now be described in detail, along with examples of one embodiment of a wafer portion during process in FIGS 2 - 7.
  • the first step 101 of FIG. 1, is to provide a suitable bulk semiconductor wafer.
  • the next step 102 of method 100 is to deposit a suitable hardmask blocking layer and then deposit a suitable hardmask cap layer.
  • Both the hardmask blocking layer and the hardmask cap layer can comprise any suitable material and any suitable thickness.
  • the hardmask blocking layer can comprise 40-100 nm of silicon dioxide, and the hardmask cap layer can comprise 5-50 nm of silicon nitride.
  • the hardmask cap layer and the hardmask blocking layer will be used to pattern the underlying semiconductor substrate and to protect the fins during the formation of isolation.
  • an exemplary wafer portion 200 is illustrated that includes a hardmask blocking layer 204 and a hardmask cap layer 202.
  • the wafer portion 200 can comprise any suitable bulk semiconductor wafer, such as a silicon ⁇ 100> wafer.
  • the hardmask blocking layer 204 and hardmask cap layer 202 can comprise any suitable hardmask material, such as silicon dioxide and silicon nitride, respectively.
  • the next step 104 is to pattern the hardmask blocking layer and the hardmask cap layer to form the fin pattern.
  • This can be done using any suitable process, and would typically involve the deposition and patterning of a suitable photoresist.
  • the hardmask blocking layer and hardmask cap layer can then be patterned selective to the developed photoresist with a reactive ion etch (RIE).
  • RIE reactive ion etch
  • the patterned hardmask layers will then be used to pattern the underlying semiconductor substrate during a silicon RIE to define the fins that will be used to form the finFET devices. As such, the length and width of the patterning would be determined by the desired fin size for the particular application.
  • the wafer portion 200 is illustrated after the hardmask blocking layer 204 and the hardmask cap layer 202 have been patterned.
  • the next step 106 is to form a height control layer in the semiconductor wafer.
  • the next step 108 is to pattern the semiconductor wafer to form the fins using the height control layer to control fin height.
  • the layer can comprise the implantation of a heavy ion that damages the substrate to a desired depth and thus changes the etch rate of the damaged substrate relative to that of the undamaged substrate. This allows for improved height control during fin patterning by minimizing the effects of etch rate variations.
  • the height control layer can comprise the formation of a marker layer at a desired depth.
  • the elements of the marker layer are monitored for to provide precise detection of when desired fin height is reached. Both techniques thus provide for improved fin height control, allowing fins to be reliably formed from bulk semiconductor wafers.
  • the height control layer comprises an ion implant that damages the substrate
  • any suitable ion can be used that sufficiently damages the exposed portion of the semiconductor substrate to change the etch rate of the damaged substrate relative to that of the undamaged substrate.
  • an As ion implant can be used to damage the semiconductor wafer.
  • Other suitable ions would include germanium, cesium, antimony or other heavy ion.
  • the energy of the implant is then selected to drive the damage to the desired depth of the fin. For example, to create a fin height of approximately 800 angstroms, we would use an As implant of 140 keV and a dose of lxl0 16 /cm 2 .
  • the damaged portion can be etched faster than the undamaged portion, and a timed etch is more likely to result in a consistent depth of etch. Stated another way, the undamaged portion etches slower than the damaged portion and in a timed etch the overetch in the undamaged area is minimized. Thus, the ion implant improves the height control of the resulting fins.
  • the height control layer comprises a marker layer
  • detection of the marker ion indicates when the desired depth has been reached during the etch process.
  • the marker layer can comprise any suitable species, such as oxygen, hydrogen or germanium.
  • the marker layer can be formed by implanting the marker species (such as Ge) into the substrate.
  • the marker layer can be formed by depositing the species on the substrate, and forming an additional semiconductor substrate layer over the deposited marker layer. The fins would then be etched from the layer on top of the marker layer.
  • the marker species is monitored while the semiconductor substrate layer is etched to define the fin.
  • the marker species is detected the etch is stopped as the presence of the species indicates that the desired depth has been reached.
  • the presence of the marker layer species helps determine when the etch process should be stopped, and thus results in a consistent depth of etch.
  • the marker layer could be a SiGe layer between 800-1000 angstroms thick with a Ge concentration between 25%-50%.
  • both techniques thus provide for improved fin height control, allowing fins of consistent height to be reliably formed from bulk semiconductor wafers.
  • the etch chemistries would be selected to be compatible with the type of height control layer and to be selective to the hardmask cap layer that is used to define the fin pattern.
  • the wafer portion 200 is illustrated after the formation of a height control layer, and after the semiconductor substrate has been patterned to form fins 210. Again, because a height control layer was used the height of fins will have improved uniformity from wafer to wafer.
  • cap layer 202 it will be desirable to remove the cap layer 202 at this point. This could be done using any suitable technique, such as a wet or dry etch that is selective to underlying hardmask and exposed silicon.
  • the underlying hardmask blocking layer 204 is thus left, and will be used to protect the fins in future process. In other cases, the cap layer 202 can be left in place to further protect the fin during future processing.
  • the next step 110 is to damage the substrate between the fins.
  • the damage to the substrate is meant to increase the oxidation rate of the substrate between the fins relative to the oxidation rate of the fins themselves.
  • One method of damaging the substrate is to implant a suitable element into the substrate between the fins. This implant is suitably done perpendicular to the surface of the substrate and thus the blocking hardmask layer prevents the implant from directly damaging the fins, although some straggle may occur. Any suitable implant can be used to damage the substrate, although it is generally preferable to choose a heavy ion that will best damage the substrate and thus increase the oxidation rate of the substrate.
  • implanting As at a dose between approximately lxl0 16 /cm 2 and lxl0 17 /cm 2 and at an energy of approximately 40-60 keV is a suitable choice.
  • Other suitable implant species include cesium, oxygen and germanium.
  • An alternative method of selectively damaging the semiconductor substrate is via an anodic reaction selective to a p-type implant.
  • a p-type ion is implanted into the semiconductor substrate between the fins.
  • the p-type implant can comprise any suitable species, such as boron.
  • the blocking hardmask layer prevents the p-type implant from directly damaging the fins.
  • the substrate is annealed.
  • the implanted regions are then exposed to a chemical etchant such as HF/alcohol and an anodic reaction occurs. This damages the implanted regions. Specifically, the anodic reaction makes the implanted regions become porous.
  • the amount of damage can be controlled by the density and energy of the p-type implant, the HF concentration and the HF/alcohol mixture, as well as reaction current density and time.
  • the damaged area again has an increased oxidation rate, and thus will result in differential oxide thickness between the substrate and the fins.
  • the wafer portion 200 is illustrated after the hardmask cap layer 202 has been removed and a damaging process performed to create damaged portions 212 of the substrate. Because the fins are protected by the remaining hardmask blocking layer 204, and because the implant is primarily vertical, the damaged portions 212 of the semiconductor substrate will be concentrated in the areas between the fins.
  • next step 112 is to oxidize the damaged areas of the wafer.
  • the damaged areas of the wafer oxidize at a much greater rate than the undamaged areas.
  • oxide will form more rapidly and more deeply in areas between the fins than on the fins themselves.
  • the ratio of the two oxidation rates is approximately 5:1. This generates an oxide of sufficient thickness between the fins to isolate the fins from each other without completely oxidizing the fin away.
  • the growth of oxidation under the fins further isolates the fins. Specifically, the growth of oxidation under the fins will result in improved isolation between the source and drains of the transistors themselves.
  • Another aspect of this step is that the growth of oxide on the sidewalls of the fins serves to narrow the width of the remaining semiconductor material in the fins. Narrowing of the fin can improve the performance of the transistor by improving the current control provided by the gate. It should be noted that in many cases it is desirable for the fin to be narrower than can be accurately patterned using traditional lithography. For this reason, it will be desirable in many cases to use image enhancement techniques such as sidewall image transfer to define the width of the fin. The embodiments of the invention thus provide additional advantage by further narrowing the width of the fin during formation of the isolation between the fins without completely oxidizing the fin away.
  • the wafer portion 200 is illustrated after the oxidation has formed isolation 214 between the fins 210. Additionally, the oxidation has formed oxide 216 on the sidewalls of the fins 210. Because the substrate areas between the fins were damaged before oxidation, the rate of oxide growth between the fins is much greater than in other areas, such as on the sidewalls of the fins. Additionally, the formation of oxide 216 on the fins 210 serves to further narrow the width of the fins.
  • next step 114 is to remove unwanted oxide and complete the finFET devices. Because the oxide formed on the sidewalls of the fin is much thinner than the oxide formed between the fins, it can be removed from the sidewalls while leaving sufficient isolation oxide between the fins. Furthermore, the formation of oxide on the sidewall of the fins further narrows the width of the fins themselves.
  • the fins defined and the isolation formed can then be completed.
  • the described methods can be applied to any type of finFET manufacturing process.
  • the first step in the exemplary process would be to dope the fins. Typically, this may include an ion implantation into the fins so as to form P-well structures and N-well structures.
  • P-well structures and N-well structures are formed to allow the integration of NFETs and PFETs in a common substrate.
  • P, As and Sb for example, are well suited for PFET wells.
  • Ion implantation is typically designed to achieve a concentration of between 1 x 10 17 cm “3 to 5 x 10 18 cm “3 for example.
  • ion implantation may comprise an angled implant into exposed opposing vertical sidewalls of semiconductor layer, and would serve to properly dope the fins.
  • gate stacks This can comprise forming gate insulator layers on opposing vertical sidewalls and opposing end walls of the fins.
  • Gate insulator layers may be formed by thermal oxidation, typically at 750-800 °C, or alternatively, may be formed by depositing a dielectric film.
  • gate insulator layers may be Si0 2 , a nitrided oxide material, a high-K dielectric material, or combinations thereof, as known in the art.
  • the next step is to form a gate conductor layer overlying the gate insulator layers.
  • the gate conductor layer may be any suitable conducting material, typically a polycrystalline silicon material, although amorphous silicon, a combination of amorphous silicon and polysilicon, polysilicon-germanium, or any other appropriate material may be used to form the gate conductor layer.
  • a metal gate conductor layer such as W, Mo, or Ta, or any other refractory metal, or alternatively, a suicided gate conductor comprising polysilicon added with Ni or Co.
  • the gate conductor layer is a silicon material, it may be deposited as a doped layer (in-situ doping).
  • gate conductor layer is a metal layer
  • such layers may be deposited using physical vapor or chemical vapor deposition methods or any other technique known in the art. In this manner, gate structures are formed adjacent to oxide layers formed on opposing vertical sidewalls of the fins formed by semiconductor layer portions.
  • the next step is to pattern the gate conductor layer and gate insulator layer. This would typically be accomplished by depositing and patterning a hardmask film.
  • hard mask film material may be Si0 2 or Si 3 N 4 .
  • the gate conductor layer may be patterned and structured using well known photolithography and etching techniques to form the gate stack, i.e, using hardmask film caps as an etch mask during a directional etching of gate conductor layer. This involves selectively removing portions of gate conductor layer down to the isolation, but does not remove the portions of the semiconductor layer forming the fins that are protected by hardmask film. Therefore, the fins may extend beyond the gate stack.
  • the patterning and structuring also leaves portions of gate conductor layer, which define the gate structures adjacent the fin bodies.
  • the next step is to dope the exposed portion of the fins with source/drain (S/D) implants.
  • S/D source/drain
  • Formation of the source/drain regions may be accomplished using any of the variety of methods that have been developed to form source/drain regions and that are tailored for specific performance requirements. There are many such methods for forming source/drain regions having various levels of complexity.
  • lightly doped source/drain regions or other source/drain regions may be formed.
  • NFETs typically P, As, or Sb for example is used for the source/drain implants in the range of 1 to 5 keV and a dose of 5 x 10 14 to 2 x 10 15 cm "3 .
  • PFETs typically B, In, or Ga for example is used for the source/drain implants in the range of 0.5 to 3 keV and dose of 5 x 10 14 to 2 x 10 1S cm "3 .
  • extension and halo implants may be formed that improve Short Channel Effects (SCE).
  • SCE Short Channel Effects
  • NFETs typically B, In, or Ga may be used for the halo implants with energies in the range of 5 to 15 keV and a dose of 1 x 10 13 to 8 x 10 13 cm "3 .
  • P, As, or Sb may be used for the halos, with energies from 20 to 45 keV and dose of 1 x 10 13 to 8 x 10 13 cm "3 .
  • a dielectric may be deposited and planarized typically using a CMP process.
  • Contact holes may then be structured and etched using an anisotropic process (e.g. RIE) or the like.
  • the contact holes may be filled using any conducting material, such as doped polysilicon, suicide (e.g. WSi), metals (e.g. Au, Al, Mo, W, Ta, Ti, Cu, or ITO (indium-tin oxide)), or the like, being deposited by evaporation, sputtering, or other known techniques, thereby forming S/D contacts.
  • the first metal layer may be deposited and structured using a RIE process or the like.
  • the structuring of the first metal layer may be done following a damacene process flow.
  • Gate insulator layers 220 are formed on each side of the fins 210 and opposing end walls of the fins.
  • Gates 222 are formed overlying gate insulator layers 220 and hard mask film 224. Also in this particular embodiment, gate conductor layer 222 portions is continuous across both sides of the fin, but in other embodiments, the gate conductor layer is divided into two portions.
  • CMOS FinFETs on the same substrate utilizing various crystal planes for FET current channels according to the present invention may be used in many different kinds of circuits, such as high performance logic, low power logic or high density memory devices, including high density multi-gigabit DRAMs.
  • the CMOS FinFETs may readily be combined with other elements, such as for example capacitors, resistors, diodes, memory cells and so forth.
  • the present invention thus provides a device structure and method for forming fin Field Effect Transistors (FETs) that overcomes many of the disadvantages of the prior art. Specifically, the method facilitates the formation of finFET devices from bulk semiconductor wafers with improved fin height control. Additionally, the method provides the ability to form finFETs from bulk silicon while providing isolation between fins and between the source and drain region of individual finFETs.
  • the device structure and methods of the present invention thus provide the advantages of reliable and consistent finFET fabrication while using cost-effective bulk wafers.
  • the embodiments and examples set forth herein were presented in order to best explain the present invention and its practical application and to thereby enable those of ordinary skill in the art to make and use the invention.
  • the fin Field Effect Transistor (finFET) of the present invention is useful in the design and manufacture of integrated circuits, and is particularly useful for complementary metal-oxide semiconductor (CMOS) technologies in which the substrate comprises bulk silicon.
  • CMOS complementary metal-oxide semiconductor

Abstract

The present invention thus provides a device structure and method for forming fin (210) Field Effect Transistors (FETs) from bulk semiconductor wafers (200) while providing improved wafer to wafer device uniformity. Specifically, the invention provides a height control layer (212), such as a damaged portion of the substrate (200) or a marker layer, which provides uniformity of fin height. Additionally, the invention provides provides isolation (214) between fins (210) which also provides for optimization and narrowing of fin width by selective oxidation of a portion (212) of the substrate relative to an oxidized portion (216) of the fin sidewalk. The device structure and methods of the present invention thus provide the advantages of uniform finFET fabrication while using cost effect bulk wafers.

Description

FIN FET DEVICES FROM BULK SEMICONDUCTOR AND METHOD FOR FORMING
Technical Field
The present invention relates generally to the field of semiconductor manufacturing and, more specifically, to a method for manufacturing fin field effect transistors.
Background Art
The need to remain cost and performance competitive in the production of semiconductor devices has driven the increase in device density in integrated circuits. To facilitate the increase in device density, new technologies are constantly needed to allow the feature size of these semiconductor devices to be reduced.
The push for ever increasing device densities is particularly strong in CMOS technologies, such as the in the design and fabrication of field effect transistors (FETs). FETs comprise the dominant components of CMOS . Scaling FETs to attain higher device density in CMOS results in degradation of performance and/or reliability.
One type of FET that has been proposed to facilitate increased device density is a fin
Field Effect Transistor. In finFETs, the body of the transistor is formed from a vertical structure, generally referred to as a "fin" for its resemblance to the dorsal fin on a fish. The gate of the finFET is then formed on one or more sides of the fin. FinFETs have several advantages, including better current control without requiring increased device size. FinFETs thus facilitate scaling of CMOS dimensions while maintaining an acceptable performance.
Unfortunately, several difficulties arise in the design and fabrication of fin transistors. First, in fin transistors there is a general need to electrically isolate each finFET device. Specifically, finFET devices need to be isolated from each other, and the source and drain of individual devices need to be isolated to ensure source to drain decoupling. For this reason, finFETs have been typically manufactured from silicon-on-insulator (SOI) wafers to provide isolation between the fins of different devices. Specifically, the fins of the transistors are formed from the silicon layer above the buried isolation layer, and each fin is thus isolated from other fins by virtue of the buried isolation layer beneath the fins. Likewise the source and drains of individual finFETs are decoupled from each other by the buried isolation layer.
While the use of SOI wafers provides needed isolation for finFETs, it is not without significant drawbacks. The most compelling drawback of forming finFETs from SOI wafers is the added costs for SOI wafers compared to bulk silicon wafers. For example, SOI wafers can commonly cost two to three times the cost of bulk silicon wafers. This increased cost of SOI wafers, while acceptable for some applications, is prohibitive in others. Additionally, the use of SOI wafers is not compatible with all fabrication processes, such as commonly used SiGe processes.
The method of isolating devices on bulk wafers is described by Hisamoto et al., "A fully Depleted Lean-channel Transistor (DELTA) - A novel vertical ultra thin SOI MOSFET -" International Electron Devices Meeting 1989, Paper 34.5.1, pp 833-6. This method requires that a nitride spacer be built on the fin so that the fin is protected during the oxidation of the underlying substrate to form the region of isolation. Thus, the substrate is selectively oxidized with respect to the fin. The limitations of this process are the high temperature of the oxidation, 1100°C, and the inability to tailor the fin thickness while generating the isolation layer. As devices continue to scale their ability to withstand high temperature conditions decreases; as such, the process proposed in Hisamoto et al. is incompatible with the nanoscale technologies in which FinFETs will find their use.
Moreover, the inability to tailor fin thickness means that a critical dimension of these devices is solely determined by lithography. As will be discussed in more detail below, a feature of the method of the invention is that it provides the ability to tailor the fin via oxidation, enabling the optimization of fin thickness beyond lithographic capabilities.
Additionally, Hisamoto's process does not provide a method to control fin height.
Bulk wafers lack a layer upon which the etch of the fin can terminate, such as is provided by the buried oxide layer in SOI wafers. Without this etch stop layer, variability in the etch depth translates to variability in the fin height. Since the amount of current conducted by the device is proportional to the height of the fin, it is important to minimize variability in the fin height. Thus, there is a need for improved fabrication methods and structures to facilitate the formation of finFET devices from bulk silicon while minimizing device variations and providing sufficient device isolation.
Disclosure of Invention
The present invention thus provides a device structure and method for forming fin
Field Effect Transistors (FETs) that overcomes many of the disadvantages of the prior art. Specifically, the device structure and method provides the ability to form finFET devices from bulk semiconductor wafers while providing improved device uniformity.
In a first aspect, the invention is a method for forming a finFET in semiconductor substrate, the method comprising the steps of forming a fin from the semiconductor substrate; and exposing the substrate to a process that further defines the width of the fin while simultaneously isolating the fin.
In a second aspect, the invention is a method for forming a finFET in semiconductor substrate, the method comprising the steps of forming a fin from the semiconductor substrate, the fin including a fin sidewall, the formation of the fin exposing areas of the semiconductor substrate adjacent the fin; damaging at least a portion of the semiconductor substrate areas adjacent the fin; and oxidizing the semiconductor substrate such that oxide is formed in the damaged portion of the semiconductor substrate to a greater thickness than is formed on the fin sidwall.
The foregoing and other advantages and features of the invention will be apparent from the following more particular description of a preferred embodiment of the invention as illustrated in the accompanying drawings.
Brief Description of Drawings
The preferred exemplary embodiment of the present invention will hereinafter be described in conjunction with the appended drawings, where like designations denote like elements, and FIG. 1 is a flow diagram illustrating a fabrication method of the invention;
FIGS. 2-7 are cross-sectional side views of an embodiment of a semiconductor structure of the invention during the fabrication method of FIG. 1.
Best Mode of Carrying Out The Invention
The present invention thus provides a device structure and method for forming fin
Field Effect Transistors (FETs) that overcomes many of the disadvantages of the prior art. Specifically, the device structure and method provide the ability to form finFET devices from bulk semiconductor wafers while improving device uniformity. The method facilitates the formation of finFET devices from bulk semiconductor wafers with improved fin height control. Additionally, the method provides the ability to form finFETs from bulk semiconductor while providing isolation between fins and between the source and drain region of individual finFETs. Finally, the method can also provide for the optimization of fin width. The device structure and methods of the present invention thus provide the advantages of uniform finFET fabrication on bulk wafers.
One embodiment of the invention uses a process before fin patterning for improved fin height control. This process can comprise the implantation of a heavy ion that damages the substrate to a desired depth and thus changes the etch rate of the damaged substrate relative to that of the undamaged substrate. This allows for improved height control during fin patterning by minimizing the effects of etch rate variations. A second alternative process can comprise the implantation or formation of a marker layer at a desired depth. During fin etching, the elements of the marker layer are monitored to precisely determine when the desired etch depth is reached. Both process control techniques thus provide for improved fin height uniformity, allowing fins to be formed from bulk semiconductor wafers with minimum wafer to wafer variation. A second aspect of the invention generates isolation between adjacent fins and between the source and drain regions of individual finFETs. Additionally, this process optimizes the width of the fins themselves. The process first selectively damages the semiconductor wafer between fins. This selective damage can be attained by implanting suitable heavy ions between fins or by implantation of a p-type species followed by a selective anodic reaction. These methods damage the exposed semiconductor wafer adjacent to the fins, but damage to the fins themselves is minimized by a protective hardmask layer on the top of the fins. The wafer is then oxidized. This results in oxidation being formed on the sidewalls of the fins and in the areas between the fins. The damaged area of the wafer oxidizes faster than undamaged areas, thus resulting in a greater oxide thickness between the fins than on the fin themselves. This oxidation rate differential allows sufficient oxide between the fins without overly narrowing the fins.
This process results in sufficient oxidation between fins to provide isolation between fins and between the source and drain region of the fins. Additionally, the formation of the oxide on the fins narrows the fins themselves. When the oxide is removed from the sidewalls of the fins, the resulting fin width is further optimized from the original width, while sufficient oxide remains between the fins to provide isolation. This process thus isolates the fins and optimizes the width of the fins at the same time.
Thus, the provided methods facilitate the formation of finFET devices from bulk silicon while providing increased control of fin height and width and increased isolation of the source and drain regions of the fin.
The present invention may be readily adapted to a variety of finFETs and their related devices, which heretofore have mostly been fabricated on SOI substrates. For example, the methods can be used in the formation of double gate finFETs as disclosed in US Patent No. 6,252,284. It will be thus understood by one of ordinary skill in the art that the invention is not limited to the specific structures illustrated in the drawings or to the specific steps detailed herein. It will also be understood that the invention is not limited to use of any specific dopant types provided that the dopant types selected for the various components are consistent with the intended electrical operation of the device. Turning now to FIG. 1, exemplary method 100 for forming finFETs in accordance with the present invention is illustrated. The fabrication method 100 allows finFETs to be formed with improved wafer to wafer uniformity and sufficient device isolation from bulk semiconductor wafers. Thus, method 100 provides the advantages of producing finFETs in a more cost-effective fabrication process. Method 100 will now be described in detail, along with examples of one embodiment of a wafer portion during process in FIGS 2 - 7.
The first step 101 of FIG. 1, is to provide a suitable bulk semiconductor wafer. The next step 102 of method 100 is to deposit a suitable hardmask blocking layer and then deposit a suitable hardmask cap layer. Both the hardmask blocking layer and the hardmask cap layer can comprise any suitable material and any suitable thickness. For example, the hardmask blocking layer can comprise 40-100 nm of silicon dioxide, and the hardmask cap layer can comprise 5-50 nm of silicon nitride. As will become clear, the hardmask cap layer and the hardmask blocking layer will be used to pattern the underlying semiconductor substrate and to protect the fins during the formation of isolation.
Turning now to FIG. 2, an exemplary wafer portion 200 is illustrated that includes a hardmask blocking layer 204 and a hardmask cap layer 202. Again, the wafer portion 200 can comprise any suitable bulk semiconductor wafer, such as a silicon <100> wafer. Likewise, the hardmask blocking layer 204 and hardmask cap layer 202 can comprise any suitable hardmask material, such as silicon dioxide and silicon nitride, respectively.
Returning to FIG. 1, the next step 104 is to pattern the hardmask blocking layer and the hardmask cap layer to form the fin pattern. This can be done using any suitable process, and would typically involve the deposition and patterning of a suitable photoresist. The hardmask blocking layer and hardmask cap layer can then be patterned selective to the developed photoresist with a reactive ion etch (RIE). The patterned hardmask layers will then be used to pattern the underlying semiconductor substrate during a silicon RIE to define the fins that will be used to form the finFET devices. As such, the length and width of the patterning would be determined by the desired fin size for the particular application.
Turning now to FIG. 3, the wafer portion 200 is illustrated after the hardmask blocking layer 204 and the hardmask cap layer 202 have been patterned. Returning to FIG. 1, the next step 106 is to form a height control layer in the semiconductor wafer. Then, the next step 108 is to pattern the semiconductor wafer to form the fins using the height control layer to control fin height. There are several different types of height control layers that can be used. For example, the layer can comprise the implantation of a heavy ion that damages the substrate to a desired depth and thus changes the etch rate of the damaged substrate relative to that of the undamaged substrate. This allows for improved height control during fin patterning by minimizing the effects of etch rate variations. In the alternative, the height control layer can comprise the formation of a marker layer at a desired depth. During fin patterning, the elements of the marker layer are monitored for to provide precise detection of when desired fin height is reached. Both techniques thus provide for improved fin height control, allowing fins to be reliably formed from bulk semiconductor wafers.
When the height control layer comprises an ion implant that damages the substrate, any suitable ion can be used that sufficiently damages the exposed portion of the semiconductor substrate to change the etch rate of the damaged substrate relative to that of the undamaged substrate. For example, an As ion implant can be used to damage the semiconductor wafer. Other suitable ions would include germanium, cesium, antimony or other heavy ion. The energy of the implant is then selected to drive the damage to the desired depth of the fin. For example, to create a fin height of approximately 800 angstroms, we would use an As implant of 140 keV and a dose of lxl016/cm2. Using a suitable etch, the damaged portion can be etched faster than the undamaged portion, and a timed etch is more likely to result in a consistent depth of etch. Stated another way, the undamaged portion etches slower than the damaged portion and in a timed etch the overetch in the undamaged area is minimized. Thus, the ion implant improves the height control of the resulting fins.
When the height control layer comprises a marker layer, detection of the marker ion indicates when the desired depth has been reached during the etch process. The marker layer can comprise any suitable species, such as oxygen, hydrogen or germanium. The marker layer can be formed by implanting the marker species (such as Ge) into the substrate. Alternatively, the marker layer can be formed by depositing the species on the substrate, and forming an additional semiconductor substrate layer over the deposited marker layer. The fins would then be etched from the layer on top of the marker layer. The marker species is monitored while the semiconductor substrate layer is etched to define the fin. When the marker species is detected the etch is stopped as the presence of the species indicates that the desired depth has been reached. The presence of the marker layer species helps determine when the etch process should be stopped, and thus results in a consistent depth of etch. For example, the marker layer could be a SiGe layer between 800-1000 angstroms thick with a Ge concentration between 25%-50%.
Both techniques thus provide for improved fin height control, allowing fins of consistent height to be reliably formed from bulk semiconductor wafers. In all of these embodiments the etch chemistries would be selected to be compatible with the type of height control layer and to be selective to the hardmask cap layer that is used to define the fin pattern.
Turning now to FIG. 4, the wafer portion 200 is illustrated after the formation of a height control layer, and after the semiconductor substrate has been patterned to form fins 210. Again, because a height control layer was used the height of fins will have improved uniformity from wafer to wafer.
In some cases it will be desirable to remove the cap layer 202 at this point. This could be done using any suitable technique, such as a wet or dry etch that is selective to underlying hardmask and exposed silicon. The underlying hardmask blocking layer 204 is thus left, and will be used to protect the fins in future process. In other cases, the cap layer 202 can be left in place to further protect the fin during future processing.
The next step 110 is to damage the substrate between the fins. As will become clear, the damage to the substrate is meant to increase the oxidation rate of the substrate between the fins relative to the oxidation rate of the fins themselves. One method of damaging the substrate is to implant a suitable element into the substrate between the fins. This implant is suitably done perpendicular to the surface of the substrate and thus the blocking hardmask layer prevents the implant from directly damaging the fins, although some straggle may occur. Any suitable implant can be used to damage the substrate, although it is generally preferable to choose a heavy ion that will best damage the substrate and thus increase the oxidation rate of the substrate. For this reason, implanting As (at a dose between approximately lxl016/cm2 and lxl017/cm2 and at an energy of approximately 40-60 keV) is a suitable choice. Other suitable implant species include cesium, oxygen and germanium.
An alternative method of selectively damaging the semiconductor substrate is via an anodic reaction selective to a p-type implant. In this process, a p-type ion is implanted into the semiconductor substrate between the fins. The p-type implant can comprise any suitable species, such as boron. Again, the blocking hardmask layer prevents the p-type implant from directly damaging the fins. After the p-type implant, the substrate is annealed. The implanted regions are then exposed to a chemical etchant such as HF/alcohol and an anodic reaction occurs. This damages the implanted regions. Specifically, the anodic reaction makes the implanted regions become porous. The amount of damage can be controlled by the density and energy of the p-type implant, the HF concentration and the HF/alcohol mixture, as well as reaction current density and time. The damaged area again has an increased oxidation rate, and thus will result in differential oxide thickness between the substrate and the fins.
Turning now to FIG. 5, the wafer portion 200 is illustrated after the hardmask cap layer 202 has been removed and a damaging process performed to create damaged portions 212 of the substrate. Because the fins are protected by the remaining hardmask blocking layer 204, and because the implant is primarily vertical, the damaged portions 212 of the semiconductor substrate will be concentrated in the areas between the fins.
Returning to FIG. 1 , the next step 112 is to oxidize the damaged areas of the wafer.
This can be done using any suitable oxidation process. As stated above, the damaged areas of the wafer oxidize at a much greater rate than the undamaged areas. Thus, oxide will form more rapidly and more deeply in areas between the fins than on the fins themselves. At the preferred oxidation conditions of 800 °C for 40 minutes, the ratio of the two oxidation rates is approximately 5:1. This generates an oxide of sufficient thickness between the fins to isolate the fins from each other without completely oxidizing the fin away. Additionally, the growth of oxidation under the fins further isolates the fins. Specifically, the growth of oxidation under the fins will result in improved isolation between the source and drains of the transistors themselves. Without this isolation current can potentially flow under the fins between the source and the drain as this area may not be completely controlled by the gates of the transistor. It should be noted that the oxide grown under the fin does not necessarily need to completely extend under the fin to provide sufficient isolation between the source and drain of the transistor, although in some cases it would be desirable.
Another aspect of this step is that the growth of oxide on the sidewalls of the fins serves to narrow the width of the remaining semiconductor material in the fins. Narrowing of the fin can improve the performance of the transistor by improving the current control provided by the gate. It should be noted that in many cases it is desirable for the fin to be narrower than can be accurately patterned using traditional lithography. For this reason, it will be desirable in many cases to use image enhancement techniques such as sidewall image transfer to define the width of the fin. The embodiments of the invention thus provide additional advantage by further narrowing the width of the fin during formation of the isolation between the fins without completely oxidizing the fin away.
Turning now to FIG. 6, the wafer portion 200 is illustrated after the oxidation has formed isolation 214 between the fins 210. Additionally, the oxidation has formed oxide 216 on the sidewalls of the fins 210. Because the substrate areas between the fins were damaged before oxidation, the rate of oxide growth between the fins is much greater than in other areas, such as on the sidewalls of the fins. Additionally, the formation of oxide 216 on the fins 210 serves to further narrow the width of the fins.
Returning to FIG. 1, the next step 114 is to remove unwanted oxide and complete the finFET devices. Because the oxide formed on the sidewalls of the fin is much thinner than the oxide formed between the fins, it can be removed from the sidewalls while leaving sufficient isolation oxide between the fins. Furthermore, the formation of oxide on the sidewall of the fins further narrows the width of the fins themselves.
With the fins defined and the isolation formed, the finFETs can then be completed. As stated before, the described methods can be applied to any type of finFET manufacturing process. A short description of an exemplary process will now be given, but those skilled in the art will recognize that other suitable processes could be used. The first step in the exemplary process would be to dope the fins. Typically, this may include an ion implantation into the fins so as to form P-well structures and N-well structures. In the CMOS technology of the present invention, P-well structures and N-well structures are formed to allow the integration of NFETs and PFETs in a common substrate. P, As and Sb, for example, are well suited for PFET wells. B, _h and Ga, for example, are well suited for NFET wells. Ion implantation is typically designed to achieve a concentration of between 1 x 1017 cm"3 to 5 x 1018 cm"3 for example. In one embodiment, ion implantation may comprise an angled implant into exposed opposing vertical sidewalls of semiconductor layer, and would serve to properly dope the fins.
The next steps would be to form gate stacks. This can comprise forming gate insulator layers on opposing vertical sidewalls and opposing end walls of the fins. Gate insulator layers may be formed by thermal oxidation, typically at 750-800 °C, or alternatively, may be formed by depositing a dielectric film. For the exemplary purposes of this disclosure, gate insulator layers may be Si02, a nitrided oxide material, a high-K dielectric material, or combinations thereof, as known in the art.
The next step is to form a gate conductor layer overlying the gate insulator layers. The gate conductor layer may be any suitable conducting material, typically a polycrystalline silicon material, although amorphous silicon, a combination of amorphous silicon and polysilicon, polysilicon-germanium, or any other appropriate material may be used to form the gate conductor layer. In addition, in some embodiments of the present invention, it might be advantageous to employ a metal gate conductor layer, such as W, Mo, or Ta, or any other refractory metal, or alternatively, a suicided gate conductor comprising polysilicon added with Ni or Co. When the gate conductor layer is a silicon material, it may be deposited as a doped layer (in-situ doping). Where the gate conductor layer is a metal layer, such layers may be deposited using physical vapor or chemical vapor deposition methods or any other technique known in the art. In this manner, gate structures are formed adjacent to oxide layers formed on opposing vertical sidewalls of the fins formed by semiconductor layer portions.
The next step is to pattern the gate conductor layer and gate insulator layer. This would typically be accomplished by depositing and patterning a hardmask film. Typically, hard mask film material may be Si02 or Si3N4. Accordingly, the gate conductor layer may be patterned and structured using well known photolithography and etching techniques to form the gate stack, i.e, using hardmask film caps as an etch mask during a directional etching of gate conductor layer. This involves selectively removing portions of gate conductor layer down to the isolation, but does not remove the portions of the semiconductor layer forming the fins that are protected by hardmask film. Therefore, the fins may extend beyond the gate stack. The patterning and structuring also leaves portions of gate conductor layer, which define the gate structures adjacent the fin bodies.
The next step is to dope the exposed portion of the fins with source/drain (S/D) implants. Formation of the source/drain regions may be accomplished using any of the variety of methods that have been developed to form source/drain regions and that are tailored for specific performance requirements. There are many such methods for forming source/drain regions having various levels of complexity. Thus, in some embodiments of the present invention, using ion implantation for example, lightly doped source/drain regions or other source/drain regions may be formed. Thus, for NFETs, typically P, As, or Sb for example is used for the source/drain implants in the range of 1 to 5 keV and a dose of 5 x 1014 to 2 x 1015 cm"3. Similarly, for PFETs, typically B, In, or Ga for example is used for the source/drain implants in the range of 0.5 to 3 keV and dose of 5 x 1014 to 2 x 101S cm"3.
Optionally, extension and halo implants may be formed that improve Short Channel Effects (SCE). For NFETs, typically B, In, or Ga may be used for the halo implants with energies in the range of 5 to 15 keV and a dose of 1 x 1013 to 8 x 1013 cm"3. Similarly, for PFETs, P, As, or Sb may be used for the halos, with energies from 20 to 45 keV and dose of 1 x 1013 to 8 x 1013 cm"3.
To complete the devices contacts to sources, drains, and gates may then be formed. Accordingly, a dielectric may be deposited and planarized typically using a CMP process. Contact holes may then be structured and etched using an anisotropic process (e.g. RIE) or the like. The contact holes may be filled using any conducting material, such as doped polysilicon, suicide (e.g. WSi), metals (e.g. Au, Al, Mo, W, Ta, Ti, Cu, or ITO (indium-tin oxide)), or the like, being deposited by evaporation, sputtering, or other known techniques, thereby forming S/D contacts. Then the first metal layer may be deposited and structured using a RIE process or the like. Alternatively, the structuring of the first metal layer may be done following a damacene process flow.
Turning now to FIG. 7, exemplary completed finFET devices are illustrated on wafer portion 200. An embodiment of the present invention is depicted having a minimum of complexity. Gate insulator layers 220 are formed on each side of the fins 210 and opposing end walls of the fins. Gates 222 are formed overlying gate insulator layers 220 and hard mask film 224. Also in this particular embodiment, gate conductor layer 222 portions is continuous across both sides of the fin, but in other embodiments, the gate conductor layer is divided into two portions.
CMOS FinFETs on the same substrate utilizing various crystal planes for FET current channels according to the present invention may be used in many different kinds of circuits, such as high performance logic, low power logic or high density memory devices, including high density multi-gigabit DRAMs. Moreover, the CMOS FinFETs may readily be combined with other elements, such as for example capacitors, resistors, diodes, memory cells and so forth.
The present invention thus provides a device structure and method for forming fin Field Effect Transistors (FETs) that overcomes many of the disadvantages of the prior art. Specifically, the method facilitates the formation of finFET devices from bulk semiconductor wafers with improved fin height control. Additionally, the method provides the ability to form finFETs from bulk silicon while providing isolation between fins and between the source and drain region of individual finFETs. The device structure and methods of the present invention thus provide the advantages of reliable and consistent finFET fabrication while using cost-effective bulk wafers. The embodiments and examples set forth herein were presented in order to best explain the present invention and its practical application and to thereby enable those of ordinary skill in the art to make and use the invention. However, those of ordinary skill in the art will recognize that the foregoing description and examples have been presented for the purposes of illustration and example only. The description as set forth is not intended to be exhaustive or to limit the invention to the precise form disclosed. Many modifications and variations are possible in light of the teachings above without departing from the spirit and scope of the forthcoming claims. Accordingly, unless otherwise specified, any components of the present invention indicated in the drawings or herein are given as an example of possible components and not as a limitation. Similarly, unless otherwise specified, any steps or sequence of steps of the method of the present invention indicated herein are given as examples of possible steps or sequence of steps and not as limitations.
Industrial Applicability
The fin Field Effect Transistor (finFET) of the present invention is useful in the design and manufacture of integrated circuits, and is particularly useful for complementary metal-oxide semiconductor (CMOS) technologies in which the substrate comprises bulk silicon.

Claims

Claims
1. A method for forming a finFET in semiconductor substrate (200), the method comprising the steps of: forming (102, 104, 106, 108) a fin (210) from the semiconductor substrate (200); and exposing the substrate (200) to a process (110, 112, 114) that further defines the width of the fin (210) while simultaneously isolating the fin (210).
2. The method of claim 1 wherein the step of exposing the substrate (200) to a process (110, 112, 114) that defines the width of the fin while simultaneously isolating the fin comprises: damaging (110) at least a portion (212) of semiconductor substrate areas adjacent the fin (210); and oxidizing (112) the semiconductor substrate such that oxide is formed in the damaged portion (214) of the semiconductor substrate to a greater thickness than is formed on a fin sidewall (216).
3. The method of claim 2 wherein the step of damaging (110) at least a portion (212) of the semiconductor substrate adjacent the fin (210) comprises performing an ion implantation into at least a portion of the semiconductor substrate adjacent the fin (210).
4. The method of claim 3 wherein the ion implantation comprises an implantation performed substantially parallel to the fin (210) to minimize damage to the sidewall of the fins.
5. The method of claim 3 further comprising the step of providing (102) a blocking layer (204) on a top of the fin (210) to reduce damage to the fin (210).
6. The method of claim 2 wherein the step of damaging (110) at least a portion (212) of the semiconductor substrate (200) adjacent the fin (210) comprises performing an anodic reaction to increase the porosity of at least a portion of the semiconductor substrate adjacent the fin (210).
7. The method of claim 6 wherein the step of performing an anodic reaction to increase the porosity of at least a portion of the semiconductor substrate (200) adjacent the fin (210) comprises performing a p-type implantation into the semiconductor substrate adjacent the fin (210), annealing the semiconductor substrate (200), and subjecting at least a portion of the semiconductor substrate to a chemical etchant.
8. The method of claim 1 wherein the step of forming (108) a fin (210) from the semiconductor substrate (200) comprises: forming (106) a height control layer in the semiconductor substrate (200); and etching (108) the semiconductor substrate to define the fin (210) such that the height control layer facilitates fin height uniformity.
9. The method of claim 8 wherein the step of forming (106) a height control layer in the semiconductor substrate (200) comprises performing an ion implant into the semiconductor substrate that damages the substrate to change the etch rate of damaged portions (212) of the substrate relative to undamaged portions of the substrate (200).
10. The method of claim 8 wherein the step of forming (106) a height control layer in the semiconductor substrate (200) comprises forming a marker layer in the semiconductor substrate, and wherein the step of etching (108) the semiconductor substrate to define the fin such that the height control layer facilitates fin height uniformity comprises monitoring for the marker layer during etching (108) of the semiconductor substrate (200).
11. The method of claim 1 wherein the step of exposing the substrate to a process (110, 112, 114) that further defines the width of the fin (210) while simultaneously isolating the fin (210) narrows the width of the fin to less than the minimum feature size of a process used in the step of forming (102, 104, 106, 108) a fin (210) from the semiconductor substrate (200).
12. The method of claim 1 wherein the step of exposing the substrate to a process (110, 112, 114) that further defines the width of the fin (210) while simultaneously isolating the fin (210) narrows the width of the fin to less than the minimum feature size of a process used in the step of forming (102, 104, 106, 108) a fin (210) from the semiconductor substrate (200).
13. A method for forming a finFET in semiconductor substrate (200), the method comprising the steps of: forming (102, 104, 106, 108) a fin (210) from the semiconductor substrate (200), the fin (210) including a fin sidewall, the formation of the fin exposing areas of the semiconductor substrate (200) adjacent the fin (210); damaging (110) at least a portion (212) of the semiconductor substrate areas adjacent the fin (210); and oxidizing (112) the semiconductor substrate such that oxide is formed in the damaged portion (214) of the semiconductor substrate to a greater thickness than is formed on the fin sidewall (216).
14. The method of claim 13 wherein the step of damaging (110) at least a portion (212) of the semiconductor substrate adjacent the fin (210) comprises performing an ion implant into the at least a portion (212) of the semiconductor substrate adjacent the fin (210).
15. The method of claim 13 wherein the step of damaging (110) at least a portion (212) of the semiconductor substrate adjacent the fin (210) comprises performing an anodic reaction to increase the porosity of at least a portion of the semiconductor substrate adjacent the fin (210).
16. The method of claim 15 wherein the step of performing an anodic reaction to increase the porosity of at least a portion of the semiconductor substrate adjacent the fin (210) comprises performing a p-type implantation into the semiconductor substrate adjacent the fin (210), annealing the semiconductor substrate, and subjecting at least a portion of the semiconductor substrate to a chemical etchant.
17. The method of claim 13 wherein the step of forming (102, 104, 106, 108) a fin (210) from the semiconductor substrate (200) comprises: forming (106) a height control layer in the semiconductor substrate (200); and etching (108) the semiconductor substrate to define the fin (210) such that the height control layer facilitates fin height uniformity.
18. The method of claim 17 wherein the step of forming (106) a height control layer in the semiconductor substrate (200) comprises performing an ion implant into the semiconductor substrate that damages the substrate to change the etch rate of damaged portions (212) of the substrate relative to undamaged portions of the substrate (200).
19. The method of claim 17 wherein the step of forming (106) a height control layer in the semiconductor substrate (200) comprises forming a marker layer in the semiconductor substrate, and wherein the step of etching (108) the semiconductor substrate (200) to define the fin (210) such that the height control layer facilitates fin height uniformity comprises monitoring for the marker layer during etching of the semiconductor substrate (200).
20. A method for forming finFET devices from a bulk semiconductor substrate (200), the method comprising the steps of: forming (106) a height control layer in the semiconductor substrate (200); forming (104) a blocking hardmask layer (204) on the semiconductor substrate (200); etching (108) the blocking hardmask layer and semiconductor substrate to define a plurality of fins (210) such that the height control layer facilitates fin height uniformity, wherein a portion of the blocking hardmask layer (204) remains on each of the plurality of fins (210) and wherein each of the plurality of fins (210) includes a sidewall and wherein etching (108) of the semiconductor substrate exposes areas of the semiconductor substrate adjacent the fin (210); damaging (110) at least a portion (212) of the semiconductor substrate areas adjacent the fin (210); oxidizing (112) the semiconductor substrate such that oxide is formed in the damaged portion (214) of the semiconductor substrate to a greater thickness than is formed on the fin sidewall (216); and removing (114) oxide (216) from the fin sidewall while leaving at least a portion of the oxide (214) adjacent to the fin (210).
PCT/US2003/017269 2002-06-03 2003-06-03 Fin fet devices from bulk semiconductor and method for forming WO2003103019A2 (en)

Priority Applications (7)

Application Number Priority Date Filing Date Title
EP03736783A EP1532659B1 (en) 2002-06-03 2003-06-03 Methods for forming fin fet devices from bulk semiconductor
KR1020047017562A KR100702553B1 (en) 2002-06-03 2003-06-03 Fin fet devices from bulk semiconductor and method for forming
DE60336237T DE60336237D1 (en) 2002-06-03 2003-06-03 METHOD FOR PRODUCING FINFET COMPONENTS FROM BULK SEMICONDUCTOR
AU2003237320A AU2003237320A1 (en) 2002-06-03 2003-06-03 Fin fet devices from bulk semiconductor and method for forming
JP2004510008A JP4425130B2 (en) 2002-06-03 2003-06-03 Manufacturing method of fin-type field effect transistor
AT03736783T ATE500610T1 (en) 2002-06-03 2003-06-03 METHOD FOR PRODUCING FINFET COMPONENTS FROM BULK SEMICONDUCTOR
IL16554604A IL165546A0 (en) 2002-06-03 2004-12-05 Fin fet devices from bulk semiconductor and methodfor forming

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/063,994 US6642090B1 (en) 2002-06-03 2002-06-03 Fin FET devices from bulk semiconductor and method for forming
US10/063,994 2002-06-03

Publications (2)

Publication Number Publication Date
WO2003103019A2 true WO2003103019A2 (en) 2003-12-11
WO2003103019A3 WO2003103019A3 (en) 2004-03-18

Family

ID=29268594

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2003/017269 WO2003103019A2 (en) 2002-06-03 2003-06-03 Fin fet devices from bulk semiconductor and method for forming

Country Status (11)

Country Link
US (1) US6642090B1 (en)
EP (1) EP1532659B1 (en)
JP (1) JP4425130B2 (en)
KR (1) KR100702553B1 (en)
CN (1) CN1296991C (en)
AT (1) ATE500610T1 (en)
AU (1) AU2003237320A1 (en)
DE (1) DE60336237D1 (en)
IL (1) IL165546A0 (en)
TW (1) TWI235457B (en)
WO (1) WO2003103019A2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005229107A (en) * 2004-02-10 2005-08-25 Samsung Electronics Co Ltd Field effect transistor and manufacturing method thereof
CN100361282C (en) * 2004-03-15 2008-01-09 国际商业机器公司 Field effect transistor and producing method thereof

Families Citing this family (245)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6657259B2 (en) * 2001-12-04 2003-12-02 International Business Machines Corporation Multiple-plane FinFET CMOS
US6815268B1 (en) * 2002-11-22 2004-11-09 Advanced Micro Devices, Inc. Method for forming a gate in a FinFET device
US6720619B1 (en) * 2002-12-13 2004-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating partially-depleted, fully-depleted, and multiple-gate devices
US7087499B2 (en) * 2002-12-20 2006-08-08 International Business Machines Corporation Integrated antifuse structure for FINFET and CMOS devices
US6762483B1 (en) * 2003-01-23 2004-07-13 Advanced Micro Devices, Inc. Narrow fin FinFET
US6855606B2 (en) * 2003-02-20 2005-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-rod devices
US6787854B1 (en) * 2003-03-12 2004-09-07 Advanced Micro Devices, Inc. Method for forming a fin in a finFET device
US7074656B2 (en) * 2003-04-29 2006-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Doping of semiconductor fin devices
US6872647B1 (en) * 2003-05-06 2005-03-29 Advanced Micro Devices, Inc. Method for forming multiple fins in a semiconductor device
US6756643B1 (en) * 2003-06-12 2004-06-29 Advanced Micro Devices, Inc. Dual silicon layer for chemical mechanical polishing planarization
US7005330B2 (en) * 2003-06-27 2006-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for forming the gate electrode in a multiple-gate transistor
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
KR100521382B1 (en) * 2003-06-30 2005-10-12 삼성전자주식회사 Method for fabricating a finfet in a semiconductor device
US6812119B1 (en) * 2003-07-08 2004-11-02 Advanced Micro Devices, Inc. Narrow fins by oxidation in double-gate finfet
US7078742B2 (en) 2003-07-25 2006-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel semiconductor structure and method of fabricating the same
US7301206B2 (en) * 2003-08-01 2007-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator SRAM configured using partially-depleted and fully-depleted transistors
US6787476B1 (en) * 2003-08-04 2004-09-07 Advanced Micro Devices, Inc. Etch stop layer for etching FinFET gate over a large topography
US7714384B2 (en) * 2003-09-15 2010-05-11 Seliskar John J Castellated gate MOSFET device capable of fully-depleted operation
KR100555518B1 (en) * 2003-09-16 2006-03-03 삼성전자주식회사 Double gate field effect transistor and manufacturing method for the same
US6970373B2 (en) * 2003-10-02 2005-11-29 Intel Corporation Method and apparatus for improving stability of a 6T CMOS SRAM cell
JP3863516B2 (en) * 2003-10-03 2006-12-27 株式会社東芝 Semiconductor device and manufacturing method thereof
DE10348007B4 (en) * 2003-10-15 2008-04-17 Infineon Technologies Ag Method for structuring and field effect transistors
US7029958B2 (en) * 2003-11-04 2006-04-18 Advanced Micro Devices, Inc. Self aligned damascene gate
US7888201B2 (en) * 2003-11-04 2011-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator SRAM configured using partially-depleted and fully-depleted transistors
US7091566B2 (en) * 2003-11-20 2006-08-15 International Business Machines Corp. Dual gate FinFet
KR100585111B1 (en) * 2003-11-24 2006-06-01 삼성전자주식회사 Non-planar transistor having germanium channel region and method for forming the same
KR100518602B1 (en) * 2003-12-03 2005-10-04 삼성전자주식회사 MOS transistor having protruded-shape channel and fabrication method thereof
US6967175B1 (en) * 2003-12-04 2005-11-22 Advanced Micro Devices, Inc. Damascene gate semiconductor processing with local thinning of channel region
US7018551B2 (en) * 2003-12-09 2006-03-28 International Business Machines Corporation Pull-back method of forming fins in FinFets
KR100513405B1 (en) * 2003-12-16 2005-09-09 삼성전자주식회사 Method for forming fin field effect transistor
US7186599B2 (en) * 2004-01-12 2007-03-06 Advanced Micro Devices, Inc. Narrow-body damascene tri-gate FinFET
US7385247B2 (en) * 2004-01-17 2008-06-10 Samsung Electronics Co., Ltd. At least penta-sided-channel type of FinFET transistor
KR100587672B1 (en) 2004-02-02 2006-06-08 삼성전자주식회사 Method for forming FINFET using damascene process
KR100526889B1 (en) * 2004-02-10 2005-11-09 삼성전자주식회사 Fin field effect transistor structure
KR100610496B1 (en) * 2004-02-13 2006-08-09 삼성전자주식회사 Field Effect Transistor device with fin structure and method for manufacturing thereof
KR100585131B1 (en) * 2004-02-20 2006-06-01 삼성전자주식회사 Semiconductor device and method for manufacturing the same
JP2005236305A (en) * 2004-02-20 2005-09-02 Samsung Electronics Co Ltd Semiconductor device having triple gate transistor, and manufacturing method of the same
KR100577565B1 (en) * 2004-02-23 2006-05-08 삼성전자주식회사 and method for manufacturing fin Field Effect Transistor
US7060539B2 (en) * 2004-03-01 2006-06-13 International Business Machines Corporation Method of manufacture of FinFET devices with T-shaped fins and devices manufactured thereby
KR100532204B1 (en) * 2004-03-04 2005-11-29 삼성전자주식회사 Transistor having the Fin structure and Method of manufacturing the same
KR100584776B1 (en) * 2004-03-05 2006-05-29 삼성전자주식회사 Method of forming active structure, isolation and MOS transistor
US7701018B2 (en) * 2004-03-19 2010-04-20 Nec Corporation Semiconductor device and method for manufacturing same
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US7262084B2 (en) 2004-04-15 2007-08-28 International Business Machines Corporation Methods for manufacturing a finFET using a conventional wafer and apparatus manufactured therefrom
US7098477B2 (en) * 2004-04-23 2006-08-29 International Business Machines Corporation Structure and method of manufacturing a finFET device having stacked fins
US7564105B2 (en) * 2004-04-24 2009-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Quasi-plannar and FinFET-like transistors on bulk silicon
KR100642632B1 (en) 2004-04-27 2006-11-10 삼성전자주식회사 Methods of fabricating a semiconductor device and semiconductor devices fabricated thereby
US7056773B2 (en) * 2004-04-28 2006-06-06 International Business Machines Corporation Backgated FinFET having different oxide thicknesses
US7084018B1 (en) * 2004-05-05 2006-08-01 Advanced Micro Devices, Inc. Sacrificial oxide for minimizing box undercut in damascene FinFET
KR20050108916A (en) * 2004-05-14 2005-11-17 삼성전자주식회사 Methods of forming a fin field effect transistor using damascene process
KR100618827B1 (en) * 2004-05-17 2006-09-08 삼성전자주식회사 Semiconductor device comprising FinFET and fabricating method thereof
DE102005022306B4 (en) * 2004-05-17 2009-12-31 Samsung Electronics Co., Ltd., Suwon Method for producing a semiconductor device with a Fin field effect transistor (FinFET)
KR100625175B1 (en) * 2004-05-25 2006-09-20 삼성전자주식회사 Semiconductor device having a channel layer and method of manufacturing the same
US7579280B2 (en) 2004-06-01 2009-08-25 Intel Corporation Method of patterning a film
US7452778B2 (en) * 2004-06-10 2008-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-wire devices and methods of fabrication
JP4675585B2 (en) * 2004-06-22 2011-04-27 シャープ株式会社 Field effect transistor
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US6960509B1 (en) * 2004-06-30 2005-11-01 Freescale Semiconductor, Inc. Method of fabricating three dimensional gate structure using oxygen diffusion
KR100545863B1 (en) * 2004-07-30 2006-01-24 삼성전자주식회사 Semiconductor device having a fin structure and method of manufacturing the same
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US7253493B2 (en) * 2004-08-24 2007-08-07 Micron Technology, Inc. High density access transistor having increased channel width and methods of fabricating such devices
US7332439B2 (en) 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US7361958B2 (en) 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US6947275B1 (en) 2004-10-18 2005-09-20 International Business Machines Corporation Fin capacitor
US7611943B2 (en) * 2004-10-20 2009-11-03 Texas Instruments Incorporated Transistors, integrated circuits, systems, and processes of manufacture with improved work function modulation
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
TWI277210B (en) * 2004-10-26 2007-03-21 Nanya Technology Corp FinFET transistor process
KR100672826B1 (en) * 2004-12-03 2007-01-22 삼성전자주식회사 FinFET and Method of manufacturing the same
KR100614800B1 (en) * 2004-12-10 2006-08-22 삼성전자주식회사 Method of fabricating a Fin Field Effect Transistor having a plurality of protrudent channels
US7838367B2 (en) * 2004-12-28 2010-11-23 Nxp B.V. Method for the manufacture of a semiconductor device and a semiconductor device obtained through it
US7183142B2 (en) * 2005-01-13 2007-02-27 International Business Machines Corporation FinFETs with long gate length at high density
DE102005007822B4 (en) * 2005-02-21 2014-05-22 Infineon Technologies Ag Integrated circuit arrangement with tunnel field effect transistor
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US7288805B2 (en) * 2005-02-24 2007-10-30 International Business Machines Corporation Double gate isolation
US20060202266A1 (en) 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
US20060214233A1 (en) * 2005-03-22 2006-09-28 Ananthanarayanan Hari P FinFET semiconductor device
US7101763B1 (en) 2005-05-17 2006-09-05 International Business Machines Corporation Low capacitance junction-isolation for bulk FinFET technology
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7268397B2 (en) * 2005-06-21 2007-09-11 International Business Machines Corporation Thermal dissipation structures for finfets
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
KR100728957B1 (en) * 2005-06-30 2007-06-15 주식회사 하이닉스반도체 Method for fabricating fin transistor
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7190050B2 (en) * 2005-07-01 2007-03-13 Synopsys, Inc. Integrated circuit on corrugated substrate
US7288802B2 (en) * 2005-07-27 2007-10-30 International Business Machines Corporation Virtual body-contacted trigate
US7381649B2 (en) 2005-07-29 2008-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for a multiple-gate FET device and a method for its fabrication
US7402875B2 (en) 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
US7352034B2 (en) * 2005-08-25 2008-04-01 International Business Machines Corporation Semiconductor structures integrating damascene-body FinFET's and planar devices on a common substrate and methods for forming such semiconductor structures
US7268379B2 (en) * 2005-09-05 2007-09-11 Macronix International Co., Ltd Memory cell and method for manufacturing the same
US7381655B2 (en) * 2005-09-14 2008-06-03 International Business Machines Corporation Mandrel/trim alignment in SIT processing
US7479421B2 (en) 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US20070090416A1 (en) 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US20070102756A1 (en) * 2005-11-10 2007-05-10 Bohumil Lojek FinFET transistor fabricated in bulk semiconducting material
US7547947B2 (en) * 2005-11-15 2009-06-16 International Business Machines Corporation SRAM cell
US7485503B2 (en) 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
US7402856B2 (en) * 2005-12-09 2008-07-22 Intel Corporation Non-planar microelectronic device having isolation element to mitigate fringe effects and method to fabricate same
US7439588B2 (en) * 2005-12-13 2008-10-21 Intel Corporation Tri-gate integration with embedded floating body memory cell using a high-K dual metal gate
KR100763330B1 (en) * 2005-12-14 2007-10-04 삼성전자주식회사 Isolation method defining active fins, method for fabricating semiconductor device using the same, and semiconductor device fabricated thereby
US7512017B2 (en) * 2005-12-21 2009-03-31 Intel Corporation Integration of planar and tri-gate devices on the same substrate
US7396711B2 (en) 2005-12-27 2008-07-08 Intel Corporation Method of fabricating a multi-cornered film
US7525160B2 (en) 2005-12-27 2009-04-28 Intel Corporation Multigate device with recessed strain regions
US20070148926A1 (en) * 2005-12-28 2007-06-28 Intel Corporation Dual halo implant for improving short channel effect in three-dimensional tri-gate transistors
US7410844B2 (en) * 2006-01-17 2008-08-12 International Business Machines Corporation Device fabrication by anisotropic wet etch
US7264743B2 (en) * 2006-01-23 2007-09-04 Lam Research Corporation Fin structure formation
KR20070090375A (en) * 2006-03-02 2007-09-06 삼성전자주식회사 Nonvolatile memory device and method for forming the same
US20070235763A1 (en) * 2006-03-29 2007-10-11 Doyle Brian S Substrate band gap engineered multi-gate pMOS devices
US7407847B2 (en) * 2006-03-31 2008-08-05 Intel Corporation Stacked multi-gate transistor design and method of fabrication
US7449373B2 (en) 2006-03-31 2008-11-11 Intel Corporation Method of ion implanting for tri-gate devices
US7425500B2 (en) 2006-03-31 2008-09-16 Intel Corporation Uniform silicide metal on epitaxially grown source and drain regions of three-dimensional transistors
US7291564B1 (en) * 2006-04-28 2007-11-06 Hewlett-Packard Development Company, L.P. Method and structure for facilitating etching
US7422960B2 (en) 2006-05-17 2008-09-09 Micron Technology, Inc. Method of forming gate arrays on a partial SOI substrate
US7494933B2 (en) * 2006-06-16 2009-02-24 Synopsys, Inc. Method for achieving uniform etch depth using ion implantation and a timed etch
US8143646B2 (en) 2006-08-02 2012-03-27 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
US7537994B2 (en) 2006-08-28 2009-05-26 Micron Technology, Inc. Methods of forming semiconductor devices, assemblies and constructions
US20080054361A1 (en) * 2006-08-30 2008-03-06 Infineon Technologies Ag Method and apparatus for reducing flicker noise in a semiconductor device
US7435683B2 (en) * 2006-09-15 2008-10-14 Intel Corporation Apparatus and method for selectively recessing spacers on multi-gate devices
US20080097346A1 (en) * 2006-09-19 2008-04-24 Alcon, Inc. Trocar cannula
US7700470B2 (en) 2006-09-22 2010-04-20 Intel Corporation Selective anisotropic wet etching of workfunction metal for semiconductor devices
KR100838378B1 (en) * 2006-09-29 2008-06-13 주식회사 하이닉스반도체 Method for fabricating fin transistor
KR100761354B1 (en) * 2006-10-02 2007-09-27 주식회사 하이닉스반도체 Dual poly gate of semiconductor device having multi-plane channel and method of fabricating the same
US7811890B2 (en) * 2006-10-11 2010-10-12 Macronix International Co., Ltd. Vertical channel transistor structure and manufacturing method thereof
US8772858B2 (en) 2006-10-11 2014-07-08 Macronix International Co., Ltd. Vertical channel memory and manufacturing method thereof and operating method using the same
US7851848B2 (en) * 2006-11-01 2010-12-14 Macronix International Co., Ltd. Cylindrical channel charge trapping devices with effectively high coupling ratios
US7939403B2 (en) * 2006-11-17 2011-05-10 Micron Technology, Inc. Methods of forming a field effect transistors, pluralities of field effect transistors, and DRAM circuitry comprising a plurality of individual memory cells
US7772048B2 (en) * 2007-02-23 2010-08-10 Freescale Semiconductor, Inc. Forming semiconductor fins using a sacrificial fin
US7612405B2 (en) * 2007-03-06 2009-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Fabrication of FinFETs with multiple fin heights
KR100861211B1 (en) * 2007-04-12 2008-09-30 주식회사 하이닉스반도체 Semiconductor device and method for fabricating the same
US7560785B2 (en) * 2007-04-27 2009-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having multiple fin heights
US7838923B2 (en) * 2007-08-09 2010-11-23 Macronix International Co., Ltd. Lateral pocket implant charge trapping devices
US20090057846A1 (en) * 2007-08-30 2009-03-05 Doyle Brian S Method to fabricate adjacent silicon fins of differing heights
US8158484B2 (en) * 2007-10-03 2012-04-17 Freescale Semiconductor, Inc. Method of forming an inverted T shaped channel structure for an inverted T channel field effect transistor device
US20090124097A1 (en) * 2007-11-09 2009-05-14 International Business Machines Corporation Method of forming narrow fins in finfet devices with reduced spacing therebetween
US20090256207A1 (en) * 2008-04-14 2009-10-15 International Business Machines Corporation Finfet devices from bulk semiconductor and methods for manufacturing the same
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
EP2329516A4 (en) * 2008-08-28 2013-04-24 Memc Electronic Materials Bulk silicon wafer product useful in the manufacture of three dimensional multigate mosfets
US20100155801A1 (en) * 2008-12-22 2010-06-24 Doyle Brian S Integrated circuit, 1T-1C embedded memory cell containing same, and method of manufacturing 1T-1C memory cell for embedded memory application
US7999298B2 (en) * 2008-12-30 2011-08-16 Intel Corporation Embedded memory cell and method of manufacturing same
US8860124B2 (en) * 2009-01-15 2014-10-14 Macronix International Co., Ltd. Depletion-mode charge-trapping flash device
US8305829B2 (en) * 2009-02-23 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Memory power gating circuit for controlling internal voltage of a memory array, system and method for controlling the same
US8305790B2 (en) * 2009-03-16 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical anti-fuse and related applications
US7871873B2 (en) * 2009-03-27 2011-01-18 Global Foundries Inc. Method of forming fin structures using a sacrificial etch stop layer on bulk semiconductor material
US8957482B2 (en) * 2009-03-31 2015-02-17 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical fuse and related applications
US8912602B2 (en) * 2009-04-14 2014-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US7855105B1 (en) * 2009-06-18 2010-12-21 International Business Machines Corporation Planar and non-planar CMOS devices with multiple tuned threshold voltages
US8461015B2 (en) * 2009-07-08 2013-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. STI structure and method of forming bottom void in same
US8105901B2 (en) * 2009-07-27 2012-01-31 International Business Machines Corporation Method for double pattern density
US8759943B2 (en) * 2010-10-08 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor having notched fin structure and method of making the same
US8298925B2 (en) 2010-11-08 2012-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US8623728B2 (en) * 2009-07-28 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high germanium concentration SiGe stressor
US8264021B2 (en) * 2009-10-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods for forming the same
US8629478B2 (en) * 2009-07-31 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure for high mobility multiple-gate transistor
US8482073B2 (en) * 2010-03-25 2013-07-09 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit including FINFETs and methods for forming the same
US9484462B2 (en) 2009-09-24 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of fin field effect transistor
US8980719B2 (en) 2010-04-28 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping fin field-effect transistors
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8114721B2 (en) * 2009-12-15 2012-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of controlling gate thickness in forming FinFET devices
US8187928B2 (en) 2010-09-21 2012-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming integrated circuits
US8264032B2 (en) * 2009-09-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Accumulation type FinFET, circuits and fabrication method thereof
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US8472227B2 (en) * 2010-01-27 2013-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and methods for forming the same
US8202780B2 (en) * 2009-07-31 2012-06-19 International Business Machines Corporation Method for manufacturing a FinFET device comprising a mask to define a gate perimeter and another mask to define fin regions
US8039326B2 (en) * 2009-08-20 2011-10-18 Globalfoundries Inc. Methods for fabricating bulk FinFET devices having deep trench isolation
US9257325B2 (en) * 2009-09-18 2016-02-09 GlobalFoundries, Inc. Semiconductor structures and methods for forming isolation between Fin structures of FinFET devices
US8101486B2 (en) 2009-10-07 2012-01-24 Globalfoundries Inc. Methods for forming isolated fin structures on bulk semiconductor material
US20110097867A1 (en) * 2009-10-22 2011-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of controlling gate thicknesses in forming fusi gates
US9040393B2 (en) 2010-01-14 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor structure
US8227304B2 (en) 2010-02-23 2012-07-24 International Business Machines Corporation Semiconductor-on-insulator (SOI) structure and method of forming the SOI structure using a bulk semiconductor starting wafer
US8420476B2 (en) * 2010-05-27 2013-04-16 International Business Machines Corporation Integrated circuit with finFETs and MIM fin capacitor
CN102347349B (en) * 2010-07-28 2014-07-23 中国科学院微电子研究所 Semiconductor structure and manufacturing method thereof
US8603924B2 (en) 2010-10-19 2013-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming gate dielectric material
CN102456734B (en) * 2010-10-29 2015-06-10 中国科学院微电子研究所 Semiconductor structure and manufacturing method thereof
US9048181B2 (en) 2010-11-08 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US8769446B2 (en) 2010-11-12 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method and device for increasing fin device density for unaligned fins
US8592915B2 (en) 2011-01-25 2013-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Doped oxide for shallow trench isolation (STI)
US8431453B2 (en) 2011-03-31 2013-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma doping to reduce dielectric loss during removal of dummy layers in a gate structure
US9240350B2 (en) * 2011-05-16 2016-01-19 Varian Semiconductor Equipment Associates, Inc. Techniques for forming 3D structures
US20140193963A1 (en) * 2011-05-16 2014-07-10 Varian Semiconductor Equipment Associates, Inc. Techniques For Forming 3D Structures
US8597994B2 (en) 2011-05-23 2013-12-03 GlobalFoundries, Inc. Semiconductor device and method of fabrication
US8460984B2 (en) * 2011-06-09 2013-06-11 GlobalFoundries, Inc. FIN-FET device and method and integrated circuits using such
US8466028B2 (en) 2011-06-30 2013-06-18 Institute of Microelectronics, Chinese Academy of Sciences Method for manufacturing multigate device
US8697522B2 (en) * 2011-07-05 2014-04-15 International Business Machines Corporation Bulk finFET with uniform height and bottom isolation
US9287385B2 (en) * 2011-09-01 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-fin device and method of making same
CN103000517B (en) * 2011-09-09 2016-02-10 中芯国际集成电路制造(北京)有限公司 Semiconductor device and manufacture method thereof
US9105661B2 (en) * 2011-11-03 2015-08-11 Taiwan Semconductor Manufacturing Company, Ltd. Fin field effect transistor gate oxide
US8941214B2 (en) 2011-12-22 2015-01-27 Intel Corporation Semiconductor device having a necked semiconductor body and method of forming semiconductor bodies of varying width
US8881066B2 (en) * 2011-12-29 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Mandrel modification for achieving single fin fin-like field effect transistor (FinFET) device
US8377779B1 (en) * 2012-01-03 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices and transistors
US9117877B2 (en) * 2012-01-16 2015-08-25 Globalfoundries Inc. Methods of forming a dielectric cap layer on a metal gate structure
US8946027B2 (en) 2012-02-07 2015-02-03 International Business Machines Corporation Replacement-gate FinFET structure and process
US8354320B1 (en) * 2012-02-09 2013-01-15 Globalfoundries Inc. Methods of controlling fin height of FinFET devices by performing a directional deposition process
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
CN102832133B (en) 2012-08-29 2014-12-03 北京大学 Method for preparing independent bigrid FinFET (Fin Field Effect Transistor) on bulk silicon
US9093376B2 (en) 2012-10-24 2015-07-28 International Business Machines Corporation Replacement metal gate FinFET
US9263585B2 (en) * 2012-10-30 2016-02-16 Globalfoundries Inc. Methods of forming enhanced mobility channel regions on 3D semiconductor devices, and devices comprising same
US8987790B2 (en) * 2012-11-26 2015-03-24 International Business Machines Corporation Fin isolation in multi-gate field effect transistors
US9059242B2 (en) 2012-11-27 2015-06-16 International Business Machines Corporation FinFET semiconductor device having increased gate height control
US8835262B2 (en) * 2013-01-08 2014-09-16 Globalfoundries Inc. Methods of forming bulk FinFET devices by performing a recessing process on liner materials to define different fin heights and FinFET devices with such recessed liner materials
US9190419B2 (en) * 2013-02-07 2015-11-17 International Business Machines Corporation Diode structure and method for FINFET technologies
US8940602B2 (en) * 2013-04-11 2015-01-27 International Business Machines Corporation Self-aligned structure for bulk FinFET
US8900934B2 (en) 2013-04-18 2014-12-02 International Business Machines Corporation FinFET devices containing merged epitaxial Fin-containing contact regions
CN104183486A (en) * 2013-05-21 2014-12-03 中芯国际集成电路制造(上海)有限公司 FinFET semiconductor device manufacturing method
US9087869B2 (en) 2013-05-23 2015-07-21 International Business Machines Corporation Bulk semiconductor fins with self-aligned shallow trench isolation structures
US20140374807A1 (en) * 2013-06-19 2014-12-25 International Business Machines Corporation METHOD OF DEVICE ISOLATION IN CLADDING Si THROUGH IN SITU DOPING
US9263455B2 (en) 2013-07-23 2016-02-16 Micron Technology, Inc. Methods of forming an array of conductive lines and methods of forming an array of recessed access gate lines
FR3009646A1 (en) * 2013-08-06 2015-02-13 St Microelectronics Sa
FR3009647A1 (en) * 2013-08-06 2015-02-13 St Microelectronics Sa
US8951850B1 (en) 2013-08-21 2015-02-10 International Business Machines Corporation FinFET formed over dielectric
WO2015045207A1 (en) 2013-09-27 2015-04-02 パナソニック株式会社 Semiconductor integrated circuit and semiconductor integrated circuit device
US9224654B2 (en) 2013-11-25 2015-12-29 International Business Machines Corporation Fin capacitor employing sidewall image transfer
US9691763B2 (en) 2013-12-27 2017-06-27 International Business Machines Corporation Multi-gate FinFET semiconductor device with flexible design width
US9190466B2 (en) 2013-12-27 2015-11-17 International Business Machines Corporation Independent gate vertical FinFET structure
US9190328B2 (en) 2014-01-30 2015-11-17 International Business Machines Corporation Formation of fins having different heights in fin field effect transistors
US9059043B1 (en) * 2014-02-11 2015-06-16 International Business Machines Corporation Fin field effect transistor with self-aligned source/drain regions
US9985030B2 (en) 2014-04-07 2018-05-29 International Business Machines Corporation FinFET semiconductor device having integrated SiGe fin
CN105092324B (en) * 2014-05-07 2018-03-20 中芯国际集成电路制造(上海)有限公司 A kind of measuring method of FinFET fin piece doping concentration distribution and measurement sample preparation methods
US9385123B2 (en) 2014-05-20 2016-07-05 International Business Machines Corporation STI region for small fin pitch in FinFET devices
US9312389B2 (en) * 2014-05-23 2016-04-12 Broadcom Corporation FinFET with undoped body bulk
MY188387A (en) * 2014-06-26 2021-12-07 Intel Corp Non-planar semiconductor device having omega-fin with doped sub-fin region and method to fabricate same
US9263587B1 (en) * 2014-09-04 2016-02-16 Globalfoundries Inc. Fin device with blocking layer in channel region
US9583625B2 (en) 2014-10-24 2017-02-28 Globalfoundries Inc. Fin structures and multi-Vt scheme based on tapered fin and method to form
CN105633152B (en) 2014-11-05 2019-12-10 联华电子股份有限公司 Semiconductor structure and manufacturing method thereof
US9614057B2 (en) 2014-12-30 2017-04-04 International Business Machines Corporation Enriched, high mobility strained fin having bottom dielectric isolation
KR102274750B1 (en) * 2015-01-27 2021-07-07 삼성전자주식회사 Method for fabricating semiconductor device
US9590077B2 (en) 2015-05-14 2017-03-07 International Business Machines Corporation Local SOI fins with multiple heights
US9515089B1 (en) 2015-05-14 2016-12-06 International Business Machines Corporation Bulk fin formation with vertical fin sidewall profile
WO2017003411A1 (en) 2015-06-27 2017-01-05 Intel Corporation Multi-height finfet device by selective oxidation
US9299924B1 (en) 2015-06-29 2016-03-29 International Business Machines Corporation Injection pillar definition for line MRAM by a self-aligned sidewall transfer
US9425313B1 (en) * 2015-07-07 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9362383B1 (en) 2015-09-17 2016-06-07 International Business Machines Corporation Highly scaled tunnel FET with tight pitch and method to fabricate same
US9508597B1 (en) * 2015-09-18 2016-11-29 Globalfoundries Inc. 3D fin tunneling field effect transistor
US9786563B2 (en) * 2015-11-23 2017-10-10 International Business Machines Corporation Fin pitch scaling for high voltage devices and low voltage devices on the same wafer
US9627263B1 (en) 2015-11-30 2017-04-18 International Business Machines Corporation Stop layer through ion implantation for etch stop
US10466731B2 (en) 2016-01-27 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Two-transistor bandgap reference circuit and FinFET device suited for same
US9786765B2 (en) * 2016-02-16 2017-10-10 Globalfoundries Inc. FINFET having notched fins and method of forming same
CN107591362B (en) * 2016-07-06 2020-08-07 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
US9824934B1 (en) 2016-09-30 2017-11-21 International Business Machines Corporation Shallow trench isolation recess process flow for vertical field effect transistor fabrication
CN107919284B (en) * 2016-10-10 2020-11-27 中芯国际集成电路制造(上海)有限公司 Method for forming semiconductor structure
JP6330942B2 (en) * 2017-03-08 2018-05-30 インテル・コーポレーション Non-planar semiconductor devices having omega fins with doped subfin regions and methods of manufacturing the same
US10163914B2 (en) * 2017-03-08 2018-12-25 Globalfoundries Inc. Method of reducing fin width in FinFET SRAM array to mitigate low voltage strap bit fails
CN108305835A (en) * 2018-03-19 2018-07-20 中国科学院微电子研究所 A kind of manufacturing method of fin transistor device
US10304744B1 (en) * 2018-05-15 2019-05-28 International Business Machines Corporation Inverse tone direct print EUV lithography enabled by selective material deposition
CN109003902B (en) * 2018-08-01 2021-07-27 中国科学院微电子研究所 Semiconductor structure and preparation method thereof
US11302814B2 (en) * 2020-01-23 2022-04-12 Nanya Technology Corp. Semiconductor device with porous dielectric structure and method for fabricating the same
US11244901B2 (en) * 2020-02-12 2022-02-08 Nanya Technology Corporation Semiconductor device with graded porous dielectric structure

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4437226A (en) * 1981-03-02 1984-03-20 Rockwell International Corporation Process for producing NPN type lateral transistor with minimal substrate operation interference
US4580331A (en) * 1981-07-01 1986-04-08 Rockwell International Corporation PNP-type lateral transistor with minimal substrate operation interference and method for producing same
US4361600A (en) * 1981-11-12 1982-11-30 General Electric Company Method of making integrated circuits
US4502913A (en) * 1982-06-30 1985-03-05 International Business Machines Corporation Total dielectric isolation for integrated circuits
FR2554638A1 (en) * 1983-11-04 1985-05-10 Efcis METHOD FOR MANUFACTURING INTEGRATED SILICON STRUCTURES ON ISOLATED ISOLES OF THE SUBSTRATE
US4764799A (en) * 1985-05-28 1988-08-16 International Business Machines Corporation Stud-defined integrated circuit structure
US4648173A (en) * 1985-05-28 1987-03-10 International Business Machines Corporation Fabrication of stud-defined integrated circuit structure
JPH0779133B2 (en) * 1986-06-12 1995-08-23 松下電器産業株式会社 Method for manufacturing semiconductor device
JPH0214578A (en) * 1988-07-01 1990-01-18 Fujitsu Ltd Semiconductor device
US5595926A (en) * 1994-06-29 1997-01-21 Industrial Technology Research Institute Method for fabricating a DRAM trench capacitor with recessed pillar
US5675164A (en) * 1995-06-07 1997-10-07 International Business Machines Corporation High performance multi-mesa field effect transistor
JP3158973B2 (en) * 1995-07-20 2001-04-23 富士電機株式会社 Silicon carbide vertical FET
US5963789A (en) * 1996-07-08 1999-10-05 Kabushiki Kaisha Toshiba Method for silicon island formation
US5691230A (en) * 1996-09-04 1997-11-25 Micron Technology, Inc. Technique for producing small islands of silicon on insulator
US6177699B1 (en) * 1998-03-19 2001-01-23 Lsi Logic Corporation DRAM cell having a verticle transistor and a capacitor formed on the sidewalls of a trench isolation
US6034417A (en) * 1998-05-08 2000-03-07 Micron Technology, Inc. Semiconductor structure having more usable substrate area and method for forming same
US6110793A (en) * 1998-06-24 2000-08-29 Taiwan Semiconductor Manufacturing Company Method for making a trench isolation having a conformal liner oxide and top and bottom rounded corners for integrated circuits
JP3144387B2 (en) * 1998-08-17 2001-03-12 日本電気株式会社 Method for manufacturing semiconductor device
EP1091413A3 (en) * 1999-10-06 2005-01-12 Lsi Logic Corporation Fully-depleted, fully-inverted, short-length and vertical channel, dual-gate, cmos fet
US6252284B1 (en) * 1999-12-09 2001-06-26 International Business Machines Corporation Planarized silicon fin device
US6391782B1 (en) * 2000-06-20 2002-05-21 Advanced Micro Devices, Inc. Process for forming multiple active lines and gate-all-around MOSFET
JP2002151688A (en) * 2000-08-28 2002-05-24 Mitsubishi Electric Corp Mos type semiconductor device and manufacturing method thereof
JP4044276B2 (en) * 2000-09-28 2008-02-06 株式会社東芝 Semiconductor device and manufacturing method thereof
US6413802B1 (en) * 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US6458662B1 (en) * 2001-04-04 2002-10-01 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having an asymmetrical dual-gate silicon-germanium (SiGe) channel MOSFET and a device thereby formed

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
HISAMOTO ET AL.: "A fully Depleted Lean-channel Transistor (DELTA) - A novel vertical ultra thin SOI MOSFET", INTERNATIONAL ELECTRON DEVICES MEETING, 1989, pages 833 - 6, XP032358753, DOI: doi:10.1109/IEDM.1989.74182

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005229107A (en) * 2004-02-10 2005-08-25 Samsung Electronics Co Ltd Field effect transistor and manufacturing method thereof
CN100361282C (en) * 2004-03-15 2008-01-09 国际商业机器公司 Field effect transistor and producing method thereof

Also Published As

Publication number Publication date
ATE500610T1 (en) 2011-03-15
TW200411833A (en) 2004-07-01
US6642090B1 (en) 2003-11-04
KR100702553B1 (en) 2007-04-04
TWI235457B (en) 2005-07-01
AU2003237320A1 (en) 2003-12-19
EP1532659B1 (en) 2011-03-02
IL165546A0 (en) 2006-01-15
CN1653608A (en) 2005-08-10
WO2003103019A3 (en) 2004-03-18
EP1532659A2 (en) 2005-05-25
DE60336237D1 (en) 2011-04-14
JP2005528793A (en) 2005-09-22
EP1532659A4 (en) 2005-12-14
KR20050003401A (en) 2005-01-10
JP4425130B2 (en) 2010-03-03
AU2003237320A8 (en) 2003-12-19
CN1296991C (en) 2007-01-24

Similar Documents

Publication Publication Date Title
US6642090B1 (en) Fin FET devices from bulk semiconductor and method for forming
US7098477B2 (en) Structure and method of manufacturing a finFET device having stacked fins
JP6211673B2 (en) Trigate device and manufacturing method
US7329913B2 (en) Nonplanar transistors with metal gate electrodes
US6967351B2 (en) Finfet SRAM cell using low mobility plane for cell stability and method for forming
US6815277B2 (en) Method for fabricating multiple-plane FinFET CMOS
US6833569B2 (en) Self-aligned planar double-gate process by amorphization
US6962838B2 (en) High mobility transistors in SOI and method for forming
US8227316B2 (en) Method for manufacturing double gate finFET with asymmetric halo
KR20040044343A (en) Method and process to make multiple-threshold metal gates cmos technology
US20070158743A1 (en) Thin silicon single diffusion field effect transistor for enhanced drive performance with stress film liners
US7919379B2 (en) Dielectric spacer removal
US7169676B1 (en) Semiconductor devices and methods for forming the same including contacting gate to source
US20080265362A1 (en) Building fully-depleted and bulk transistors on same chip
US7442612B2 (en) Nitride-encapsulated FET (NNCFET)
US6097060A (en) Insulated gate semiconductor device
US20070202643A1 (en) Method for separately optimizing spacer width for two or more transistor classes using a recess spacer integration

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ OM PH PL PT RO RU SC SD SE SG SK SL TJ TM TN TR TT TZ UA UG UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 1-2004-501977

Country of ref document: PH

WWE Wipo information: entry into national phase

Ref document number: 1020047017562

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 20038111691

Country of ref document: CN

WWE Wipo information: entry into national phase

Ref document number: 2003736783

Country of ref document: EP

Ref document number: 2705/CHENP/2004

Country of ref document: IN

WWE Wipo information: entry into national phase

Ref document number: 2004510008

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 165546

Country of ref document: IL

WWP Wipo information: published in national office

Ref document number: 1020047017562

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 2003736783

Country of ref document: EP