WO2003065424A3 - Apparatus for cyclical deposition of thin films - Google Patents

Apparatus for cyclical deposition of thin films Download PDF

Info

Publication number
WO2003065424A3
WO2003065424A3 PCT/US2003/002408 US0302408W WO03065424A3 WO 2003065424 A3 WO2003065424 A3 WO 2003065424A3 US 0302408 W US0302408 W US 0302408W WO 03065424 A3 WO03065424 A3 WO 03065424A3
Authority
WO
WIPO (PCT)
Prior art keywords
thin films
cyclical deposition
cyclical
chamber
process gases
Prior art date
Application number
PCT/US2003/002408
Other languages
French (fr)
Other versions
WO2003065424A2 (en
Inventor
Randhir P S Thakur
Alfred W Mak
Ming Xi
Walter Benjamin Glenn
Ahmad A Khan
Ayad A Al-Shaikh
Avgerinos V Gelatos
Salvador P Umotoy
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to AU2003238853A priority Critical patent/AU2003238853A1/en
Publication of WO2003065424A2 publication Critical patent/WO2003065424A2/en
Publication of WO2003065424A3 publication Critical patent/WO2003065424A3/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45582Expansion of gas before it reaches the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers

Abstract

An apparatus for cyclical depositing of thin films on semiconductor substrates, comprising a process chamber having a gas distribution system with separate paths for process gases and an exhaust system synchronized with operation of valves dosing the process gases into a reaction region of the chamber.
PCT/US2003/002408 2002-01-25 2003-01-27 Apparatus for cyclical deposition of thin films WO2003065424A2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
AU2003238853A AU2003238853A1 (en) 2002-01-25 2003-01-27 Apparatus for cyclical deposition of thin films

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US35156102P 2002-01-25 2002-01-25
US60/351,561 2002-01-25

Publications (2)

Publication Number Publication Date
WO2003065424A2 WO2003065424A2 (en) 2003-08-07
WO2003065424A3 true WO2003065424A3 (en) 2004-03-11

Family

ID=27663006

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2003/002408 WO2003065424A2 (en) 2002-01-25 2003-01-27 Apparatus for cyclical deposition of thin films

Country Status (3)

Country Link
US (3) US7175713B2 (en)
AU (1) AU2003238853A1 (en)
WO (1) WO2003065424A2 (en)

Families Citing this family (195)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR100776843B1 (en) * 2001-02-09 2007-11-16 동경 엘렉트론 주식회사 FILM FORMING DEVICE AND Ti-FILM FILM FORMING DEVICE
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US20080102203A1 (en) * 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7780789B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Vortex chamber lids for atomic layer deposition
JP4121269B2 (en) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 Plasma CVD apparatus and method for performing self-cleaning
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
WO2003065424A2 (en) * 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
JP2005536890A (en) * 2002-08-26 2005-12-02 東京エレクトロン株式会社 Volume-reduced plasma reactor
US7037863B2 (en) * 2002-09-10 2006-05-02 Samsung Electronics Co., Ltd. Post thermal treatment methods of forming high dielectric layers over interfacial layers in integrated circuit devices
KR100536797B1 (en) * 2002-12-17 2005-12-14 동부아남반도체 주식회사 Chemical vapor deposition apparatus
US20040177813A1 (en) 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US20050150452A1 (en) * 2004-01-14 2005-07-14 Soovo Sen Process kit design for deposition chamber
US20050229849A1 (en) * 2004-02-13 2005-10-20 Applied Materials, Inc. High productivity plasma processing chamber
TWI274978B (en) * 2004-02-25 2007-03-01 Advanced Display Proc Eng Co Apparatus for manufacturing flat-panel display
US20050221618A1 (en) * 2004-03-31 2005-10-06 Amrhein Frederick J System for controlling a plenum output flow geometry
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7572337B2 (en) * 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
US7622005B2 (en) 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
EP2161352B1 (en) * 2004-06-28 2014-02-26 Cambridge Nanotech Inc. Vapour trap for atomic layer deposition (ALD)
KR101063737B1 (en) * 2004-07-09 2011-09-08 주성엔지니어링(주) Shower Head of Substrate Manufacturing Equipment
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US7601649B2 (en) 2004-08-02 2009-10-13 Micron Technology, Inc. Zirconium-doped tantalum oxide films
JP4570659B2 (en) * 2004-08-04 2010-10-27 インダストリー−ユニヴァーシティ コオペレーション ファウンデーション ハニャン ユニヴァーシティ Remote plasma atomic layer deposition apparatus and method using DC bias
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
JP4934595B2 (en) * 2005-01-18 2012-05-16 エーエスエム アメリカ インコーポレイテッド Reactor for thin film growth
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US8974868B2 (en) * 2005-03-21 2015-03-10 Tokyo Electron Limited Post deposition plasma cleaning system and method
US7435454B2 (en) * 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7341959B2 (en) * 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US8163087B2 (en) * 2005-03-31 2012-04-24 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7572695B2 (en) 2005-05-27 2009-08-11 Micron Technology, Inc. Hafnium titanium oxide films
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
KR20080034157A (en) * 2005-07-29 2008-04-18 에비자 테크놀로지, 인크. Gas manifold valve cluster
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
US7464917B2 (en) 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
TWI329135B (en) 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US20070125303A1 (en) 2005-12-02 2007-06-07 Ward Ruby High-throughput deposition system for oxide thin film growth by reactive coevaportation
US20070128861A1 (en) * 2005-12-05 2007-06-07 Kim Myoung S CVD apparatus for depositing polysilicon
CN101370963B (en) * 2006-01-19 2012-03-28 Asm美国公司 High temperature ald inlet manifold
US7833437B2 (en) * 2006-01-26 2010-11-16 Global Tungsten & Powders Corp. Moisture-resistant electroluminescent phosphor with high initial brightness and method of making
US8298666B2 (en) * 2006-01-26 2012-10-30 Global Tungsten & Powders Corp. Moisture resistant electroluminescent phosphor with high initial brightness and method of making
US7678710B2 (en) * 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7645710B2 (en) * 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) * 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US20070224708A1 (en) * 2006-03-21 2007-09-27 Sowmya Krishnan Mass pulse sensor and process-gas system and method
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7790634B2 (en) * 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US20070289534A1 (en) * 2006-05-30 2007-12-20 Applied Materials, Inc. Process chamber for dielectric gapfill
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
KR100799735B1 (en) * 2006-07-10 2008-02-01 삼성전자주식회사 Method of forming metal oxide and apparatus for performing the same
US20100151261A1 (en) * 2006-07-21 2010-06-17 Ce Ma Methods and apparatus for the vaporization and delivery of solution precursors for atomic layer deposition
DE112007001814T5 (en) 2006-07-31 2009-06-04 Applied Materials, Inc., Santa Clara A method of forming carbonaceous silicon epitaxial layers
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
JP5590886B2 (en) * 2006-09-26 2014-09-17 アプライド マテリアルズ インコーポレイテッド Fluorine plasma treatment for high-K gate stacks for defect passivation
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
US8821637B2 (en) 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US9157152B2 (en) * 2007-03-29 2015-10-13 Tokyo Electron Limited Vapor deposition system
US7745352B2 (en) * 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US7964040B2 (en) * 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US8075728B2 (en) * 2008-02-28 2011-12-13 Applied Materials, Inc. Gas flow equalizer plate suitable for use in a substrate process chamber
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US7699935B2 (en) * 2008-06-19 2010-04-20 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
EP2151509A1 (en) * 2008-08-04 2010-02-10 Applied Materials, Inc. Reactive gas distributor, reactive gas treatment system, and reactive gas treatment method
US20100098851A1 (en) * 2008-10-20 2010-04-22 Varian Semiconductor Equipment Associates, Inc. Techniques for atomic layer deposition
US20100108263A1 (en) * 2008-10-30 2010-05-06 Applied Materials, Inc. Extended chamber liner for improved mean time between cleanings of process chambers
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US20100183825A1 (en) * 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
SG10201401671SA (en) * 2009-04-21 2014-07-30 Applied Materials Inc Cvd apparatus for improved film thickness non-uniformity and particle performance
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP2013516763A (en) 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド Dielectric film growth using radicals generated using a flexible nitrogen / hydrogen ratio
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
KR101528832B1 (en) 2010-01-06 2015-06-15 어플라이드 머티어리얼스, 인코포레이티드 Manufacturing method of flowable dielectric layer
KR101837648B1 (en) 2010-01-07 2018-04-19 어플라이드 머티어리얼스, 인코포레이티드 In­situ ozone cure for radical­component cvd
KR101853802B1 (en) 2010-03-05 2018-05-02 어플라이드 머티어리얼스, 인코포레이티드 Conformal layers by radical-component cvd
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US9004006B2 (en) * 2010-04-28 2015-04-14 Applied Materials, Inc. Process chamber lid design with built-in plasma source for short lifetime species
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US20120058576A1 (en) * 2010-09-03 2012-03-08 Beck Markus E Deposition System
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
WO2012088371A1 (en) 2010-12-22 2012-06-28 Brooks Automation, Inc. Workpiece handling module
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8955547B2 (en) 2011-10-19 2015-02-17 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9109754B2 (en) 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8826857B2 (en) * 2011-11-21 2014-09-09 Lam Research Corporation Plasma processing assemblies including hinge assemblies
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
KR102197576B1 (en) * 2012-11-06 2020-12-31 어플라이드 머티어리얼스, 인코포레이티드 Apparatus for spatial atomic layer deposition with recirculation and methods of use
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9275869B2 (en) * 2013-08-02 2016-03-01 Lam Research Corporation Fast-gas switching for etching
WO2015061616A1 (en) 2013-10-24 2015-04-30 Surmet Corporation High purity polycrystalline aluminum oxynitride bodies
US11414759B2 (en) * 2013-11-29 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd Mechanisms for supplying process gas into wafer process apparatus
US9353440B2 (en) 2013-12-20 2016-05-31 Applied Materials, Inc. Dual-direction chemical delivery system for ALD/CVD chambers
US9657397B2 (en) * 2013-12-31 2017-05-23 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
JP6446881B2 (en) * 2014-07-17 2019-01-09 東京エレクトロン株式会社 Gas supply device and valve device
US9460915B2 (en) * 2014-09-12 2016-10-04 Lam Research Corporation Systems and methods for reducing backside deposition and mitigating thickness changes at substrate edges
TWI676709B (en) * 2015-01-22 2019-11-11 美商應用材料股份有限公司 Atomic layer deposition of films using spatially separated injector chamber
JP6398761B2 (en) * 2015-02-04 2018-10-03 東京エレクトロン株式会社 Substrate processing equipment
US9435677B1 (en) * 2015-03-12 2016-09-06 Diamond Shine, Inc. Liquid containment and measurement apparatus and method
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
WO2016209886A1 (en) * 2015-06-22 2016-12-29 University Of South Carolina MOCVD SYSTEM INJECTOR FOR FAST GROWTH OF AlInGaBN MATERIAL
US20170211180A1 (en) * 2016-01-22 2017-07-27 Silcotek Corp. Diffusion-rate-limited thermal chemical vapor deposition coating
US10256075B2 (en) * 2016-01-22 2019-04-09 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US9896762B1 (en) * 2016-12-16 2018-02-20 Asm Ip Holding B.V. Method of depositing and etching film in one processing apparatus
TWI649446B (en) * 2017-03-15 2019-02-01 漢民科技股份有限公司 Detachable gas injectorused for semiconductor equipment
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10636629B2 (en) * 2017-10-05 2020-04-28 Applied Materials, Inc. Split slit liner door
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10818479B2 (en) * 2017-11-12 2020-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Grounding cap module, gas injection device and etching apparatus
CN111670265A (en) * 2018-01-31 2020-09-15 朗姆研究公司 Manifold valve for multiple precursors
US10679870B2 (en) * 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
CN111936664A (en) 2018-03-19 2020-11-13 应用材料公司 Method for depositing a coating on an aerospace component
EP3784815A4 (en) 2018-04-27 2021-11-03 Applied Materials, Inc. Protection of components from corrosion
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
TW202020218A (en) 2018-09-14 2020-06-01 美商應用材料股份有限公司 Apparatus for multi-flow precursor dosage
JP6966499B2 (en) * 2019-03-06 2021-11-17 Ckd株式会社 Gas supply unit and gas supply method
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
KR20210138119A (en) 2019-04-08 2021-11-18 어플라이드 머티어리얼스, 인코포레이티드 Methods for Modifying Photoresist Profiles and Tuning Critical Dimensions
EP3959356A4 (en) 2019-04-26 2023-01-18 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
JP7285152B2 (en) * 2019-07-08 2023-06-01 東京エレクトロン株式会社 Plasma processing equipment
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11881384B2 (en) * 2019-09-27 2024-01-23 Applied Materials, Inc. Monolithic modular microwave source with integrated process gas distribution
US11205589B2 (en) * 2019-10-06 2021-12-21 Applied Materials, Inc. Methods and apparatuses for forming interconnection structures
KR20210048408A (en) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. Semiconductor deposition reactor manifolds
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
EP4175772A1 (en) 2020-07-03 2023-05-10 Applied Materials, Inc. Methods for refurbishing aerospace components
US20220084845A1 (en) * 2020-09-17 2022-03-17 Applied Materials, Inc. High conductance process kit
US20220328293A1 (en) * 2021-04-13 2022-10-13 Applied Materials, Inc. Isolator for processing chambers
JP2023161122A (en) * 2022-04-25 2023-11-07 キオクシア株式会社 Film forming device and film forming method

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3854443A (en) * 1973-12-19 1974-12-17 Intel Corp Gas reactor for depositing thin films
WO1998023788A1 (en) * 1996-11-27 1998-06-04 Emcore Corporation Chemical vapor deposition apparatus
US5976261A (en) * 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
JP2000212752A (en) * 1999-01-18 2000-08-02 Samsung Electronics Co Ltd Reaction chamber gas flowing method and shower head used therefor
US6176198B1 (en) * 1998-11-02 2001-01-23 Applied Materials, Inc. Apparatus and method for depositing low K dielectric materials
EP1167569A1 (en) * 2000-06-24 2002-01-02 IPS Limited Apparatus and method for depositing thin film on wafer using atomic layer deposition

Family Cites Families (239)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (en) 1974-11-29 1977-05-31 Sateko Oy PROCEDURE AND PERFORMANCE OF LAYING BETWEEN THE STORAGE IN A LABOR PACKAGE
FI57975C (en) 1979-02-28 1980-11-10 Lohja Ab Oy OVER ANCHORING VIDEO UPDATE FOR AVAILABILITY
US4270999A (en) * 1979-09-28 1981-06-02 International Business Machines Corporation Method and apparatus for gas feed control in a dry etching process
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4415275A (en) 1981-12-21 1983-11-15 Dietrich David E Swirl mixing device
FI64878C (en) 1982-05-10 1984-01-10 Lohja Ab Oy KOMBINATIONSFILM FOER ISYNNERHET TUNNFILMELEKTROLUMINENSSTRUKTURER
US5294286A (en) 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
GB2162207B (en) 1984-07-26 1989-05-10 Japan Res Dev Corp Semiconductor crystal growth apparatus
US4612077A (en) * 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US4761269A (en) * 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4747367A (en) * 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
JPH0639357B2 (en) 1986-09-08 1994-05-25 新技術開発事業団 Method for growing element semiconductor single crystal thin film
DE3721637A1 (en) 1987-06-30 1989-01-12 Aixtron Gmbh GAS INLET FOR A MULTIPLE DIFFERENT REACTION GAS IN REACTION VESSELS
DE3743938C2 (en) 1987-12-23 1995-08-31 Cs Halbleiter Solartech Process for atomic layer epitaxy growth of a III / V compound semiconductor thin film
FR2628985B1 (en) * 1988-03-22 1990-12-28 Labo Electronique Physique EPITAXY REACTOR WITH WALL PROTECTION
US5261959A (en) 1988-05-26 1993-11-16 General Electric Company Diamond crystal growth apparatus
JPH0824191B2 (en) 1989-03-17 1996-03-06 富士通株式会社 Thin film transistor
JP2888253B2 (en) * 1989-07-20 1999-05-10 富士通株式会社 Chemical vapor deposition and apparatus for its implementation
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5483919A (en) 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5177327A (en) * 1990-11-16 1993-01-05 Exzec, Inc. Acoustic touch position sensor using shear wave propagation
US5178681A (en) 1991-01-29 1993-01-12 Applied Materials, Inc. Suspension system for semiconductor reactors
US5173327A (en) 1991-06-18 1992-12-22 Micron Technology, Inc. LPCVD process for depositing titanium films for semiconductor devices
US5480818A (en) 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5368685A (en) * 1992-03-24 1994-11-29 Hitachi, Ltd. Dry etching apparatus and method
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5338362A (en) * 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
US5567267A (en) * 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
JP3234025B2 (en) 1993-02-24 2001-12-04 日本インテック株式会社 Electrolyzed water generator
JP3265042B2 (en) 1993-03-18 2002-03-11 東京エレクトロン株式会社 Film formation method
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US5411703A (en) * 1993-06-16 1995-05-02 International Business Machines Corporation Lead-free, tin, antimony, bismtuh, copper solder alloy
US5484484A (en) * 1993-07-03 1996-01-16 Tokyo Electron Kabushiki Thermal processing method and apparatus therefor
US5578132A (en) * 1993-07-07 1996-11-26 Tokyo Electron Kabushiki Kaisha Apparatus for heat treating semiconductors at normal pressure and low pressure
JP2889098B2 (en) * 1993-10-13 1999-05-10 株式会社本山製作所 Specific gas supply control device
JP3181171B2 (en) * 1994-05-20 2001-07-03 シャープ株式会社 Vapor phase growth apparatus and vapor phase growth method
GB9410567D0 (en) * 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
FI100409B (en) * 1994-11-28 1997-11-28 Asm Int Method and apparatus for making thin films
FI97730C (en) 1994-11-28 1997-02-10 Mikrokemia Oy Equipment for the production of thin films
FI97731C (en) 1994-11-28 1997-02-10 Mikrokemia Oy Method and apparatus for making thin films
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
TW356554B (en) * 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
US6313035B1 (en) * 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
DE19630522C2 (en) * 1996-07-29 2002-10-02 Freudenberg Carl Kg Process for producing a pleatable filter medium and device for carrying out the process
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5835677A (en) 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
US5923056A (en) 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US6071572A (en) * 1996-10-15 2000-06-06 Applied Materials, Inc. Forming tin thin films using remote activated specie generation
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US6055927A (en) * 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
US6043177A (en) 1997-01-21 2000-03-28 University Technology Corporation Modification of zeolite or molecular sieve membranes using atomic layer controlled chemical vapor deposition
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
JPH10308283A (en) 1997-03-04 1998-11-17 Denso Corp El element and its manufacture
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
FI972874A0 (en) 1997-07-04 1997-07-04 Mikrokemia Oy Foerfarande och anordning Foer framstaellning av tunnfilmer
US6073366A (en) * 1997-07-11 2000-06-13 Asm America, Inc. Substrate cooling system and method
KR100385946B1 (en) * 1999-12-08 2003-06-02 삼성전자주식회사 Method for forming a metal layer by an atomic layer deposition and a semiconductor device with the metal layer as a barrier metal layer, an upper electrode, or a lower electrode of capacitor
US6287965B1 (en) * 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (en) 1997-07-31 2000-10-16 윤종용 Integrate circuit device having buffer layer containing metal oxide stabilized by low temperature treatment and fabricating method thereof
KR100261017B1 (en) 1997-08-19 2000-08-01 윤종용 Method for forming metal wiring of semiconductor device
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
KR100274603B1 (en) 1997-10-01 2001-01-15 윤종용 Method and apparatus for fabricating semiconductor device
KR100252049B1 (en) 1997-11-18 2000-04-15 윤종용 The atomic layer deposition method for fabricating aluminum layer
US5972430A (en) * 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
FI104383B (en) * 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Procedure for coating the inside of a plant
KR100269328B1 (en) 1997-12-31 2000-10-16 윤종용 Method for forming conductive layer using atomic layer deposition process
KR100275727B1 (en) 1998-01-06 2001-01-15 윤종용 Capacitor for semiconductor device & manufacturing method
US6022483A (en) * 1998-03-10 2000-02-08 Intergrated Systems, Inc. System and method for controlling pressure
KR100267885B1 (en) 1998-05-18 2000-11-01 서성기 Deposition apparatus
KR100282853B1 (en) 1998-05-18 2001-04-02 서성기 Apparatus for thin film deposition using cyclic gas injection
NL1009327C2 (en) 1998-06-05 1999-12-10 Asm Int Method and device for transferring wafers.
JP2000031387A (en) 1998-07-14 2000-01-28 Fuji Electric Co Ltd Manufacture of dielectric thin film capacitor
KR100275738B1 (en) 1998-08-07 2000-12-15 윤종용 Method for producing thin film using atomatic layer deposition
KR20000013654A (en) 1998-08-12 2000-03-06 윤종용 Capacitor having an al2o3/aln mixed dielectric layer by using an atomic layer deposition and a manufacturing method thereof
KR100287180B1 (en) * 1998-09-17 2001-04-16 윤종용 Method for manufacturing semiconductor device including metal interconnection formed using interface control layer
KR100327328B1 (en) 1998-10-13 2002-05-09 윤종용 Method for forming dielectric layer of capacitor having partially different thickness in the layer
KR100297719B1 (en) * 1998-10-16 2001-08-07 윤종용 Method for manufacturing thin film
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
JP2000306884A (en) * 1999-04-22 2000-11-02 Mitsubishi Electric Corp Apparatus and method for plasma treatment
KR100347379B1 (en) 1999-05-01 2002-08-07 주식회사 피케이엘 Atomic layer deposition apparatus for depositing multi substrate
US20030232554A1 (en) 1999-05-04 2003-12-18 Blum Ronald D. Multi-layer tacky and water-absorbing shoe-cleaning product
FI118342B (en) 1999-05-10 2007-10-15 Asm Int Apparatus for making thin films
JP4291916B2 (en) 1999-05-24 2009-07-08 プレス工業株式会社 Toothed ring and method for forming convex teeth thereof
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
KR100319494B1 (en) * 1999-07-15 2002-01-09 김용일 Apparatus for Deposition of thin films on wafers through atomic layer epitaxial process
KR20010017820A (en) 1999-08-14 2001-03-05 윤종용 Semiconductor device and manufacturing method thereof
US6984415B2 (en) * 1999-08-20 2006-01-10 International Business Machines Corporation Delivery systems for gases for gases via the sublimation of solid precursors
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
DE10049257B4 (en) 1999-10-06 2015-05-13 Samsung Electronics Co., Ltd. Process for thin film production by means of atomic layer deposition
FI117942B (en) 1999-10-14 2007-04-30 Asm Int Process for making oxide thin films
JP4523094B2 (en) * 1999-10-19 2010-08-11 東京エレクトロン株式会社 Plasma processing method
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
KR100330749B1 (en) 1999-12-17 2002-04-03 서성기 Thin film deposition apparatus for semiconductor
FI118474B (en) 1999-12-28 2007-11-30 Asm Int Apparatus for making thin films
FI118343B (en) * 1999-12-28 2007-10-15 Asm Int Apparatus for making thin films
JP4362919B2 (en) 2000-02-04 2009-11-11 株式会社デンソー Deposition method by atomic layer epitaxial growth method
KR100378871B1 (en) 2000-02-16 2003-04-07 주식회사 아펙스 showerhead apparatus for radical assisted deposition
AU2001245388A1 (en) 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
TW496907B (en) 2000-04-14 2002-08-01 Asm Microchemistry Oy Method and apparatus of growing a thin film onto a substrate
US7060132B2 (en) 2000-04-14 2006-06-13 Asm International N.V. Method and apparatus of growing a thin film
FI117980B (en) 2000-04-14 2007-05-15 Asm Int A method of constructing a thin film on a substrate
KR100363088B1 (en) * 2000-04-20 2002-12-02 삼성전자 주식회사 Method of manufacturing barrier metal layer using atomic layer deposition method
FI118805B (en) 2000-05-15 2008-03-31 Asm Int A method and configuration for introducing a gas phase reactant into a reaction chamber
JP2001328900A (en) 2000-05-15 2001-11-27 Denso Corp Method for forming thin film
KR100427423B1 (en) * 2000-05-25 2004-04-13 가부시키가이샤 고베 세이코쇼 Inner tube for cvd apparatus
KR100403611B1 (en) 2000-06-07 2003-11-01 삼성전자주식회사 Metal-insulator-metal capacitor and manufacturing method thereof
KR100647442B1 (en) 2000-06-07 2006-11-17 주성엔지니어링(주) Method of forming a thin film using atomic layer deposition
EP2293322A1 (en) * 2000-06-08 2011-03-09 Genitech, Inc. Method for forming a metal nitride layer
US6863019B2 (en) * 2000-06-13 2005-03-08 Applied Materials, Inc. Semiconductor device fabrication chamber cleaning method and apparatus with recirculation of cleaning gas
KR100332314B1 (en) * 2000-06-24 2002-04-12 서성기 Reactor for depositing thin film on wafer
FI20001694A0 (en) 2000-07-20 2000-07-20 Asm Microchemistry Oy A method for growing a thin film on a substrate
KR100444149B1 (en) * 2000-07-22 2004-08-09 주식회사 아이피에스 ALD thin film depositin equipment cleaning method
KR100396879B1 (en) * 2000-08-11 2003-09-02 삼성전자주식회사 Semiconductor memory device having capacitor encapsulated by multi-layer which includes double layeres being made of same material and method of manufacturing thereof
US6302965B1 (en) * 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
US6446572B1 (en) * 2000-08-18 2002-09-10 Tokyo Electron Limited Embedded plasma source for plasma density improvement
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
FI118014B (en) 2000-10-23 2007-05-31 Asm Int Process for making alumina thin films at low temperatures
KR100436941B1 (en) 2000-11-07 2004-06-23 주성엔지니어링(주) apparatus and method for depositing thin film
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
AU2002225761A1 (en) 2000-11-30 2002-06-11 Asm America, Inc. Thin films for magnetic devices
KR100386034B1 (en) 2000-12-06 2003-06-02 에이에스엠 마이크로케미스트리 리미티드 Method of Fabricating Semiconductor Device Employing Copper Interconnect Structure Having Diffusion Barrier Stuffed with Metal Oxide
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6878402B2 (en) * 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
KR100385947B1 (en) * 2000-12-06 2003-06-02 삼성전자주식회사 Method of forming thin film by atomic layer deposition
JP2002172835A (en) * 2000-12-08 2002-06-18 Fuji Photo Film Co Ltd Image recorder and shading collecting method using it
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US20020076507A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US20020076481A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US20020073924A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
KR20020049875A (en) * 2000-12-20 2002-06-26 윤종용 Ferroelectric capacitor in semiconductor memory device and method for manufacturing the same
JP3963078B2 (en) * 2000-12-25 2007-08-22 株式会社高純度化学研究所 Tertiary amylimidotris (dimethylamido) tantalum, method for producing the same, raw material solution for MOCVD using the same, and method for forming a tantalum nitride film using the same
KR20020056260A (en) 2000-12-29 2002-07-10 박종섭 Method for forming metal gate of semiconductor devoie
KR100434487B1 (en) 2001-01-17 2004-06-05 삼성전자주식회사 Shower head & film forming apparatus having the same
US6844604B2 (en) 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
US7026219B2 (en) 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
US6613656B2 (en) * 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US20020117399A1 (en) * 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US20020121241A1 (en) 2001-03-02 2002-09-05 Nguyen Anh N. Processing chamber and method of distributing process fluids therein to facilitate sequential deposition of films
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6734020B2 (en) * 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US6812101B2 (en) * 2001-04-02 2004-11-02 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for manufacture thereof
US20020144655A1 (en) * 2001-04-05 2002-10-10 Chiang Tony P. Gas valve system for a reactor
US20020144657A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
US20030019428A1 (en) * 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US6759081B2 (en) 2001-05-11 2004-07-06 Asm International, N.V. Method of depositing thin films for magnetic heads
KR100363332B1 (en) 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US6709989B2 (en) 2001-06-21 2004-03-23 Motorola, Inc. Method for fabricating a semiconductor structure including a metal oxide interface with silicon
JP4680429B2 (en) * 2001-06-26 2011-05-11 Okiセミコンダクタ株式会社 High speed reading control method in text-to-speech converter
US7049049B2 (en) * 2001-06-27 2006-05-23 University Of South Florida Maskless photolithography for using photoreactive agents
TW539822B (en) * 2001-07-03 2003-07-01 Asm Inc Source chemical container assembly
US20030198754A1 (en) * 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
US20030017697A1 (en) * 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US7098131B2 (en) 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
US7105444B2 (en) * 2001-07-19 2006-09-12 Samsung Electronics Co., Ltd. Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same
US7085616B2 (en) * 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US20030042630A1 (en) * 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW512504B (en) * 2001-10-12 2002-12-01 Advanced Semiconductor Eng Package substrate having protruded and recessed side edge
TW540222B (en) * 2001-10-16 2003-07-01 Benq Corp Scanner simultaneously using external power supply and USB bus power
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6679199B2 (en) * 2001-10-30 2004-01-20 Parker Bankston Tag and release device
US6743681B2 (en) * 2001-11-09 2004-06-01 Micron Technology, Inc. Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride
JP2003158080A (en) * 2001-11-22 2003-05-30 Mitsubishi Electric Corp Semiconductor manufacturing device, deposit removing method therein and manufacturing method for semiconductor device
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US6729824B2 (en) * 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US20030116087A1 (en) * 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US6696332B2 (en) * 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
WO2003065424A2 (en) * 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6824816B2 (en) 2002-01-29 2004-11-30 Asm International N.V. Process for producing metal thin films by ALD
US7063981B2 (en) 2002-01-30 2006-06-20 Asm International N.V. Active pulse monitoring in a chemical reactor
US6777352B2 (en) * 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US6753618B2 (en) 2002-03-11 2004-06-22 Micron Technology, Inc. MIM capacitor with metal nitride electrode materials and method of formation
US20030216981A1 (en) 2002-03-12 2003-11-20 Michael Tillman Method and system for hosting centralized online point-of-sale activities for a plurality of distributed customers and vendors
JP3937892B2 (en) * 2002-04-01 2007-06-27 日本電気株式会社 Thin film forming method and semiconductor device manufacturing method
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6875271B2 (en) * 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US6932871B2 (en) * 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US20030235961A1 (en) 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US6778762B1 (en) * 2002-04-17 2004-08-17 Novellus Systems, Inc. Sloped chamber top for substrate processing
US7164165B2 (en) * 2002-05-16 2007-01-16 Micron Technology, Inc. MIS capacitor
US20030213560A1 (en) * 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
KR100505043B1 (en) 2002-05-25 2005-07-29 삼성전자주식회사 Method for forming a capacitor
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7910165B2 (en) * 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7135421B2 (en) 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
JP4614639B2 (en) * 2002-06-10 2011-01-19 アイメック Enhancement of dielectric constant (k value) of Hf-containing composition
KR100476926B1 (en) * 2002-07-02 2005-03-17 삼성전자주식회사 Method for forming dual gate of semiconductor device
US20040011464A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Promotion of independence between degree of dissociation of reactive gas and the amount of ionization of dilutant gas via diverse gas injection
US6955211B2 (en) * 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7081409B2 (en) 2002-07-17 2006-07-25 Samsung Electronics Co., Ltd. Methods of producing integrated circuit devices utilizing tantalum amine derivatives
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
KR100468852B1 (en) * 2002-07-20 2005-01-29 삼성전자주식회사 Manufacturing method of Capacitor Structure
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6921062B2 (en) * 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
JP3861036B2 (en) * 2002-08-09 2006-12-20 三菱重工業株式会社 Plasma CVD equipment
KR100542736B1 (en) * 2002-08-17 2006-01-11 삼성전자주식회사 Method of forming oxide layer using atomic layer deposition method and method of forming capacitor of semiconductor device using the same
US6958300B2 (en) * 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US6875678B2 (en) * 2002-09-10 2005-04-05 Samsung Electronics Co., Ltd. Post thermal treatment methods of forming high dielectric layers in integrated circuit devices
US6784096B2 (en) * 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
JP2004111447A (en) * 2002-09-13 2004-04-08 Handotai Rikougaku Kenkyu Center:Kk Semiconductor device and method for manufacturing the same
US6759286B2 (en) * 2002-09-16 2004-07-06 Ajay Kumar Method of fabricating a gate structure of a field effect transistor using a hard mask
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US6716287B1 (en) * 2002-10-18 2004-04-06 Applied Materials Inc. Processing chamber with flow-restricting ring
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
WO2004064147A2 (en) * 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
US6868859B2 (en) * 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US6818094B2 (en) 2003-01-29 2004-11-16 Applied Materials, Inc. Reciprocating gas valve for pulsing a gas
US6994319B2 (en) * 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US7211508B2 (en) * 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US20050104142A1 (en) * 2003-11-13 2005-05-19 Vijav Narayanan CVD tantalum compounds for FET get electrodes
US7323230B2 (en) * 2004-08-02 2008-01-29 Applied Materials, Inc. Coating for aluminum component

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3854443A (en) * 1973-12-19 1974-12-17 Intel Corp Gas reactor for depositing thin films
US5976261A (en) * 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
WO1998023788A1 (en) * 1996-11-27 1998-06-04 Emcore Corporation Chemical vapor deposition apparatus
US6176198B1 (en) * 1998-11-02 2001-01-23 Applied Materials, Inc. Apparatus and method for depositing low K dielectric materials
JP2000212752A (en) * 1999-01-18 2000-08-02 Samsung Electronics Co Ltd Reaction chamber gas flowing method and shower head used therefor
US6478872B1 (en) * 1999-01-18 2002-11-12 Samsung Electronics Co., Ltd. Method of delivering gas into reaction chamber and shower head used to deliver gas
EP1167569A1 (en) * 2000-06-24 2002-01-02 IPS Limited Apparatus and method for depositing thin film on wafer using atomic layer deposition

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
PATENT ABSTRACTS OF JAPAN vol. 2000, no. 11 3 January 2001 (2001-01-03) *

Also Published As

Publication number Publication date
US7175713B2 (en) 2007-02-13
WO2003065424A2 (en) 2003-08-07
US20030172872A1 (en) 2003-09-18
US20070095285A1 (en) 2007-05-03
AU2003238853A1 (en) 2003-09-02
US20090056626A1 (en) 2009-03-05
US8123860B2 (en) 2012-02-28

Similar Documents

Publication Publication Date Title
WO2003065424A3 (en) Apparatus for cyclical deposition of thin films
TW200644085A (en) A plasma enhanced atomic layer deposition system having reduced contamination
WO2005124859A3 (en) Methods and apparatuses for depositing uniform layers
WO2004007792A3 (en) Method of film deposition using activated precursor gases
TW200517522A (en) Chemical vapor deposition unit
TW200625443A (en) Film formation apparatus and method for semiconductor process
TW200609378A (en) Device and method for high-throughput chemical vapor deposition
WO2006019438A3 (en) Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
WO2004007353A3 (en) Continuous chemical vapor deposition process and process furnace
TW200600605A (en) Liquid precursors for the CVD deposition of amorphous carbon films
TW200606276A (en) Vacuum film-forming apparatus
TW200709279A (en) Method of depositing Ge-Sb-Te thin film
WO2004082003A3 (en) Apparatuses and methods for forming a substantially facet-free epitaxial film
TW200617199A (en) A method for depositing thin film using ALD
WO2007084493A3 (en) High temperature ald inlet manifold
DE50302862D1 (en) Device for CVD coatings
TW200634962A (en) Method of depositing thin film on substrate using impulse ald process
WO2005049885A3 (en) Method and apparatus for fabricating a conformal thin film on a substrate
TW200741823A (en) Semiconductor device manufacturing method and substrate processing apparatus
WO2007053607A3 (en) Pumping system for atomic layer deposition
WO2004020689A3 (en) Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
TW200628629A (en) Method for increasing deposition rates of metal layers from metal-carbonyl precursors
AU2003224977A1 (en) System for depositing a film onto a substrate using a low vapor pressure gas precursor
TW331652B (en) Thin film vapor deposition apparatus
TW200605196A (en) Improved deposition repeatability of PECVD films

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ OM PH PL PT RO RU SC SD SE SG SK SL TJ TM TN TR TT TZ UA UG UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase

Ref country code: JP

WWW Wipo information: withdrawn in national office

Country of ref document: JP