WO2003056619A3 - Selective deposition of a barrier layer on a dielectric material - Google Patents

Selective deposition of a barrier layer on a dielectric material Download PDF

Info

Publication number
WO2003056619A3
WO2003056619A3 PCT/US2002/040179 US0240179W WO03056619A3 WO 2003056619 A3 WO2003056619 A3 WO 2003056619A3 US 0240179 W US0240179 W US 0240179W WO 03056619 A3 WO03056619 A3 WO 03056619A3
Authority
WO
WIPO (PCT)
Prior art keywords
barrier layer
dielectric material
selective deposition
deposition
substrate
Prior art date
Application number
PCT/US2002/040179
Other languages
French (fr)
Other versions
WO2003056619A2 (en
Inventor
Hua Chung
Ling Chen
Vincent W Ku
Michael X Yang
Gongda Yao
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to KR10-2004-7009891A priority Critical patent/KR20040068969A/en
Priority to EP02792404A priority patent/EP1459369A2/en
Priority to JP2003557038A priority patent/JP2005531918A/en
Publication of WO2003056619A2 publication Critical patent/WO2003056619A2/en
Publication of WO2003056619A3 publication Critical patent/WO2003056619A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners

Abstract

A method to selectively deposit a barrier layer on dielectric material that surrounds one or more metal interconnects on a substrate is disclosed. The barrier layer is selectively deposited on the metal film using a cyclical deposition process including a predetermined number of deposition cycles followed by a purge step. Each deposition cycle comprises alternately adsorbing a refractory metal-containing precursor and a reducing gas on the dielectric material formed on the substrate in a process chamber.
PCT/US2002/040179 2001-12-21 2002-12-17 Selective deposition of a barrier layer on a dielectric material WO2003056619A2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR10-2004-7009891A KR20040068969A (en) 2001-12-21 2002-12-17 Selective deposition of a barrier layer on a dielectric material
EP02792404A EP1459369A2 (en) 2001-12-21 2002-12-17 Selective deposition of a barrier layer on a dielectric material
JP2003557038A JP2005531918A (en) 2001-12-21 2002-12-17 Selective deposition of barrier layers on dielectric materials.

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US34230701P 2001-12-21 2001-12-21
US60/342,307 2001-12-21

Publications (2)

Publication Number Publication Date
WO2003056619A2 WO2003056619A2 (en) 2003-07-10
WO2003056619A3 true WO2003056619A3 (en) 2004-03-25

Family

ID=23341249

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2002/040179 WO2003056619A2 (en) 2001-12-21 2002-12-17 Selective deposition of a barrier layer on a dielectric material

Country Status (6)

Country Link
US (1) US6939801B2 (en)
EP (1) EP1459369A2 (en)
JP (1) JP2005531918A (en)
KR (1) KR20040068969A (en)
CN (1) CN1319134C (en)
WO (1) WO2003056619A2 (en)

Families Citing this family (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7211508B2 (en) 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
KR20050091488A (en) * 2004-03-12 2005-09-15 주식회사 유피케미칼 The precursor compounds for the metal and ceramic film, and the method of synthesis
DE102004015174A1 (en) 2004-03-27 2005-10-13 Aixtron Ag Process for separating in particular metal oxides by means of non-continuous precursor injection
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7585762B2 (en) 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US20100119734A1 (en) * 2008-11-07 2010-05-13 Applied Materials, Inc. Laminar flow in a precursor source canister
US9330939B2 (en) * 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
CN110066984B (en) * 2013-09-27 2021-06-08 应用材料公司 Method for realizing seamless cobalt gap filling
WO2016071531A1 (en) * 2014-11-07 2016-05-12 T-Touch International S.À.R.L. Selective dielectric coating
WO2016120957A1 (en) * 2015-01-26 2016-08-04 株式会社日立国際電気 Semiconductor-device manufacturing method, substrate treating apparatus, and recording medium
US10002789B2 (en) 2016-03-24 2018-06-19 International Business Machines Corporation High performance middle of line interconnects
US10242866B2 (en) * 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR102585074B1 (en) 2017-11-11 2023-10-04 마이크로머티어리얼즈 엘엘씨 Gas delivery system for high pressure processing chamber
CN111432920A (en) 2017-11-17 2020-07-17 应用材料公司 Condenser system for high pressure processing system
JP7239598B2 (en) 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド High Pressure Annealing Process for Metal-Containing Materials
SG11202008268RA (en) 2018-03-19 2020-10-29 Applied Materials Inc Methods for depositing coatings on aerospace components
EP3784815A4 (en) 2018-04-27 2021-11-03 Applied Materials, Inc. Protection of components from corrosion
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
CN110610897B (en) * 2018-06-15 2022-02-22 北京北方华创微电子装备有限公司 Manufacturing process of diffusion barrier layer in copper interconnection structure and copper interconnection structure
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US11114382B2 (en) 2018-10-19 2021-09-07 International Business Machines Corporation Middle-of-line interconnect having low metal-to-metal interface resistance
US10636705B1 (en) 2018-11-29 2020-04-28 Applied Materials, Inc. High pressure annealing of metal gate structures
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US10903111B2 (en) 2019-03-20 2021-01-26 International Business Machines Corporation Semiconductor device with linerless contacts
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
WO2022005696A1 (en) 2020-07-03 2022-01-06 Applied Materials, Inc. Methods for refurbishing aerospace components

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0798778A2 (en) * 1996-03-25 1997-10-01 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device of multilayer wire structure
US6025243A (en) * 1989-09-26 2000-02-15 Canon Kabushiki Kaisha Method for preparing a semiconductor device
WO2001015220A1 (en) * 1999-08-24 2001-03-01 Asm America, Inc. Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6281072B1 (en) * 1998-05-11 2001-08-28 Micron Technology, Inc. Multiple step methods for forming conformal layers

Family Cites Families (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117944B (en) 1999-10-15 2007-04-30 Asm Int A method for growing transition metal nitride thin films
FI118158B (en) 1999-10-15 2007-07-31 Asm Int Process for modifying the starting chemical in an ALD process
FI57975C (en) * 1979-02-28 1980-11-10 Lohja Ab Oy OVER ANCHORING VIDEO UPDATE FOR AVAILABILITY
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US6084302A (en) * 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5923056A (en) * 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
KR100385946B1 (en) * 1999-12-08 2003-06-02 삼성전자주식회사 Method for forming a metal layer by an atomic layer deposition and a semiconductor device with the metal layer as a barrier metal layer, an upper electrode, or a lower electrode of capacitor
US6287965B1 (en) * 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (en) * 1997-07-31 2000-10-16 윤종용 Integrate circuit device having buffer layer containing metal oxide stabilized by low temperature treatment and fabricating method thereof
KR100261017B1 (en) * 1997-08-19 2000-08-01 윤종용 Method for forming metal wiring of semiconductor device
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6348376B2 (en) * 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
FI104383B (en) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Procedure for coating the inside of a plant
KR100269328B1 (en) * 1997-12-31 2000-10-16 윤종용 Method for forming conductive layer using atomic layer deposition process
US6015917A (en) * 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
NL1009327C2 (en) 1998-06-05 1999-12-10 Asm Int Method and device for transferring wafers.
KR100319888B1 (en) * 1998-06-16 2002-01-10 윤종용 Method of forming selective metal layer and method of forming capacitor and filling contact hole using the same
KR100275738B1 (en) * 1998-08-07 2000-12-15 윤종용 Method for producing thin film using atomatic layer deposition
KR20000022003A (en) 1998-09-10 2000-04-25 이경수 Method for forming three-components compound comprising metal and silicon
KR100287180B1 (en) * 1998-09-17 2001-04-16 윤종용 Method for manufacturing semiconductor device including metal interconnection formed using interface control layer
KR100327328B1 (en) * 1998-10-13 2002-05-09 윤종용 Method for forming dielectric layer of capacitor having partially different thickness in the layer
KR100297719B1 (en) * 1998-10-16 2001-08-07 윤종용 Method for manufacturing thin film
US6540838B2 (en) * 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6124158A (en) * 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
KR20010017820A (en) 1999-08-14 2001-03-05 윤종용 Semiconductor device and manufacturing method thereof
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
DE10049257B4 (en) 1999-10-06 2015-05-13 Samsung Electronics Co., Ltd. Process for thin film production by means of atomic layer deposition
FI117942B (en) * 1999-10-14 2007-04-30 Asm Int Process for making oxide thin films
KR100737901B1 (en) 1999-10-15 2007-07-10 에이에스엠 인터내셔널 엔.브이. Method for depositing nanolaminate thin films on sensitive surfaces
US6475276B1 (en) * 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
DE60028394T2 (en) 1999-10-15 2007-03-29 Asm International N.V. CONFORMAL COATING LAYERS FOR DAMASCUM METALLIZATION
EP1221178A1 (en) 1999-10-15 2002-07-10 ASM America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR20010047128A (en) 1999-11-18 2001-06-15 이경수 Method of vaporizing a liquid source and apparatus used therefor
US6780704B1 (en) * 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
KR100705926B1 (en) * 1999-12-22 2007-04-11 주식회사 하이닉스반도체 Method of manufacturing a capacitor in a semiconductor device
KR100624903B1 (en) * 1999-12-22 2006-09-19 주식회사 하이닉스반도체 Method of manufacturing a capacitor in a semiconductor device
FI20000099A0 (en) * 2000-01-18 2000-01-18 Asm Microchemistry Ltd A method for growing thin metal films
DE60125338T2 (en) * 2000-03-07 2007-07-05 Asm International N.V. GRADED THIN LAYERS
KR100363088B1 (en) * 2000-04-20 2002-12-02 삼성전자 주식회사 Method of manufacturing barrier metal layer using atomic layer deposition method
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
KR100403611B1 (en) * 2000-06-07 2003-11-01 삼성전자주식회사 Metal-insulator-metal capacitor and manufacturing method thereof
KR100332313B1 (en) * 2000-06-24 2002-04-12 서성기 Apparatus and method for depositing thin film on wafer
KR100444149B1 (en) * 2000-07-22 2004-08-09 주식회사 아이피에스 ALD thin film depositin equipment cleaning method
KR100396879B1 (en) * 2000-08-11 2003-09-02 삼성전자주식회사 Semiconductor memory device having capacitor encapsulated by multi-layer which includes double layeres being made of same material and method of manufacturing thereof
US6613695B2 (en) * 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
KR100869326B1 (en) * 2000-11-30 2008-11-18 에이에스엠 인터내셔널 엔.브이. thin films for magnetic devices
US20020104481A1 (en) * 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) * 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) * 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6949450B2 (en) * 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US20020197402A1 (en) * 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (en) * 2000-12-06 2003-06-02 삼성전자주식회사 Method of forming thin film by atomic layer deposition
US20020076481A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US20020076507A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US20020073924A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6844604B2 (en) * 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
FI109770B (en) * 2001-03-16 2002-10-15 Asm Microchemistry Oy Growing transition metal nitride thin films by using compound having hydrocarbon, amino or silyl group bound to nitrogen as nitrogen source material
US7348042B2 (en) * 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020144657A1 (en) * 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
US20020144655A1 (en) * 2001-04-05 2002-10-10 Chiang Tony P. Gas valve system for a reactor
KR100363332B1 (en) * 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US20030042630A1 (en) * 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
EP1425435A2 (en) * 2001-09-14 2004-06-09 Asm International N.V. Metal nitride deposition by ald using gettering reactant
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6025243A (en) * 1989-09-26 2000-02-15 Canon Kabushiki Kaisha Method for preparing a semiconductor device
EP0798778A2 (en) * 1996-03-25 1997-10-01 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device of multilayer wire structure
US6281072B1 (en) * 1998-05-11 2001-08-28 Micron Technology, Inc. Multiple step methods for forming conformal layers
WO2001015220A1 (en) * 1999-08-24 2001-03-01 Asm America, Inc. Method for bottomless deposition of barrier layers in integrated circuit metallization schemes

Also Published As

Publication number Publication date
US6939801B2 (en) 2005-09-06
US20030224578A1 (en) 2003-12-04
JP2005531918A (en) 2005-10-20
WO2003056619A2 (en) 2003-07-10
CN1620721A (en) 2005-05-25
KR20040068969A (en) 2004-08-02
EP1459369A2 (en) 2004-09-22
CN1319134C (en) 2007-05-30

Similar Documents

Publication Publication Date Title
WO2003056619A3 (en) Selective deposition of a barrier layer on a dielectric material
WO2004007792A3 (en) Method of film deposition using activated precursor gases
WO2004007794A3 (en) Pulsed nucleation deposition of tungsten layers
JP2023018059A5 (en)
EP1125324A4 (en) Radical-assisted sequential cvd
WO2004113585A3 (en) Atomic layer deposition of barrier materials
EP0166383A3 (en) Continuous deposition of activated process gases
WO2003029515A3 (en) Formation of composite tungsten films
WO2004075248A3 (en) Surface-coating method, production of microelectronic interconnections using said method and integrated circuits
WO2004044963A3 (en) Atomic layer deposition methods
WO2006036865A3 (en) Deposition of ruthenium metal layers in a thermal chemical vapor deposition process
WO2004001809A8 (en) Method for energy-assisted atomic layer deposition and removal
TW200702476A (en) Low-temperature chemical vapor deposition of low-resistivity ruthenium layers
TW344893B (en) Novel tungsten deposition process
WO2004053947A3 (en) Titanium silicon nitride (tisin) barrier layer for copper diffusion
WO2004064147A3 (en) Integration of ald/cvd barriers with porous low k materials
JP2004068159A5 (en)
WO2003076678A3 (en) Ald method and apparatus
JP2002285333A5 (en)
WO2002079537A3 (en) W-cvd with fluorine-free tungsten nucleation
WO2002005329A3 (en) Chemical vapor deposition of barrier layers
TW200502414A (en) Non-evaporable getter multilayer deposits obtained by cathodic deposition and process for their manufacturing
WO2002058115A3 (en) Method for deposit copper on metal films
WO2004010469A3 (en) Atomic layer deposition of multi-metallic precursors
TW200511445A (en) Method of multi-element compound deposition by atomic layer deposition for IC barrier layer application

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): CN JP KR SG

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR IE IT LU MC NL PT SE SK TR

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2002792404

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 2003557038

Country of ref document: JP

Ref document number: 1020047009891

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 20028281985

Country of ref document: CN

WWP Wipo information: published in national office

Ref document number: 2002792404

Country of ref document: EP

WWW Wipo information: withdrawn in national office

Ref document number: 2002792404

Country of ref document: EP