WO2003052802A2 - Dual robot processing system - Google Patents

Dual robot processing system Download PDF

Info

Publication number
WO2003052802A2
WO2003052802A2 PCT/US2002/041721 US0241721W WO03052802A2 WO 2003052802 A2 WO2003052802 A2 WO 2003052802A2 US 0241721 W US0241721 W US 0241721W WO 03052802 A2 WO03052802 A2 WO 03052802A2
Authority
WO
WIPO (PCT)
Prior art keywords
transfer
chamber
processing system
disposed
transfer area
Prior art date
Application number
PCT/US2002/041721
Other languages
French (fr)
Other versions
WO2003052802A3 (en
Inventor
Lawrence C. Lei
Moris Kori
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Publication of WO2003052802A2 publication Critical patent/WO2003052802A2/en
Publication of WO2003052802A3 publication Critical patent/WO2003052802A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Definitions

  • Embodiments of the invention generally relate to a semiconductor processing system.
  • Semiconductor substrate processing is typically performed by subjecting a substrate to a plurality of sequential processes to create devices, conductors and insulators on the substrate. These processes are generally performed in a processing chamber configured to perform a single step of the fabrication process. In order to efficiently complete the entire sequence of processing steps, a number of processing chambers are typically coupled to a central transfer chamber that houses a robot to facilitate transfer of the substrate between the surrounding processing chambers.
  • a semiconductor processing system having this configuration is generally known as a cluster tool, examples of which are the families of PRODUCERTM, CENTURA® and ENDURA® processing systems available from Applied Materials, Inc., located in Santa Clara, California.
  • a cluster tool consists of a central transfer chamber having one or more robots disposed therein.
  • the transfer chamber is generally surrounded by one of more processing chambers and at least one load lock chamber to facilitate transfer of substrates into and out of the tool.
  • the processing chambers are generally utilized to process the substrate, for example, performing various processing steps such as etching, physical vapor deposition, chemical vapor deposition, ion implantation, lithography and the like. Processes are typically performed in the processing chambers at vacuum pressures.
  • the transfer chamber is maintained at vacuum pressure to avoid having to repeatedly pump down the processing chamber after each substrate transfer.
  • cluster tools are generally desirable for large scale device fabrication, these systems have floor space requirements that make them impractical for l smaller scale production lines.
  • a cluster tool configured to provide single layer deposition utilizing a compact mainframe is generally not available.
  • Such systems generally require only one or two processing chambers coupled to a transfer chamber.
  • transfer chambers known to the inventors are generally configured to accommodate more than two processing chambers, the utilization of only two chambers on such a transfer chamber, while leaving the remaining space for other processing chambers vacant, results in high tool costs and unnecessary consumption of floor space generally without providing maximized substrate processing throughput.
  • a substrate processing system having a transfer chamber having two processing chambers and two load lock chambers coupled thereto is generally provided.
  • Two transfer robots are disposed in the transfer chamber and provide parallel or sequential processing of substrates between the processing chambers as desired.
  • a substrate processing system includes two load lock chambers and two processing chambers coupled to a transfer chamber.
  • the transfer chamber includes a body having a first transfer area and a second transfer area, one on either side of a center axis of the transfer chamber.
  • a first passage couples one of the load locks with the first transfer area and a second passage couples the other load lock with the second transfer area.
  • the first passage and the second passage form an acute angle with the center axis.
  • a transfer platform is disposed between the first transfer area and the second transfer area.
  • a first transfer robot and a second transfer robot are disposed in the first and second transfer areas, respectively. Each robot is adapted to transfer substrates between the load locks, the transfer platform and respective processing chambers.
  • a substrate processing system in another embodiment, includes a transfer chamber having two load lock chambers and two processing chambers coupled thereto.
  • the transfer chamber includes a body having a first transfer area and a second transfer area defined therein on either side of a center axis.
  • a first passage couples one of the load locks with the first transfer area and a second passage couples the other one of the load locks with the second transfer area.
  • a transfer platform is disposed between the first transfer area and the second transfer area.
  • the transfer platform is configured to orient a substrate seated thereon and may additionally include a lift mechanism for placing the substrate and transfer platform in a spaced- apart relationship to facilitate substrate transfer.
  • a first transfer robot and a second transfer robot are disposed in the first and second transfer areas, respectively. Each robot is adapted to transfer substrates between the load locks, the transfer platform and respective processing chambers.
  • FIG. 1 is a plan view of one embodiment of a substrate processing system of the present invention
  • FIG. 2 illustrates one embodiment of an aligner disposed in the substrate processing system of Fig. 1 ;
  • FIG. 3A is a sectional view of one embodiment of a load lock chamber
  • FIG. 3B is a sectional view of another embodiment of a load lock chamber
  • FIG. 4 is a plan view of one embodiment of a transfer robot
  • FIG. 5 is a perspective view of one embodiment of a transfer platform
  • FIG. 6 is a sectional view of another embodiment of a transfer platform
  • FIG. 7 is a flow diagram of one embodiment of a process sequence that may be practiced utilizing the processing system of Fig. 1 ;
  • Fig. 8 is a flow diagram of another embodiment of a process sequence that may be practiced utilizing the processing system of Fig. 1.
  • Fig. 1 depicts one embodiment of a substrate processing system 100 that generally includes a factory interface 102, a first load lock chamber 110, a second load lock chamber 112, a first processing chamber 106, a second processing chamber 108 and a transfer chamber 104.
  • the processing chambers 106, 108 are coupled to the transfer chamber 104.
  • the load lock chambers 110, 112 are coupled between the transfer chamber 104 and the factory interface 102.
  • Substrates 125 are generally transferred from the factory interface 102 through one of the load locks 110, 112 and transfer chamber 104 to one of the processing chambers 106, 108 for processing.
  • the factory interface 102 generally includes an interface robot 120, a substrate 180 and a plurality of bays 128 (three are shown). Each bay 128 is adapted to receive a substrate storage cassette 130 that holds a plurality of substrates 125.
  • the factory interface 102 is coupled to the load lock chambers 110, 112 through ports 136 that are positioned opposite the bays 128.
  • the interface robot 120 is generally positioned between the ports 136 and bays 128 to facilitate transfer of substrates between the cassettes 130, the aligner 180 and the load lock chambers 110, 112.
  • the factory interface robot 120 may be fixed within the factory interface 102 and configured to have a range of motion that permits substrate transfer between the cassettes 130, the aligner 180 and the load lock chambers 110, 112.
  • the interface robot 120 is movably disposed on a rail 190 disposed in the factory interface 102 parallel to the bays 128.
  • the interface robot 120 is moved along the rail 190 by an actuator (not shown) that allows the interface robot 120 to be positioned adjacent each bay 128 that decreases the range of motion required by the robot 120 to facilitate substrate transfer.
  • the interface robot 120 generally includes a blade 140 having a gripper used to secure the substrate 125 thereto during transfer.
  • the gripper utilizes a vacuum or a plurality of fingers that grip the substrate's edge to retain the substrate to the blade 140.
  • An example of one factory interface that may be used to advantage is described in United States Patent Application No. 09/161 ,970, filed September 28, 1998 by Kroeker, which is hereby incorporated by reference in its entirety.
  • Fig. 2 depicts one embodiment of the aligner 180.
  • the aligner 180 generally includes a turntable 202 for rotating the substrate 125 and a detection system 204 for detecting an indicia 214 of substrate orientation such as a notch or flat in the perimeter of the substrate.
  • the interface robot 120 places the substrate 125 on the turntable 202.
  • the turntable 202 is adapted to controllably rotate the substrate 125 and may include a retention means such as a vacuum chuck (not shown).
  • the detection system 204 includes an emitter 206 and a receiver 208.
  • the emitter 206 is typically positioned on the exterior of the factory interface 102.
  • the emitter 206 views the substrate through a window 210 disposed in the factory interface 102.
  • the window 210 is comprised of a material substantially transparent to a beam 212 generated by the emitter 206.
  • the beam 212 such as a light wave, passes through the window 210 and is sensed by the receiver 208 positioned in the interior of the factory interface 102.
  • the receiver 208 typically communicates with a controller 274 that is coupled to the turntable 202.
  • the controller 274 generally includes memory 276, support circuits 278 and a central processing unit (CPU) 280.
  • CPU central processing unit
  • Fig. 3A depicts one embodiment of the first load lock chamber 110.
  • the second load lock chamber 112 may be similarly configured.
  • the first load lock chamber 110 is generally used to facilitate transfer of the substrates 125 between the vacuum environment of the transfer chamber 104 and the environment of the factory interface 102 that is typically at or near atmospheric pressure.
  • the first load lock chamber 110 includes a body 302 having top 344, bottom 346 and sidewalls 308, 310.
  • a first port 304 and a second port 306 typically disposed through opposing sidewalls 308 and 310.
  • a substrate support hoop 312 is movably disposed within the body 302.
  • the hoop 312 has two sets of vertically stacked, substrate receiving flanges 314, 316, each configured to retain one substrate.
  • the first set of flanges 314 is typically utilized to pass substrates to the transfer chamber 104.
  • the second set of flanges 316 is disposed below the first flange set 314 and is typically utilized to pass substrates to the factory interface 102.
  • Two access slots 336 are disposed through the hoop 312 and align with the ports 304, 306 to placement and retrieval of the substrate from the flange sets 314, 316.
  • the hoop 312 is coupled to a lift mechanism 318 that selectively positions each flange 314, 316 vertically within the body 302 adjacent the ports 304, 306 to allow substrate transfer through the ports 304, 306.
  • a bellows 338 is sealingly coupled between the lift mechanism 318 and the chamber bottom 346 to prevent gas leak therebetween.
  • the body 302 generally includes a pumping port 320 typically disposed on the lower portion of the body 302.
  • the pumping port 320 is coupled to a pumping system (not shown) to evacuate the first load lock chamber 110 to a vacuum level substantially equal to the transfer chamber 104.
  • a vent 322 is typically disposed in the upper portion of the body 302 and is selectively opened to allow the pressure within the first load lock chamber 110 to rise to substantially equal the pressure within the factory interface 102.
  • the vent 322 is typically in communication with a particulate air filter 324 to prevent particulate contamination of the substrates within the load lock 110 while venting.
  • a diffuser 334 is coupled to the wall 308 to distribute the flow from the vent 322 uniformly across the substrates.
  • the first load lock chamber 110 may optionally include a heater module 328 and/or a heat exchanger 340.
  • the heater module 328 is typically coupled to the top 344 of the chamber body 304 and pre-heats the substrate before entry into the transfer chamber 104.
  • the heater module 328 includes a housing 348 having a radiant heat source 330 disposed therein.
  • the housing 348 typically at least partially covers a window 332 disposed in the top 344 of the body 304 thereby allowing the radiant heat source 330 to heat the substrate disposed in the first set of flanges 314.
  • the hoop 312 is elevated to place the substrate in close proximity to the lamp 330 to maximize the rate of heat transfer to the substrate.
  • the window 332 may be utilized as a view port in embodiments not utilizing a heater module 328.
  • the optional heat exchanger 340 is generally disposed on the bottom 346 of the chamber body 302.
  • the heat exchanger 340 may be utilized to heat or cool the substrates within the first load lock chamber 110.
  • the heat exchanger 340 includes a coil 348 that flows a heat transfer fluid through a plate 350 disposed on the chamber bottom 346.
  • the coil 342 is typically coupled to a fluid source (not shown) that regulates the temperature of the heat transfer fluid.
  • the heat exchanger 340 may be utilized to cool substrates disposed in the hoop 312 returning from the transfer chamber 104. Typically, the hoop 312 is lowered to place the substrate in close proximity to the plate 350 to maximize the rate of heat transfer from the substrate.
  • the first load lock chamber 110 is selectively isolated from the factory interface 102 and transfer chamber 104 by slit valves 326.
  • at least one of the slit valves 326 is maintained in a closed position to prevent loss of vacuum in the transfer chamber 104.
  • the slit valve 326 disposed adjacent the first port 304 may be opened while the slit valve 326 disposed adjacent the second port 306 is closed to allow the interface robot 120 to transfer a substrate through the port 136 between the first load lock chamber 110 and the substrate storage cassettes 130 disposed in the factory interface 102.
  • both slit valves 326 are closed and the first load lock chamber 110 evacuated through the pumping port 320 to a vacuum level substantially equal to that of the transfer chamber 104. Access between the evacuated load lock chamber 110 and the transfer chamber 104 is facilitated by opening the slit valve 326 adjacent the second port 306 while the slit valve 326 adjacent the first port 304 remains closed. A processed substrate is placed in the second flange set 316 and the hoop 312 is lowered and the substrate residing in the first flange set 314 is transferred into the transfer chamber 104.
  • the processed substrate in the second flange set 316 is returned to the factory interface 102 in the reverse manner, wherein first load lock chamber 110 is vented to substantially equalize the pressure between the load lock chamber 102 and the factory interface 102.
  • first load lock chamber 110 is vented to substantially equalize the pressure between the load lock chamber 102 and the factory interface 102.
  • Fig. 3B depicts another embodiment of a first load lock chamber 300.
  • the first load lock chamber 300 is configured similarly to the first load lock chamber 110 except that the first load lock chamber 300 includes a heat exchanger 370 disposed near the top 344 of the chamber body 302 and a heater module 380 coupled to the bottom 346 of the chamber body 302.
  • the heat exchanger 380 is generally utilized to heat or cool the substrates within the first load lock chamber 110.
  • the heat exchanger 380 includes a coil 382 that flows a heat transfer fluid through a plate 384 coupled or disposed through the chamber top 344.
  • the coil 382 is typically coupled to a fluid source (not shown) that regulates the temperature of the heat transfer fluid.
  • the heat exchanger 380 may be utilized to cool substrates disposed in the hoop 312 returning from the transfer chamber 104, particularly when it is desired to return process substrates in the upper first flange set 314.
  • the hoop 312 is elevated to place the substrate in close proximity to the plate 384 to maximize the rate of heat transfer from the substrate.
  • the heater module 370 is typically coupled to the bottom 346 of the chamber body 304 and is utilized to pre-heat substrate before entry into the transfer chamber 104.
  • the heater module 328 includes a substrate support pedestal 372 having a heat source 374 coupled thereto or disposed therein.
  • the heat source 374 may comprise a conduit for flowing a heat transfer fluid, a resistive heating element or a thermoelectric device.
  • the hoop 312 is lowered to place the substrate in close proximity or in contact with the support pedestal 372 to maximize the rate of heat transfer to the substrate.
  • the transfer chamber 104 is generally fabricated from a single piece of material such as aluminum.
  • the transfer chamber 104 generally includes a first side 114 having the load locks 110, 112 coupled thereto and a second side 116 disposed opposite the first side 114.
  • the transfer chamber 104 generally includes a first transfer area 120 and a second transfer area 122 separated by an interior wall 132 extending between the first side 114 and the second side 116.
  • the transfer areas 120, 122 are generally aligned in the transfer chamber 104 along a line 134 parallel to the second side 116 and perpendicular to a central axis 118 of the transfer chamber 104 and system 100.
  • the transfer chamber 118 is symmetrical about the center axis 118.
  • a first transfer robot 142 is disposed in the first transfer area 120 and a second transfer robot 144 is disposed in the second transfer area 122.
  • the first transfer area 120 is coupled to the first load lock chamber 110 via first passage 150 and to the first processing chamber 106 by a second passage 152.
  • the second transfer area 122 is coupled to the second load lock chamber 112 via third passage 154 and to the second processing chamber 108 by a fourth passage 156.
  • the first and second transfer areas 120, 122 are coupled to each other by a pass through 158 disposed in the interior wall 132 that allows substrates to be transferred between the first and second robots 142, 144.
  • the first passage 150 is orientated along a line 148, defined between a center 160 of the first transfer robot 142 and the center 162 of the first load lock chamber 110, along which the substrate moves during transfer between the load lock chamber 110 and transfer chamber 104.
  • the line 148 is disposed at an acute angle 146 with the centerline 118.
  • a line 164 similarly defines the third passage 154 and, together with the line 148, forms an acute angle 166 about the centerline 118 of the transfer chamber 104.
  • the acute angles of the first and third passages 150, 154 and the orientation of the load locks 110, 112 coupled with the configuration of the process chambers 106, 108 allow the length of the system 100 to be shortened without an increase in width provides a compact footprint and reduced cost of ownership.
  • the second passage 152 generally couples the first transfer area 122 and the first processing chamber 106 and is typically orientated parallel to the centerline 118 of the transfer chamber 104.
  • the fourth passage 156 disposed between the second transfer area 124 and the second processing chamber 108 is similarly oriented parallel to the centerline 118.
  • the pass through 158 couples the first and second transfer areas 122, 124 across the centerline 118. In one embodiment, the pass through 158 is positioned between the line 134 and second side 116 of the transfer chamber 104.
  • each processing chamber 106, 108 has a dedicated substrate transfer mechanism to ensure minimum dwell time between processing operations at each processing chamber. Additionally, having two transfer robots 142, 144 offers redundancy when substrates are processed in a parallel mode as the robots 142, 144 can feed both process chambers 106, 108 if only one of the load locks 110, 112 is operational.
  • the first and second transfer robots 142, 144 may be of the dual or single blade variety. Double blade robots include robots having side-by-side and over-under blade configurations.
  • the robots 142, 144 typically have a "frog-leg" linkage that is commonly used to transfer substrates in vacuum environments.
  • One robot that may be used to advantage is a VHP® substrate transfer robot available from Applied Materials, Inc., located in Santa Clara, California. Other configurations for the transfer robot may also be used, such as, for example, a polar-type configuration.
  • a polar robot that may used to advantage is described in United States Patent No. 09/547,189, filed April 11, 3000 by Ettinger, et al., which is incorporated herein in its entirety.
  • Fig. 4 depicts one embodiment of a first transfer robot 142.
  • the second transfer robot 144 may be similarly configured.
  • the first transfer robot 142 generally comprises a robot body 402 that is coupled by a linkage 404 to an end effector 406 that supports a substrate 125 (shown in phantom) thereon.
  • the end effector 406 may be configured to retain the substrate thereon in a desired manner, such as, for example, friction, electrostatically, vacuum chucking, clamping, edge gripping and the like.
  • the linkage 404 generally includes two wings 408 and two arms 412 coupled by elbows 410. Each wing 408 is additionally coupled to an electric motor (not shown) concentrically stacked within the robot body 402. Each arm 412 is coupled by a bushing 414 to a wrist 416. The bushings 414 are coupled by a gear or belt (not shown) to maintain the radial orientation of the end effector 406 independent of relative movement of each wing 408 and arms 412. The wrist 416 couples the linkage 404 to the end effector 406. Typically, the linkage 404 is fabricated from aluminum, however, other materials may also be utilized. [0040] Each wing 408 is independently controlled by one of the concentrically stacked motors.
  • the end effector 406 When the motors rotate in the same direction, the end effector 406 is rotated at an angle about the center 160 of the robot body 402 at a constant radius. When both of the motors are rotated in opposite directions, the linkage 404 accordingly expands or contracts, thus moving the end effector 406 radially inward or outward along an imaginary line 420 passing through the center 160 of the first transfer robot 142.
  • the first transfer robot 142 is also capable of a hybrid motion resulting from combining the radial and rotational motions simultaneously.
  • the end effector 406 is typically fabricated from aluminum, quartz, carbon, metal matrix or ceramic and configured to support a substrate with minimal sag. In the embodiment depicted in Fig.
  • the end effector 406 includes a base 428 having a first member 430 and a second member 432 extending therefrom.
  • the base 428 is coupled to the wrist 416 of the first transfer robot 142.
  • the first member 430 and the second member 432 are generally disposed in spaced-apart relation typically mirrored about the imaginary line 420.
  • the length and spacing between the first member 430 and the second member 432 is selected to adequately support the substrate during transfer and typically supports the substrate at or near an edge.
  • At least one connecting member 434 is coupled between the first member 430 and second member 432 to provide additional structural rigidity to the end effector 406. [0042] Referring back to Fig.
  • a pumping port 170 is typically disposed through the transfer chamber 104 and coupled to a vacuum pumping system (not shown).
  • the pumping port 170 is disposed in the pass through 158.
  • the central location of the pumping port 170 provides good conductance and assists in minimizing time required to pump down the transfer chamber 104 to a desired vacuum level. Additional and/or alternative positions of the pumping port 170 may be utilized.
  • a transfer platform 138 is typically disposed in the pass through 158 to facilitate transfer of substrates between the first transfer area 122 and the second transfer area 124.
  • the transfer platform 138 may be stationary and include a plurality of cut-outs to allow the robots 142, 144 to place and retrieve substrates from the transfer platform 138.
  • the transfer platform 138 is typically disposed on or near the center axis 118.
  • Fig. 5 depicts one embodiment of a transfer platform 138.
  • the transfer platform 138 generally includes a support body 516 coupled to the transfer chamber 104 by a support member 514.
  • the support member 514 is coupled to a lift mechanism 502 disposed on the exterior of the chamber 104.
  • the lift mechanism 502 generally controls the elevation of the support body 516 to facilitate substrate 125 transfer with the first and second transfer robots 142, 144.
  • a seal 512 generally prevents leakage around the support member 514.
  • the elevation of the transfer platform 138 may be fixed.
  • the support body 516 includes a plurality of radially extending arms 504.
  • the arms 504 are generally orientated to allow access to the substrate 125 seated on the transfer platform 138 by the transfer robots 142, 144 without contact the transfer platform 138.
  • Each arm 504 includes a substrate support pad 506 adapted to support the substrate 125 a distance 510 above the arm 504 to allow entry and egress of the end effector 406 of the transfer robots between the substrate seated on the pad 506 and the arm 504.
  • a retaining lip 508 circumscribes the outward edge of the pad 506. The circumscribing lip 508 generally centers and maintains the substrate seated on the transfer platform 138.
  • Fig. 6 depicts another embodiment of a transfer platform 600.
  • the transfer platform 600 is generally similar to the transfer platform 138 described above except the transfer platform 600 is adapted to orientate the substrate 125.
  • the transfer platform 600 includes a rotary actuator 602 and lift mechanism 604 which are adapted to control the elevation and angular orientation of the substrate 125 seated on the platform 600.
  • a support member 608 is coupled to a support body 606 that retains the substrate 125.
  • the rotary actuator 602 is coupled to the support member 608 thereby selectively allowing the support body 606 to be rotated about a central axis.
  • the lift mechanism 604 is coupled between the rotary actuator 602 and the chamber
  • the lift mechanism 604 moves the rotary actuator 602, support member 608 and body
  • a detection system 620 similar to the detection system 204 described above generally cooperates with the rotary actuator 602 to orientate the substrate 125.
  • the detection system 620 includes an emitter 622 and a receiver 624.
  • the emitter 622 is typically positioned on the exterior of the transfer chamber 104 proximate a window 626 comprised of a material substantially transparent to a beam 628 generated by the emitter 622.
  • the receiver 624 is positioned on the floor of the transfer chamber 104 and typically communicates with a controller as described above to selectively rotate the transfer platform
  • the ability of the transfer platform 600 to rotate the substrate 125 advantageously allows the substrate 125 to maintain its angular orientation in each process chamber 106, 108, independent of the path through the transfer chamber 104.
  • the ability to maintain the orientation of the substrate 125 within the system 100 allows identical process chambers to be utilized.
  • processing chambers 106, 108 are typically coupled to the second side 116 of the transfer chamber 104.
  • a slit valve (not shown) selectively seals each processing chamber 106, 108 from the transfer chamber 104.
  • processing chambers 106, 108 that may be utilized are etching chambers, physical vapor deposition chambers, chemical vapor deposition chambers, ion implantation chambers, lithography chambers and the like, all of which are available from Applied Materials, Inc, of Santa Clara,
  • the transfer platform 138 and twin robots 142, 144 provide versatile process sequencing which is advantageous for small scale substrate fabrication.
  • the processing chambers 106, 108 may be configured to perform different fabrication processes, for example, atomic layer deposition and bulk deposition thereby providing a processing sequence that forms a predefined structure, feature or layer upon the substrate's surface.
  • the processing chambers 106, 108 may be configured to perform identical fabrication steps.
  • the system 100 accordingly may be configured to perform parallel processing of the same or different processes.
  • the system 100 may be configured to process substrates sequentially between the process chambers 106, 108.
  • the processing chambers 106, 108 may be configured for synchronized processing in a parallel mode using process chambers similar to those utilized in ProducerTM Processing Systems.
  • Fig. 7 is a flow diagram of one embodiment of a process sequence 700 that may be practiced utilizing the processing chamber 100.
  • the process sequence 700 generally allows for parallel processing of multiple substrates in the process chambers 106, 108.
  • the process sequence begins with the transfer of a first substrate into the first load lock 110 at step 702.
  • a second substrate into the second load lock 112.
  • the first transfer robot 142 then transfers the first substrate to the first process chamber 106 at step 706.
  • the second transfer robot 144 then transfers the second substrate to the second process chamber 108 at step 708.
  • the transfer robots 142, 144 may be synchronized to provide substantially simultaneous processing of substrates within the process chambers 106, 108.
  • the first and second substrate are then processed in the process chambers 106, 108 at step 710.
  • a deposition process is performed.
  • Fig. 8 is a flow diagram of another embodiment of a process sequence 800 that may be practiced utilizing the processing chamber 100.
  • the process sequence 800 generally allows for sequential processing of substrates through the process chambers 106, 108.
  • the process sequence begins with the transfer of a substrate into the first load lock 110 at step 802.
  • the first transfer robot 142 transfers the substrate to the first process chamber 106.
  • the substrate is then processed in the first processing chamber 106 at step 806.
  • an atomic layer deposition is performed on the substrate at step 806.
  • a nucleation layer of tungsten or a layer of metal nitrides may be deposited using processes described in United States Patent Applications 60/305,646, filed July 16, 2001 ; 09/605,593, filed June 28, 2000; and 09/754,230, filed January 3, 2001 , all of which are hereby incorporated by reference in their entireties.
  • Step 808 generally includes transferring the substrate between the first and second transfer robot 142, 144 using a transfer platform 138.
  • the substrate may additionally be orientated using the transfer platform 138 as described above.
  • the second transfer robot 144 then transfers the substrate to the second process chamber 108.
  • the substrate is processed in the second process chamber 106.
  • a deposition process is performed, for example, a bulk tungsten deposition such as described in the previously incorporated United States Patent Applications 60/305,646 and 09/605,593. Other processes may alternatively be performed.
  • the substrate is transferred from the second process chamber 108 to the second load lock 112 at step 812

Abstract

A substrate processing system having a transfer chamber having two processing chambers and two load lock chambers coupled thereto is generally provided. The transfer chamber includes a body having a first transfer area and a second transfer area defined therein on either side of a center axis. A first passage couples one of the load locks with the first transfer area and a second passage couples the other one of the load locks with the second transfer area. The first passage and the second passage form an acute angle about the center axis. A transfer platform is disposed between the first transfer area and the second transfer area. A first transfer robot and a second transfer robot are disposed in the first and second transfer areas, respectively. Each robot is adapted to transfer substrates between the load locks, the transfer platform and a processing chamber.

Description

DUAL ROBOT PROCESSING SYSTEM
BACKGROUND OF THE DISCLOSURE
Field of Invention
[0001] Embodiments of the invention generally relate to a semiconductor processing system.
Background of Invention
[0002] Semiconductor substrate processing is typically performed by subjecting a substrate to a plurality of sequential processes to create devices, conductors and insulators on the substrate. These processes are generally performed in a processing chamber configured to perform a single step of the fabrication process. In order to efficiently complete the entire sequence of processing steps, a number of processing chambers are typically coupled to a central transfer chamber that houses a robot to facilitate transfer of the substrate between the surrounding processing chambers. A semiconductor processing system having this configuration is generally known as a cluster tool, examples of which are the families of PRODUCER™, CENTURA® and ENDURA® processing systems available from Applied Materials, Inc., located in Santa Clara, California.
[0003] Generally, a cluster tool consists of a central transfer chamber having one or more robots disposed therein. The transfer chamber is generally surrounded by one of more processing chambers and at least one load lock chamber to facilitate transfer of substrates into and out of the tool. The processing chambers are generally utilized to process the substrate, for example, performing various processing steps such as etching, physical vapor deposition, chemical vapor deposition, ion implantation, lithography and the like. Processes are typically performed in the processing chambers at vacuum pressures. The transfer chamber is maintained at vacuum pressure to avoid having to repeatedly pump down the processing chamber after each substrate transfer.
[0004] Although conventional cluster tools are generally desirable for large scale device fabrication, these systems have floor space requirements that make them impractical for l smaller scale production lines. For example, a cluster tool configured to provide single layer deposition utilizing a compact mainframe is generally not available. Such systems generally require only one or two processing chambers coupled to a transfer chamber. As transfer chambers known to the inventors are generally configured to accommodate more than two processing chambers, the utilization of only two chambers on such a transfer chamber, while leaving the remaining space for other processing chambers vacant, results in high tool costs and unnecessary consumption of floor space generally without providing maximized substrate processing throughput.
[0005] Therefore, there is a need for substrate processing system that provides high throughput and process flexibility in a compact design.
SUMMARY OF INVENTION
[0006] A substrate processing system having a transfer chamber having two processing chambers and two load lock chambers coupled thereto is generally provided. Two transfer robots are disposed in the transfer chamber and provide parallel or sequential processing of substrates between the processing chambers as desired.
[0007] In one embodiment, a substrate processing system includes two load lock chambers and two processing chambers coupled to a transfer chamber. The transfer chamber includes a body having a first transfer area and a second transfer area, one on either side of a center axis of the transfer chamber. A first passage couples one of the load locks with the first transfer area and a second passage couples the other load lock with the second transfer area. The first passage and the second passage form an acute angle with the center axis. A transfer platform is disposed between the first transfer area and the second transfer area. A first transfer robot and a second transfer robot are disposed in the first and second transfer areas, respectively. Each robot is adapted to transfer substrates between the load locks, the transfer platform and respective processing chambers.
[0008] In another embodiment, a substrate processing system includes a transfer chamber having two load lock chambers and two processing chambers coupled thereto. The transfer chamber includes a body having a first transfer area and a second transfer area defined therein on either side of a center axis. A first passage couples one of the load locks with the first transfer area and a second passage couples the other one of the load locks with the second transfer area. A transfer platform is disposed between the first transfer area and the second transfer area. The transfer platform is configured to orient a substrate seated thereon and may additionally include a lift mechanism for placing the substrate and transfer platform in a spaced- apart relationship to facilitate substrate transfer. A first transfer robot and a second transfer robot are disposed in the first and second transfer areas, respectively. Each robot is adapted to transfer substrates between the load locks, the transfer platform and respective processing chambers.
BRIEF DESCRIPTION OF DRAWINGS
[0009] A more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
[0010] Fig. 1 is a plan view of one embodiment of a substrate processing system of the present invention;
[0011] Fig. 2 illustrates one embodiment of an aligner disposed in the substrate processing system of Fig. 1 ;
[0012] Fig. 3A is a sectional view of one embodiment of a load lock chamber;
[0013] Fig. 3B is a sectional view of another embodiment of a load lock chamber;
[0014] Fig. 4 is a plan view of one embodiment of a transfer robot;
[0015] Fig. 5 is a perspective view of one embodiment of a transfer platform;
[0016] Fig. 6 is a sectional view of another embodiment of a transfer platform;
[0017] Fig. 7 is a flow diagram of one embodiment of a process sequence that may be practiced utilizing the processing system of Fig. 1 ; and
[0018] Fig. 8 is a flow diagram of another embodiment of a process sequence that may be practiced utilizing the processing system of Fig. 1.
[0019] To facilitate understanding, identical reference numerals have been used, wherever possible, to designate identical elements that are common to the figures.
DETAILED DESCRIPTION OF INVENTION
[0020] Fig. 1 depicts one embodiment of a substrate processing system 100 that generally includes a factory interface 102, a first load lock chamber 110, a second load lock chamber 112, a first processing chamber 106, a second processing chamber 108 and a transfer chamber 104. The processing chambers 106, 108 are coupled to the transfer chamber 104. The load lock chambers 110, 112 are coupled between the transfer chamber 104 and the factory interface 102. Substrates 125 are generally transferred from the factory interface 102 through one of the load locks 110, 112 and transfer chamber 104 to one of the processing chambers 106, 108 for processing. [0021] The factory interface 102 generally includes an interface robot 120, a substrate 180 and a plurality of bays 128 (three are shown). Each bay 128 is adapted to receive a substrate storage cassette 130 that holds a plurality of substrates 125. The factory interface 102 is coupled to the load lock chambers 110, 112 through ports 136 that are positioned opposite the bays 128.
[0022] The interface robot 120 is generally positioned between the ports 136 and bays 128 to facilitate transfer of substrates between the cassettes 130, the aligner 180 and the load lock chambers 110, 112. The factory interface robot 120 may be fixed within the factory interface 102 and configured to have a range of motion that permits substrate transfer between the cassettes 130, the aligner 180 and the load lock chambers 110, 112. In the embodiment depicted in Fig. 1 , the interface robot 120 is movably disposed on a rail 190 disposed in the factory interface 102 parallel to the bays 128. The interface robot 120 is moved along the rail 190 by an actuator (not shown) that allows the interface robot 120 to be positioned adjacent each bay 128 that decreases the range of motion required by the robot 120 to facilitate substrate transfer. Thus, a more compact robot design may be utilized (i.e., shorter linkages and smaller drives), which accordingly reduces the footprint of the system 100. The interface robot 120 generally includes a blade 140 having a gripper used to secure the substrate 125 thereto during transfer. The gripper utilizes a vacuum or a plurality of fingers that grip the substrate's edge to retain the substrate to the blade 140. An example of one factory interface that may be used to advantage is described in United States Patent Application No. 09/161 ,970, filed September 28, 1998 by Kroeker, which is hereby incorporated by reference in its entirety.
[0023] Fig. 2 depicts one embodiment of the aligner 180. The aligner 180 generally includes a turntable 202 for rotating the substrate 125 and a detection system 204 for detecting an indicia 214 of substrate orientation such as a notch or flat in the perimeter of the substrate. The interface robot 120 places the substrate 125 on the turntable 202. The turntable 202 is adapted to controllably rotate the substrate 125 and may include a retention means such as a vacuum chuck (not shown). In one embodiment, the detection system 204 includes an emitter 206 and a receiver 208. The emitter 206 is typically positioned on the exterior of the factory interface 102. The emitter 206 views the substrate through a window 210 disposed in the factory interface 102. The window 210 is comprised of a material substantially transparent to a beam 212 generated by the emitter 206. The beam 212, such as a light wave, passes through the window 210 and is sensed by the receiver 208 positioned in the interior of the factory interface 102. [0024] The receiver 208 typically communicates with a controller 274 that is coupled to the turntable 202. The controller 274 generally includes memory 276, support circuits 278 and a central processing unit (CPU) 280. When information regarding the orientation of the substrate 125 is desired, the controller 274 is prompted to retrieve a routine from the memory 276. The CPU 280 executes the routine and instructs the turntable 202 to rotate the substrate 125. While the substrate 125 is rotating, the beam 212 generated by the emitter 206 impinges on the receiver 208 when the indicia 214 is inline with the emitter 206 and receiver 208, which is generally indicative of the angular position of the indicia 214 and substrate. Utilizing the information provided by the receiver 208, the controller 274 may selectively rotate the turntable 202 to place the substrate 125 in a pre-determined rotational orientation. [0025] Fig. 3A depicts one embodiment of the first load lock chamber 110. The second load lock chamber 112 may be similarly configured. The first load lock chamber 110 is generally used to facilitate transfer of the substrates 125 between the vacuum environment of the transfer chamber 104 and the environment of the factory interface 102 that is typically at or near atmospheric pressure. The first load lock chamber 110 includes a body 302 having top 344, bottom 346 and sidewalls 308, 310. A first port 304 and a second port 306 typically disposed through opposing sidewalls 308 and 310. A substrate support hoop 312 is movably disposed within the body 302. The hoop 312 has two sets of vertically stacked, substrate receiving flanges 314, 316, each configured to retain one substrate. The first set of flanges 314 is typically utilized to pass substrates to the transfer chamber 104. The second set of flanges 316 is disposed below the first flange set 314 and is typically utilized to pass substrates to the factory interface 102. Two access slots 336 are disposed through the hoop 312 and align with the ports 304, 306 to placement and retrieval of the substrate from the flange sets 314, 316. The hoop 312 is coupled to a lift mechanism 318 that selectively positions each flange 314, 316 vertically within the body 302 adjacent the ports 304, 306 to allow substrate transfer through the ports 304, 306. A bellows 338 is sealingly coupled between the lift mechanism 318 and the chamber bottom 346 to prevent gas leak therebetween.
[0026] The body 302 generally includes a pumping port 320 typically disposed on the lower portion of the body 302. The pumping port 320 is coupled to a pumping system (not shown) to evacuate the first load lock chamber 110 to a vacuum level substantially equal to the transfer chamber 104. A vent 322 is typically disposed in the upper portion of the body 302 and is selectively opened to allow the pressure within the first load lock chamber 110 to rise to substantially equal the pressure within the factory interface 102. The vent 322 is typically in communication with a particulate air filter 324 to prevent particulate contamination of the substrates within the load lock 110 while venting. A diffuser 334 is coupled to the wall 308 to distribute the flow from the vent 322 uniformly across the substrates.
[0027] The first load lock chamber 110 may optionally include a heater module 328 and/or a heat exchanger 340. The heater module 328 is typically coupled to the top 344 of the chamber body 304 and pre-heats the substrate before entry into the transfer chamber 104. In one embodiment, the heater module 328 includes a housing 348 having a radiant heat source 330 disposed therein. The housing 348 typically at least partially covers a window 332 disposed in the top 344 of the body 304 thereby allowing the radiant heat source 330 to heat the substrate disposed in the first set of flanges 314. Typically, the hoop 312 is elevated to place the substrate in close proximity to the lamp 330 to maximize the rate of heat transfer to the substrate. Alternatively, the window 332 may be utilized as a view port in embodiments not utilizing a heater module 328.
[0028] The optional heat exchanger 340 is generally disposed on the bottom 346 of the chamber body 302. The heat exchanger 340 may be utilized to heat or cool the substrates within the first load lock chamber 110. In the embodiment depicted in Fig. 3A, the heat exchanger 340 includes a coil 348 that flows a heat transfer fluid through a plate 350 disposed on the chamber bottom 346. The coil 342 is typically coupled to a fluid source (not shown) that regulates the temperature of the heat transfer fluid. In one aspect, the heat exchanger 340 may be utilized to cool substrates disposed in the hoop 312 returning from the transfer chamber 104. Typically, the hoop 312 is lowered to place the substrate in close proximity to the plate 350 to maximize the rate of heat transfer from the substrate.
[0029] The first load lock chamber 110 is selectively isolated from the factory interface 102 and transfer chamber 104 by slit valves 326. Generally, at least one of the slit valves 326 is maintained in a closed position to prevent loss of vacuum in the transfer chamber 104. For example, the slit valve 326 disposed adjacent the first port 304 may be opened while the slit valve 326 disposed adjacent the second port 306 is closed to allow the interface robot 120 to transfer a substrate through the port 136 between the first load lock chamber 110 and the substrate storage cassettes 130 disposed in the factory interface 102. After the substrate 125 is loaded in the first flange set 314 of the hoop 312 from the interface robot 120, both slit valves 326 are closed and the first load lock chamber 110 evacuated through the pumping port 320 to a vacuum level substantially equal to that of the transfer chamber 104. Access between the evacuated load lock chamber 110 and the transfer chamber 104 is facilitated by opening the slit valve 326 adjacent the second port 306 while the slit valve 326 adjacent the first port 304 remains closed. A processed substrate is placed in the second flange set 316 and the hoop 312 is lowered and the substrate residing in the first flange set 314 is transferred into the transfer chamber 104. The processed substrate in the second flange set 316 is returned to the factory interface 102 in the reverse manner, wherein first load lock chamber 110 is vented to substantially equalize the pressure between the load lock chamber 102 and the factory interface 102. One load lock chamber that may be used to advantage is described in United States Patent Application Serial No. 09/609,897, filed July 16, 2001 by Kraus et al., and is hereby incorporated by reference in its entirety.
[0030] Fig. 3B depicts another embodiment of a first load lock chamber 300. Generally, the first load lock chamber 300 is configured similarly to the first load lock chamber 110 except that the first load lock chamber 300 includes a heat exchanger 370 disposed near the top 344 of the chamber body 302 and a heater module 380 coupled to the bottom 346 of the chamber body 302.
[0031] The heat exchanger 380 is generally utilized to heat or cool the substrates within the first load lock chamber 110. In the embodiment depicted in Fig. 3B, the heat exchanger 380 includes a coil 382 that flows a heat transfer fluid through a plate 384 coupled or disposed through the chamber top 344. The coil 382 is typically coupled to a fluid source (not shown) that regulates the temperature of the heat transfer fluid. In one aspect, the heat exchanger 380 may be utilized to cool substrates disposed in the hoop 312 returning from the transfer chamber 104, particularly when it is desired to return process substrates in the upper first flange set 314. Typically, the hoop 312 is elevated to place the substrate in close proximity to the plate 384 to maximize the rate of heat transfer from the substrate.
[0032] The heater module 370 is typically coupled to the bottom 346 of the chamber body 304 and is utilized to pre-heat substrate before entry into the transfer chamber 104. In one embodiment, the heater module 328 includes a substrate support pedestal 372 having a heat source 374 coupled thereto or disposed therein. The heat source 374 may comprise a conduit for flowing a heat transfer fluid, a resistive heating element or a thermoelectric device. Typically, the hoop 312 is lowered to place the substrate in close proximity or in contact with the support pedestal 372 to maximize the rate of heat transfer to the substrate. [0033] Returning to Fig. 1 , the transfer chamber 104 is generally fabricated from a single piece of material such as aluminum. The transfer chamber 104 generally includes a first side 114 having the load locks 110, 112 coupled thereto and a second side 116 disposed opposite the first side 114. The transfer chamber 104 generally includes a first transfer area 120 and a second transfer area 122 separated by an interior wall 132 extending between the first side 114 and the second side 116. The transfer areas 120, 122 are generally aligned in the transfer chamber 104 along a line 134 parallel to the second side 116 and perpendicular to a central axis 118 of the transfer chamber 104 and system 100. Typically, the transfer chamber 118 is symmetrical about the center axis 118.
[0034] A first transfer robot 142 is disposed in the first transfer area 120 and a second transfer robot 144 is disposed in the second transfer area 122. The first transfer area 120 is coupled to the first load lock chamber 110 via first passage 150 and to the first processing chamber 106 by a second passage 152. The second transfer area 122 is coupled to the second load lock chamber 112 via third passage 154 and to the second processing chamber 108 by a fourth passage 156. The first and second transfer areas 120, 122 are coupled to each other by a pass through 158 disposed in the interior wall 132 that allows substrates to be transferred between the first and second robots 142, 144.
[0035] The first passage 150 is orientated along a line 148, defined between a center 160 of the first transfer robot 142 and the center 162 of the first load lock chamber 110, along which the substrate moves during transfer between the load lock chamber 110 and transfer chamber 104. The line 148 is disposed at an acute angle 146 with the centerline 118. A line 164 similarly defines the third passage 154 and, together with the line 148, forms an acute angle 166 about the centerline 118 of the transfer chamber 104. The acute angles of the first and third passages 150, 154 and the orientation of the load locks 110, 112 coupled with the configuration of the process chambers 106, 108 allow the length of the system 100 to be shortened without an increase in width provides a compact footprint and reduced cost of ownership.
[0036] The second passage 152 generally couples the first transfer area 122 and the first processing chamber 106 and is typically orientated parallel to the centerline 118 of the transfer chamber 104. The fourth passage 156 disposed between the second transfer area 124 and the second processing chamber 108 is similarly oriented parallel to the centerline 118. The pass through 158 couples the first and second transfer areas 122, 124 across the centerline 118. In one embodiment, the pass through 158 is positioned between the line 134 and second side 116 of the transfer chamber 104.
[0037] Generally, two transfer robots 142, 144 are utilized so that each processing chamber 106, 108 has a dedicated substrate transfer mechanism to ensure minimum dwell time between processing operations at each processing chamber. Additionally, having two transfer robots 142, 144 offers redundancy when substrates are processed in a parallel mode as the robots 142, 144 can feed both process chambers 106, 108 if only one of the load locks 110, 112 is operational. The first and second transfer robots 142, 144 may be of the dual or single blade variety. Double blade robots include robots having side-by-side and over-under blade configurations. The robots 142, 144 typically have a "frog-leg" linkage that is commonly used to transfer substrates in vacuum environments. One robot that may be used to advantage is a VHP® substrate transfer robot available from Applied Materials, Inc., located in Santa Clara, California. Other configurations for the transfer robot may also be used, such as, for example, a polar-type configuration. One example of a polar robot that may used to advantage is described in United States Patent No. 09/547,189, filed April 11, 3000 by Ettinger, et al., which is incorporated herein in its entirety.
[0038] Fig. 4 depicts one embodiment of a first transfer robot 142. The second transfer robot 144 may be similarly configured. The first transfer robot 142 generally comprises a robot body 402 that is coupled by a linkage 404 to an end effector 406 that supports a substrate 125 (shown in phantom) thereon. The end effector 406 may be configured to retain the substrate thereon in a desired manner, such as, for example, friction, electrostatically, vacuum chucking, clamping, edge gripping and the like.
[0039] The linkage 404 generally includes two wings 408 and two arms 412 coupled by elbows 410. Each wing 408 is additionally coupled to an electric motor (not shown) concentrically stacked within the robot body 402. Each arm 412 is coupled by a bushing 414 to a wrist 416. The bushings 414 are coupled by a gear or belt (not shown) to maintain the radial orientation of the end effector 406 independent of relative movement of each wing 408 and arms 412. The wrist 416 couples the linkage 404 to the end effector 406. Typically, the linkage 404 is fabricated from aluminum, however, other materials may also be utilized. [0040] Each wing 408 is independently controlled by one of the concentrically stacked motors. When the motors rotate in the same direction, the end effector 406 is rotated at an angle about the center 160 of the robot body 402 at a constant radius. When both of the motors are rotated in opposite directions, the linkage 404 accordingly expands or contracts, thus moving the end effector 406 radially inward or outward along an imaginary line 420 passing through the center 160 of the first transfer robot 142. The first transfer robot 142 is also capable of a hybrid motion resulting from combining the radial and rotational motions simultaneously. [0041] The end effector 406 is typically fabricated from aluminum, quartz, carbon, metal matrix or ceramic and configured to support a substrate with minimal sag. In the embodiment depicted in Fig. 4, the end effector 406 includes a base 428 having a first member 430 and a second member 432 extending therefrom. The base 428 is coupled to the wrist 416 of the first transfer robot 142. The first member 430 and the second member 432 are generally disposed in spaced-apart relation typically mirrored about the imaginary line 420. The length and spacing between the first member 430 and the second member 432 is selected to adequately support the substrate during transfer and typically supports the substrate at or near an edge. At least one connecting member 434 is coupled between the first member 430 and second member 432 to provide additional structural rigidity to the end effector 406. [0042] Referring back to Fig. 1 , a pumping port 170 is typically disposed through the transfer chamber 104 and coupled to a vacuum pumping system (not shown). In one embodiment, the pumping port 170 is disposed in the pass through 158. The central location of the pumping port 170 provides good conductance and assists in minimizing time required to pump down the transfer chamber 104 to a desired vacuum level. Additional and/or alternative positions of the pumping port 170 may be utilized.
[0043] A transfer platform 138 is typically disposed in the pass through 158 to facilitate transfer of substrates between the first transfer area 122 and the second transfer area 124. The transfer platform 138 may be stationary and include a plurality of cut-outs to allow the robots 142, 144 to place and retrieve substrates from the transfer platform 138. The transfer platform 138 is typically disposed on or near the center axis 118.
[0044] Fig. 5 depicts one embodiment of a transfer platform 138. The transfer platform 138 generally includes a support body 516 coupled to the transfer chamber 104 by a support member 514. The support member 514 is coupled to a lift mechanism 502 disposed on the exterior of the chamber 104. The lift mechanism 502 generally controls the elevation of the support body 516 to facilitate substrate 125 transfer with the first and second transfer robots 142, 144. A seal 512 generally prevents leakage around the support member 514. Alternatively, when using transfer robots having elevation capability, the elevation of the transfer platform 138 may be fixed.
[0045] The support body 516 includes a plurality of radially extending arms 504. The arms 504 are generally orientated to allow access to the substrate 125 seated on the transfer platform 138 by the transfer robots 142, 144 without contact the transfer platform 138. Each arm 504 includes a substrate support pad 506 adapted to support the substrate 125 a distance 510 above the arm 504 to allow entry and egress of the end effector 406 of the transfer robots between the substrate seated on the pad 506 and the arm 504. A retaining lip 508 circumscribes the outward edge of the pad 506. The circumscribing lip 508 generally centers and maintains the substrate seated on the transfer platform 138.
[0046] Fig. 6 depicts another embodiment of a transfer platform 600. The transfer platform 600 is generally similar to the transfer platform 138 described above except the transfer platform 600 is adapted to orientate the substrate 125. The transfer platform 600 includes a rotary actuator 602 and lift mechanism 604 which are adapted to control the elevation and angular orientation of the substrate 125 seated on the platform 600. [0047] In the embodiment depicted in Fig. 6, a support member 608 is coupled to a support body 606 that retains the substrate 125. The rotary actuator 602 is coupled to the support member 608 thereby selectively allowing the support body 606 to be rotated about a central axis.
[0048] The lift mechanism 604 is coupled between the rotary actuator 602 and the chamber
104. The lift mechanism 604 moves the rotary actuator 602, support member 608 and body
602 to allow the transfer robots 142, 144 to place and retrieve substrates from the transfer platform 600.
[0049] A detection system 620 similar to the detection system 204 described above generally cooperates with the rotary actuator 602 to orientate the substrate 125. In one embodiment, the detection system 620 includes an emitter 622 and a receiver 624. The emitter 622 is typically positioned on the exterior of the transfer chamber 104 proximate a window 626 comprised of a material substantially transparent to a beam 628 generated by the emitter 622.
[0050] The receiver 624 is positioned on the floor of the transfer chamber 104 and typically communicates with a controller as described above to selectively rotate the transfer platform
600 to place the substrate 125 in a pre-determined rotational orientation.
[0051] The ability of the transfer platform 600 to rotate the substrate 125 advantageously allows the substrate 125 to maintain its angular orientation in each process chamber 106, 108, independent of the path through the transfer chamber 104. The ability to maintain the orientation of the substrate 125 within the system 100 allows identical process chambers to be utilized.
[0052] Returning to Fig. 1 , the processing chambers 106, 108 are typically coupled to the second side 116 of the transfer chamber 104. A slit valve (not shown) selectively seals each processing chamber 106, 108 from the transfer chamber 104. Examples of processing chambers 106, 108 that may be utilized are etching chambers, physical vapor deposition chambers, chemical vapor deposition chambers, ion implantation chambers, lithography chambers and the like, all of which are available from Applied Materials, Inc, of Santa Clara,
California.
[0053] The transfer platform 138 and twin robots 142, 144 provide versatile process sequencing which is advantageous for small scale substrate fabrication. For example, the processing chambers 106, 108 may be configured to perform different fabrication processes, for example, atomic layer deposition and bulk deposition thereby providing a processing sequence that forms a predefined structure, feature or layer upon the substrate's surface.
Alternatively, the processing chambers 106, 108 may be configured to perform identical fabrication steps. The system 100 accordingly may be configured to perform parallel processing of the same or different processes. Alternatively, the system 100 may be configured to process substrates sequentially between the process chambers 106, 108. Additionally, the processing chambers 106, 108 may be configured for synchronized processing in a parallel mode using process chambers similar to those utilized in Producer™ Processing Systems.
[0054] Fig. 7 is a flow diagram of one embodiment of a process sequence 700 that may be practiced utilizing the processing chamber 100. The process sequence 700 generally allows for parallel processing of multiple substrates in the process chambers 106, 108. Generally, the process sequence begins with the transfer of a first substrate into the first load lock 110 at step 702. Next at step 704, a second substrate into the second load lock 112. The first transfer robot 142 then transfers the first substrate to the first process chamber 106 at step 706. The second transfer robot 144 then transfers the second substrate to the second process chamber 108 at step 708. The transfer robots 142, 144 may be synchronized to provide substantially simultaneous processing of substrates within the process chambers 106, 108. The first and second substrate are then processed in the process chambers 106, 108 at step 710. Typically, a deposition process is performed.
[0055] After processing, the first transfer robot 142 transfers the first substrate back to the first load lock chamber 110 at step 712. Likewise, the second transfer robot 144 transfers the second substrate back to the second load lock chamber 112 at step 714. [0056] Fig. 8 is a flow diagram of another embodiment of a process sequence 800 that may be practiced utilizing the processing chamber 100. The process sequence 800 generally allows for sequential processing of substrates through the process chambers 106, 108. Generally, the process sequence begins with the transfer of a substrate into the first load lock 110 at step 802. Next at step 804, the first transfer robot 142 transfers the substrate to the first process chamber 106. The substrate is then processed in the first processing chamber 106 at step 806. [0057] In one embodiment of the sequence 800, an atomic layer deposition is performed on the substrate at step 806. For example, a nucleation layer of tungsten or a layer of metal nitrides, among other materials, may be deposited using processes described in United States Patent Applications 60/305,646, filed July 16, 2001 ; 09/605,593, filed June 28, 2000; and 09/754,230, filed January 3, 2001 , all of which are hereby incorporated by reference in their entireties.
[0058] After the substrate has been processed in the first chamber 106, the substrate is transferred to the second process chamber 108 at step 808. Step 808 generally includes transferring the substrate between the first and second transfer robot 142, 144 using a transfer platform 138. The substrate may additionally be orientated using the transfer platform 138 as described above. The second transfer robot 144 then transfers the substrate to the second process chamber 108. At step 810, the substrate is processed in the second process chamber 106. Typically, a deposition process is performed, for example, a bulk tungsten deposition such as described in the previously incorporated United States Patent Applications 60/305,646 and 09/605,593. Other processes may alternatively be performed. After processing, the substrate is transferred from the second process chamber 108 to the second load lock 112 at step 812
[0059] Although the teachings of the present invention that have been shown and described in detail herein, those skilled in the art can readily devise other varied embodiments that still incorporate the teachings and do not depart from the scope and spirit of the invention.

Claims

What is claimed is:
A substrate processing system comprising: a transfer chamber comprising: a body; a first transfer area defined within the body; a second transfer area defined within the body and separated from the first transfer area by a center axis of the body; a first passage coupling a first side of the body and the first transfer area; a second passage coupling the first side of the body and the second transfer area, the first passage and the second passage forming an acute angle about the center axis; a transfer platform disposed between the first transfer area and the second transfer area; a first load lock chamber fluidly coupled to the first passage; a second load lock chamber fluidly coupled to the second passage; a first processing chamber coupled to a second side of the transfer chamber; a second processing chamber coupled to the second side of the transfer chamber on a side of the center axis opposite the first processing chamber; a first transfer robot disposed in the first transfer area and adapted to transfer substrates between the first load lock, the transfer platform and the first processing chamber; and a second transfer robot disposed in the second transfer area and adapted to transfer substrates between the second load lock, the transfer platform and the second processing chamber.
2. The processing system of claim 1 , wherein the first transfer robot and the second transfer robot are aligned along a line perpendicular to the center axis.
3. The processing system of claim 2, wherein the transfer platform is disposed between the transfer robots and the second side of the transfer chamber.
4. The processing system of claim 1 , wherein the transfer platform is located on the center axis.
5. The processing system of claim 1, wherein the transfer chamber further comprises an interior wall separating the first transfer area from the second transfer area.
6. The processing system of claim 5, wherein the interior wall further comprises a pass through having a pumping port positioned therein.
7. The processing system of claim 1 further comprising: a factory interface coupled to the first and the second load lock chambers.
8. The processing system of claim 7, wherein the factory interface further comprises an enclosure, a transfer robot disposed in the enclosure and a plurality of bays, each adapted to accept a substrate storage cassette.
9. The processing system of claim 8, wherein the interface robot is movably disposed on a rail positioned in the enclosure.
10. The processing system of claim 1 , wherein the first load lock chamber further comprises a substrate support hoop movably disposed therein having two vertically stacked substrate receiving slots.
11. The processing system of claim 1, wherein the first processing chamber is a chemical vapor deposition chamber.
12. The processing system of claim 1 , wherein the first processing chamber is an atomic layer deposition chamber.
13. The processing system of claim 1 , wherein the transfer platform is fixed in a stationary position.
14. The processing system of claim 1 , wherein the transfer platform is coupled to a lift mechanism.
15. The processing system of claim 1 , wherein the transfer platform rotates.
16. The processing system of claim 1 , wherein the transfer platform further comprises a substrate support rotatably coupled to a lift mechanism.
17. The processing system of claim 1 , wherein the transfer platform is symmetrical about the center axis.
18. A substrate processing system comprising: a transfer chamber comprising: a body; a first transfer area defined within the body; a second transfer area defined within the body and separated from the first transfer area by a center axis of the body; a first passage coupling a first side of the body and the first transfer area; a second passage coupling the first side of the body and the second transfer area; a transfer platform disposed between the first transfer area and the second transfer area, the transfer platform comprising: a rotatable support surface adapted to support a substrate; a support member having the support surface disposed thereon; a first load lock chamber fluidly coupled to the first passage; a second load lock chamber fluidly coupled to the second passage; a first processing chamber coupled to the transfer chamber; a second processing chamber coupled to the transfer chamber on a side of the center axis opposite the first processing chamber; a first transfer robot disposed in the first transfer area and adapted to transfer substrates between the first load lock, the transfer platform and the first processing chamber; and a second transfer robot disposed in the second transfer area and adapted to transfer substrates between the second load lock, the transfer platform and the second processing chamber.
19. The processing system of claim 18, wherein the first transfer robot and the second transfer robot aligned along a line perpendicular to the center axis.
20. The processing system of claim 19, wherein the transfer platform is disposed between the transfer robots and the second side.
21. The processing system of claim 18, wherein the transfer platform is disposed on the center axis.
22. The processing system of claim 18, wherein the transfer chamber further comprises an interior wall separating the first transfer area from the central transfer area.
23. The processing system of claim 22, wherein the interior wall further comprises a pass through having the transfer platform disposed therein.
24. The processing system of claim 18 further comprising: a factory interface coupled to the first and the second load lock chambers.
25. The processing system of claim 24, wherein the factory interface further comprises a transfer robot and a plurality of substrate storage cassettes.
26. The processing system of claim 25, wherein the interface robot is movably disposed on a rail orientated perpendicular to the center axis.
27. The processing system of claim 18, wherein the first load lock chamber further comprises a substrate support hoop movably disposed therein having two vertically stacked substrate receiving slots.
28. The processing system of claim 18, wherein the first processing chamber is a chemical vapor deposition chamber.
29. The processing system of claim 18, wherein the first processing chamber is an atomic layer deposition chamber.
30. The processing system of claim 18, wherein the transfer platform is coupled to a lift mechanism.
31. The processing system of claim 18, wherein the transfer platform further comprises: a plurality of lift pins; a lift plate selectively disposed between the lift pins and a bottom of the transfer chamber; and a lift mechanism coupled to the lift plate.
32. The processing system of claim 18, wherein the first passage and the second passage of the transfer chamber form an acute angle about the center axis.
33. The processing system of claim 18, wherein the transfer platform is symmetrical about the center axis.
34. A substrate processing system comprising: a transfer chamber comprising: a body; a first transfer area defined within the body; a second transfer area defined within the body and separated from the first transfer area by a center axis of the body; a first passage coupling a first side of the body and the first transfer area; a second passage coupling the first side of the body and the second transfer area; a transfer platform disposed between the first transfer area and the second transfer area, the transfer platform comprising: a rotatable support surface adapted to support a substrate; a plurality of lift pins; a lift plate selectively contacting the lift pins; a support member having the support surface disposed thereon; and; a lift mechanism coupled to the support member or lift plate actuatable between a first position and a second position that moves the lift pins relative to the support surface; a first load lock chamber fluidly coupled to the first passage; a second load lock chamber fluidly coupled to the second passage; a first processing chamber coupled to the transfer chamber; a second processing chamber coupled to the transfer chamber on a side of the center axis opposite the first processing chamber; a first transfer robot disposed in the first transfer area and adapted to transfer substrates between the first load lock, the transfer platform and the first processing chamber; and a second transfer robot disposed in the second transfer area and adapted to transfer substrates between the second load lock, the transfer platform and the second processing chamber.
35. The processing system of claim 34, wherein the first passage and the second passage of the transfer chamber form an acute angle about the center axis.
36. A substrate processing system comprising: a transfer chamber comprising: a body; a first transfer area defined within the body; a second transfer area defined within the body and separated from the first transfer area by a center axis of the body; a first passage coupling a first side of the body and the first transfer area; a second passage coupling the first side of the body and the second transfer area, the first passage and the second passage forming an acute angle about the center axis; a transfer platform disposed on the center axis between the first transfer area and the second transfer area; a first load lock chamber fluidly coupled to the first passage; a second load lock chamber fluidly coupled to the second passage; a first processing chamber coupled to the transfer chamber; a second processing chamber coupled to the transfer chamber on a side of the center axis opposite the first processing chamber; a first transfer robot disposed in the first transfer area and adapted to transfer substrates between the first load lock, the transfer platform and the first processing chamber; and a second transfer robot disposed in the second transfer area and adapted to transfer substrates between the second load lock, the transfer platform and the second processing chamber, the second transfer robot and the first transfer robot aligned along a line perpendicular to the center axis.
37. The processing system of claim 36, wherein the transfer chamber further comprises: an interior wall separating the first transfer area from the central transfer area; and a pass through disposed through the interior wall and having the transfer platform disposed therein.
38. The processing system of claim 36 further comprising: a factory interface coupled to the first and the second load lock chambers.
39. The processing system of claim 38, wherein the factory interface further comprises a transfer robot and a plurality of substrate storage cassettes.
40. The processing system of claim 39, wherein the interface robot is movably disposed on a rail orientated perpendicular to the center axis.
41. The processing system of claim 36, wherein the first load lock chamber further comprises a substrate support hoop movably disposed therein having two vertically stacked substrate receiving slots.
42. The processing system of claim 36, wherein the first processing chamber is a chemical vapor deposition chamber.
43. The processing system of claim 36, wherein the first processing chamber is an atomic layer deposition chamber.
44. The processing system of claim 36, wherein the transfer platform or a support surface disposed thereon rotates.
45. A substrate processing system comprising: a transfer chamber comprising: a body; a first transfer area defined within the body; a second transfer area defined within the body and separated from the first transfer area by a center axis of the body; a first passage coupling a first side of the body and the first transfer area; a second passage coupling the first side of the body and the second transfer area, the first passage and the second passage forming an acute angle about the center axis; a transfer platform disposed between the first transfer area and the second transfer area, the transfer platform comprising: a rotatable support surface adapted to support a substrate; a plurality of lift pins; a lift plate selectively contacting the lift pins; a support member having the support surface disposed thereon; and; a lift mechanism coupled to the support member or lift plate actuatable between a first position and a second position that moves the lift pins relative to the support surface; a first load lock chamber fluidly coupled to the first passage; a second load lock chamber fluidly coupled to the second passage; a first processing chamber coupled to the transfer chamber; a second processing chamber coupled to the transfer chamber on a side of the center axis opposite the first processing chamber; a first transfer robot disposed in the first transfer area and adapted to transfer substrates between the first load lock, the transfer platform and the first processing chamber; and a second transfer robot disposed in the second transfer area and adapted to transfer substrates between the second load lock, the transfer platform and the second processing chamber, the second transfer robot and the first transfer robot aligned along a line perpendicular to the center axis.
PCT/US2002/041721 2001-12-14 2002-12-13 Dual robot processing system WO2003052802A2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/017,039 2001-12-14
US10/017,039 US6729824B2 (en) 2001-12-14 2001-12-14 Dual robot processing system

Publications (2)

Publication Number Publication Date
WO2003052802A2 true WO2003052802A2 (en) 2003-06-26
WO2003052802A3 WO2003052802A3 (en) 2003-12-11

Family

ID=21780370

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2002/041721 WO2003052802A2 (en) 2001-12-14 2002-12-13 Dual robot processing system

Country Status (3)

Country Link
US (1) US6729824B2 (en)
TW (1) TW200301212A (en)
WO (1) WO2003052802A2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006020220A1 (en) * 2004-07-19 2006-02-23 Asm America, Inc. System for handling of wafers within a process tool
CN104183521A (en) * 2013-05-22 2014-12-03 株式会社安川电机 Substrate transfer robot, substrate transfer system, and method for detecting arrangement state of substrate

Families Citing this family (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0936198A (en) * 1995-07-19 1997-02-07 Hitachi Ltd Vacuum processor and semiconductor production line using the processor
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
TW442891B (en) * 1998-11-17 2001-06-23 Tokyo Electron Ltd Vacuum processing system
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
KR100407568B1 (en) * 2001-06-01 2003-12-01 삼성전자주식회사 Apparatus for processing semiconductor having foup index inside apparatus establishing area
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
WO2003030224A2 (en) * 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
WO2003065424A2 (en) 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6852644B2 (en) * 2002-11-25 2005-02-08 The Boc Group, Inc. Atmospheric robot handling equipment
WO2004064147A2 (en) * 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
JP2004282002A (en) * 2003-02-27 2004-10-07 Tokyo Electron Ltd Substrate treating apparatus and substrate treating method
US20040177813A1 (en) 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
EP1684951B1 (en) 2003-11-10 2014-05-07 Brooks Automation, Inc. System for handling workpieces in a vacuum-based semiconductor handling system
US8403613B2 (en) * 2003-11-10 2013-03-26 Brooks Automation, Inc. Bypass thermal adjuster for vacuum semiconductor processing
US20050205210A1 (en) * 2004-01-06 2005-09-22 Devine Daniel J Advanced multi-pressure workpiece processing
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US8668422B2 (en) * 2004-08-17 2014-03-11 Mattson Technology, Inc. Low cost high throughput processing platform
US7368368B2 (en) * 2004-08-18 2008-05-06 Cree, Inc. Multi-chamber MOCVD growth apparatus for high performance/high throughput
US20060167583A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Method and apparatus for on the fly positioning and continuous monitoring of a substrate in a chamber
US7585141B2 (en) * 2005-02-01 2009-09-08 Varian Semiconductor Equipment Associates, Inc. Load lock system for ion beam processing
US20090140174A1 (en) * 2005-03-30 2009-06-04 Panasonic Corporation Impurity Introducing Apparatus and Impurity Introducing Method
JP4860167B2 (en) * 2005-03-30 2012-01-25 東京エレクトロン株式会社 Load lock device, processing system, and processing method
JP4748649B2 (en) * 2005-04-15 2011-08-17 キヤノン株式会社 Drive device
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US20100270004A1 (en) * 2005-05-12 2010-10-28 Landess James D Tailored profile pedestal for thermo-elastically stable cooling or heating of substrates
JP2007005582A (en) * 2005-06-24 2007-01-11 Asm Japan Kk Substrate transfer apparatus and semiconductor substrate manufacturing apparatus mounted with the same
US7941039B1 (en) 2005-07-18 2011-05-10 Novellus Systems, Inc. Pedestal heat transfer and temperature control
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7464917B2 (en) * 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
TWI329135B (en) 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
JP2007242648A (en) * 2006-03-04 2007-09-20 Masato Toshima Substrate processing apparatus
US20070215049A1 (en) * 2006-03-14 2007-09-20 Applied Materials, Inc. Transfer of wafers with edge grip
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7695232B2 (en) * 2006-06-15 2010-04-13 Applied Materials, Inc. Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same
US7522968B2 (en) * 2006-07-10 2009-04-21 Applied Materials, Inc. Scheduling method for processing equipment
US20080051930A1 (en) * 2006-07-10 2008-02-28 Oh Hilario L Scheduling method for processing equipment
WO2008008727A2 (en) * 2006-07-10 2008-01-17 Applied Materials, Inc. Scheduling method for processing equipment
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US8920097B2 (en) * 2006-11-02 2014-12-30 Globalfoundries Singapore Pte. Ltd. Wafer handling system for a loadlock
US20080138178A1 (en) * 2006-12-06 2008-06-12 Axcelis Technologies,Inc. High throughput serial wafer handling end station
US7960297B1 (en) * 2006-12-07 2011-06-14 Novellus Systems, Inc. Load lock design for rapid wafer heating
US8821637B2 (en) 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
KR100887161B1 (en) * 2007-08-03 2009-03-09 주식회사 에이디피엔지니어링 Plasma treatment apparatus
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US8052419B1 (en) 2007-11-08 2011-11-08 Novellus Systems, Inc. Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation
TWI472882B (en) * 2008-05-06 2015-02-11 Novellus Systems Inc Photoresist stripping method and apparatus
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US8033771B1 (en) 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
JP5721132B2 (en) 2009-12-10 2015-05-20 オルボテック エルティ ソラー,エルエルシー Shower head assembly for vacuum processing apparatus and method for fastening shower head assembly for vacuum processing apparatus to vacuum processing chamber
US8911554B2 (en) * 2010-01-05 2014-12-16 Applied Materials, Inc. System for batch processing of magnetic media
JP5476171B2 (en) * 2010-03-16 2014-04-23 株式会社日立ハイテクノロジーズ Vacuum processing equipment
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
US10023954B2 (en) 2011-09-15 2018-07-17 Applied Materials, Inc. Slit valve apparatus, systems, and methods
CN104040710B (en) 2012-01-06 2017-11-28 诺发系统公司 Adaptive heat-transferring method and system for uniformly transfer heat
JP6024372B2 (en) * 2012-10-12 2016-11-16 Tdk株式会社 Substrate processing apparatus and substrate processing chamber module
JP2014086578A (en) * 2012-10-19 2014-05-12 Applied Materials Inc Orienter chamber
US10328580B2 (en) * 2013-08-09 2019-06-25 Persimmon Technologies Corporation Reduced footprint substrate transport vacuum platform
US9378992B2 (en) 2014-06-27 2016-06-28 Axcelis Technologies, Inc. High throughput heated ion implantation system and method
US9607803B2 (en) 2015-08-04 2017-03-28 Axcelis Technologies, Inc. High throughput cooled ion implantation system and method
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US10043693B1 (en) 2017-06-06 2018-08-07 Applied Materials, Inc. Method and apparatus for handling substrates in a processing system having a buffer chamber
US11574826B2 (en) * 2019-07-12 2023-02-07 Applied Materials, Inc. High-density substrate processing systems and methods
US11117265B2 (en) 2019-07-12 2021-09-14 Applied Materials, Inc. Robot for simultaneous substrate transfer
KR20220031700A (en) 2019-07-12 2022-03-11 어플라이드 머티어리얼스, 인코포레이티드 Robot for simultaneous board transfer
US11177048B2 (en) * 2019-11-20 2021-11-16 Applied Materials Israel Ltd. Method and system for evaluating objects

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5357115A (en) * 1991-03-01 1994-10-18 Tokyo Electron Limited Processing method for wafers
EP1107288A2 (en) * 1999-11-30 2001-06-13 Applied Materials, Inc. Substrate processing system and method
EP1146548A1 (en) * 1998-11-17 2001-10-17 Tokyo Electron Limited Vacuum processing system

Family Cites Families (211)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI118158B (en) 1999-10-15 2007-07-31 Asm Int Process for modifying the starting chemical in an ALD process
SE393967B (en) 1974-11-29 1977-05-31 Sateko Oy PROCEDURE AND PERFORMANCE OF LAYING BETWEEN THE STORAGE IN A LABOR PACKAGE
FI57975C (en) 1979-02-28 1980-11-10 Lohja Ab Oy OVER ANCHORING VIDEO UPDATE FOR AVAILABILITY
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
FI64878C (en) 1982-05-10 1984-01-10 Lohja Ab Oy KOMBINATIONSFILM FOER ISYNNERHET TUNNFILMELEKTROLUMINENSSTRUKTURER
JPS6065712A (en) 1983-09-20 1985-04-15 Toshiba Corp Formation of silicon oxide coating film
US5259881A (en) 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
JPH0766910B2 (en) 1984-07-26 1995-07-19 新技術事業団 Semiconductor single crystal growth equipment
GB2162207B (en) 1984-07-26 1989-05-10 Japan Res Dev Corp Semiconductor crystal growth apparatus
US5294286A (en) 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
US5250148A (en) 1985-05-15 1993-10-05 Research Development Corporation Process for growing GaAs monocrystal film
US4829022A (en) 1985-12-09 1989-05-09 Nippon Telegraph And Telephone Corporation Method for forming thin films of compound semiconductors by flow rate modulation epitaxy
US4917556A (en) 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4838983A (en) 1986-07-03 1989-06-13 Emcore, Inc. Gas treatment apparatus and method
US4767494A (en) 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
JPH0834180B2 (en) 1986-08-26 1996-03-29 セイコー電子工業株式会社 Method for growing compound semiconductor thin film
US5246536A (en) 1986-09-08 1993-09-21 Research Development Corporation Of Japan Method for growing single crystal thin films of element semiconductor
JPH0639357B2 (en) 1986-09-08 1994-05-25 新技術開発事業団 Method for growing element semiconductor single crystal thin film
JP2587623B2 (en) 1986-11-22 1997-03-05 新技術事業団 Epitaxial crystal growth method for compound semiconductor
JP2929291B2 (en) 1986-12-04 1999-08-03 セイコーインスツルメンツ株式会社 Method of manufacturing insulated gate field effect transistor
US5882165A (en) 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5923985A (en) 1987-01-05 1999-07-13 Seiko Instruments Inc. MOS field effect transistor and its manufacturing method
DE3704505A1 (en) 1987-02-13 1988-08-25 Leybold Ag INSERT UNIT FOR VACUUM SYSTEMS
JPH0812844B2 (en) 1987-03-27 1996-02-07 日本電気株式会社 (III) -Group V compound semiconductor and method for forming the same
JPH0727861B2 (en) 1987-03-27 1995-03-29 富士通株式会社 Method for growing group III compound semiconductor crystal
DE3721637A1 (en) 1987-06-30 1989-01-12 Aixtron Gmbh GAS INLET FOR A MULTIPLE DIFFERENT REACTION GAS IN REACTION VESSELS
US5348911A (en) 1987-06-30 1994-09-20 Aixtron Gmbh Material-saving process for fabricating mixed crystals
EP0297867B1 (en) 1987-07-01 1993-10-06 Nec Corporation A process for the growth of iii-v group compound semiconductor crystal on a si substrate
JPH0666274B2 (en) 1987-07-01 1994-08-24 日本電気株式会社 (III) -Method for forming group V compound semiconductor
FI81926C (en) 1987-09-29 1990-12-10 Nokia Oy Ab FOERFARANDE FOER UPPBYGGNING AV GAAS-FILMER PAO SI- OCH GAAS-SUBSTRATER.
DE3743938C2 (en) 1987-12-23 1995-08-31 Cs Halbleiter Solartech Process for atomic layer epitaxy growth of a III / V compound semiconductor thin film
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US5130269A (en) 1988-04-27 1992-07-14 Fujitsu Limited Hetero-epitaxially grown compound semiconductor substrate and a method of growing the same
ES2060622T3 (en) 1988-06-03 1994-12-01 Ibm METHOD FOR THE MANUFACTURE OF TC ELEVATED SUPERCONDUCTORS, WHICH HAVE A STRATIFIED STRUCTURE.
US4927670A (en) 1988-06-22 1990-05-22 Georgia Tech Research Corporation Chemical vapor deposition of mixed metal oxide coatings
US5234561A (en) 1988-08-25 1993-08-10 Hauzer Industries Bv Physical vapor deposition dual coating process
US4931132A (en) 1988-10-07 1990-06-05 Bell Communications Research, Inc. Optical control of deposition of crystal monolayers
US4923584A (en) * 1988-10-31 1990-05-08 Eaton Corporation Sealing apparatus for a vacuum processing system
US5019233A (en) * 1988-10-31 1991-05-28 Eaton Corporation Sputtering system
US5013683A (en) 1989-01-23 1991-05-07 The Regents Of The University Of California Method for growing tilted superlattices
US5200017A (en) 1989-02-27 1993-04-06 Hitachi, Ltd. Sample processing method and apparatus
JPH0824191B2 (en) 1989-03-17 1996-03-06 富士通株式会社 Thin film transistor
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
EP0413982B1 (en) 1989-07-27 1997-05-14 Junichi Nishizawa Impurity doping method with adsorbed diffusion source
JP2926798B2 (en) 1989-11-20 1999-07-28 国際電気株式会社 Continuous processing etching method and apparatus
EP0430274A3 (en) 1989-12-01 1993-03-24 Seiko Instruments Inc. Method of producing bipolar transistor
US5290748A (en) 1990-01-16 1994-03-01 Neste Oy Polymerization catalyst for olefines
US5338389A (en) 1990-01-19 1994-08-16 Research Development Corporation Of Japan Method of epitaxially growing compound crystal and doping method therein
JPH07105497B2 (en) 1990-01-31 1995-11-13 新技術事業団 Semiconductor device and manufacturing method thereof
DE9007506U1 (en) 1990-02-13 1992-02-20 Amazonen-Werke H. Dreyer Gmbh & Co Kg, 4507 Hasbergen, De
US5316615A (en) 1990-03-23 1994-05-31 International Business Machines Corporation Surfactant-enhanced epitaxy
JPH042699A (en) 1990-04-18 1992-01-07 Mitsubishi Electric Corp Growing of crystal
US5091320A (en) 1990-06-15 1992-02-25 Bell Communications Research, Inc. Ellipsometric control of material growth
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
DE4027628A1 (en) 1990-08-31 1992-03-05 Wolters Peter Fa DEVICE FOR CONTROLLING OR CONTROLLING LAEPP, HONING OR POLISHING MACHINES
US5483919A (en) 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5085885A (en) 1990-09-10 1992-02-04 University Of Delaware Plasma-induced, in-situ generation, transport and use or collection of reactive precursors
US5286296A (en) 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
US5705224A (en) 1991-03-20 1998-01-06 Kokusai Electric Co., Ltd. Vapor depositing method
US5316793A (en) 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5270247A (en) 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
US6001669A (en) 1991-09-09 1999-12-14 Philips Electronics North America Corporation Method for producing II-VI compound semiconductor epitaxial layers having low defects
JP2646905B2 (en) * 1991-09-13 1997-08-27 株式会社日立製作所 Vacuum processing apparatus and operating method thereof
US5311055A (en) 1991-11-22 1994-05-10 The United States Of America As Represented By The Secretary Of The Navy Trenched bipolar transistor structures
JP2987379B2 (en) 1991-11-30 1999-12-06 科学技術振興事業団 Method for epitaxial growth of semiconductor crystal
US5336324A (en) 1991-12-04 1994-08-09 Emcore Corporation Apparatus for depositing a coating on a substrate
US5256244A (en) 1992-02-10 1993-10-26 General Electric Company Production of diffuse reflective coatings by atomic layer epitaxy
US5480818A (en) 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5458084A (en) 1992-04-16 1995-10-17 Moxtek, Inc. X-ray wave diffraction optics constructed by atomic layer epitaxy
JP3129736B2 (en) 1992-05-22 2001-01-31 ミネソタ マイニング アンド マニュファクチャリング カンパニー II-VI laser diodes with quantum wells grown by atomic layer epitaxy and migration enhanced epitaxy
US5278435A (en) 1992-06-08 1994-01-11 Apa Optics, Inc. High responsivity ultraviolet gallium nitride detector
FI91422C (en) 1992-06-18 1994-06-27 Mikrokemia Oy Process and apparatus for supplying liquid reagents to a chemical reactor
JPH0750690B2 (en) 1992-08-21 1995-05-31 日本電気株式会社 Method and apparatus for epitaxial growth of semiconductor crystal using halide
JP3405466B2 (en) 1992-09-17 2003-05-12 富士通株式会社 Fluid switching valve and semiconductor device manufacturing apparatus
US5532511A (en) 1992-10-23 1996-07-02 Research Development Corp. Of Japan Semiconductor device comprising a highspeed static induction transistor
US5455072A (en) 1992-11-18 1995-10-03 Bension; Rouvain M. Initiation and bonding of diamond and other thin films
JPH06177349A (en) 1992-12-02 1994-06-24 Matsushita Electric Ind Co Ltd High density dram and manufacture thereof
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
JP3265042B2 (en) 1993-03-18 2002-03-11 東京エレクトロン株式会社 Film formation method
JP3124861B2 (en) 1993-03-24 2001-01-15 富士通株式会社 Thin film growth method and semiconductor device manufacturing method
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US5330610A (en) 1993-05-28 1994-07-19 Martin Marietta Energy Systems, Inc. Method of digital epilaxy by externally controlled closed-loop feedback
JPH0729897A (en) 1993-06-25 1995-01-31 Nec Corp Manufacture of semiconductor device
US6130147A (en) 1994-04-07 2000-10-10 Sdl, Inc. Methods for forming group III-V arsenide-nitride semiconductor materials
JP3181171B2 (en) 1994-05-20 2001-07-03 シャープ株式会社 Vapor phase growth apparatus and vapor phase growth method
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5486080A (en) * 1994-06-30 1996-01-23 Diamond Semiconductor Group, Inc. High speed movement of workpieces in vacuum processing
JP3008782B2 (en) 1994-07-15 2000-02-14 信越半導体株式会社 Vapor phase growth method and apparatus
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
US5641984A (en) 1994-08-19 1997-06-24 General Electric Company Hermetically sealed radiation imager
TW295677B (en) 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
US5730801A (en) 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
US5644128A (en) 1994-08-25 1997-07-01 Ionwerks Fast timing position sensitive detector
US6158446A (en) 1994-11-14 2000-12-12 Fsi International Ultra-low particle semiconductor cleaner
JPH08148431A (en) 1994-11-24 1996-06-07 Mitsubishi Electric Corp Mbe apparatus and gas branch-piping apparatus
FI97731C (en) 1994-11-28 1997-02-10 Mikrokemia Oy Method and apparatus for making thin films
FI100409B (en) 1994-11-28 1997-11-28 Asm Int Method and apparatus for making thin films
FI97730C (en) 1994-11-28 1997-02-10 Mikrokemia Oy Equipment for the production of thin films
JP3288200B2 (en) 1995-06-09 2002-06-04 東京エレクトロン株式会社 Vacuum processing equipment
KR100244041B1 (en) 1995-08-05 2000-02-01 엔도 마코토 Substrate processing apparatus
US5672054A (en) 1995-12-07 1997-09-30 Carrier Corporation Rotary compressor with reduced lubrication sensitivity
US6084302A (en) 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
FI107533B (en) 1996-04-03 2001-08-31 Fortum Oil & Gas Oy Functional surfaces for conducting chemical reactions and processes for their preparation
US5667592A (en) 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
US5788799A (en) 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
US6062798A (en) 1996-06-13 2000-05-16 Brooks Automation, Inc. Multi-level substrate processing apparatus
US5747113A (en) 1996-07-29 1998-05-05 Tsai; Charles Su-Chang Method of chemical vapor deposition for producing layer variation by planetary susceptor rotation
US5830270A (en) 1996-08-05 1998-11-03 Lockheed Martin Energy Systems, Inc. CaTiO3 Interfacial template structure on semiconductor-based material and the growth of electroceramic thin-films in the perovskite class
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JP2923753B2 (en) 1996-08-21 1999-07-26 工業技術院長 Method for forming group III atomic layer
KR100216542B1 (en) 1996-08-27 1999-08-16 정선종 Multi-target driving apparatus for pulse laser depositing system
FI100758B (en) 1996-09-11 1998-02-13 Planar Internat Oy Ltd Methods to Form a Luminescence Layer of ZnS: Mn for Thin Film Electroluminescence Components
US5835677A (en) 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
US5923056A (en) 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US6043177A (en) 1997-01-21 2000-03-28 University Technology Corporation Modification of zeolite or molecular sieve membranes using atomic layer controlled chemical vapor deposition
US6051286A (en) 1997-02-12 2000-04-18 Applied Materials, Inc. High temperature, high deposition rate process and apparatus for depositing titanium layers
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5855675A (en) 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
JPH10308283A (en) 1997-03-04 1998-11-17 Denso Corp El element and its manufacture
US5866795A (en) 1997-03-17 1999-02-02 Applied Materials, Inc. Liquid flow rate estimation and verification by direct liquid measurement
US6026762A (en) 1997-04-23 2000-02-22 Applied Materials, Inc. Apparatus for improved remote microwave plasma source for use with substrate processing systems
US5851849A (en) 1997-05-22 1998-12-22 Lucent Technologies Inc. Process for passivating semiconductor laser structures with severe steps in surface topography
US6140237A (en) 1997-06-16 2000-10-31 Chartered Semiconductor Manufacturing Ltd. Damascene process for forming coplanar top surface of copper connector isolated by barrier layers in an insulating layer
US5882413A (en) 1997-07-11 1999-03-16 Brooks Automation, Inc. Substrate processing apparatus having a substrate transport with a front end extension and an internal substrate buffer
US5904565A (en) 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
KR100385946B1 (en) 1999-12-08 2003-06-02 삼성전자주식회사 Method for forming a metal layer by an atomic layer deposition and a semiconductor device with the metal layer as a barrier metal layer, an upper electrode, or a lower electrode of capacitor
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (en) 1997-07-31 2000-10-16 윤종용 Integrate circuit device having buffer layer containing metal oxide stabilized by low temperature treatment and fabricating method thereof
JPH11135600A (en) * 1997-08-25 1999-05-21 Shibaura Mechatronics Corp Robot apparatus and treating apparatus
US5904569A (en) 1997-09-03 1999-05-18 National Semiconductor Corporation Method for forming self-aligned vias in multi-metal integrated circuits
US5801634A (en) 1997-09-08 1998-09-01 Sony Corporation Signal tower controller
KR100274603B1 (en) 1997-10-01 2001-01-15 윤종용 Method and apparatus for fabricating semiconductor device
US6110556A (en) 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
KR100252049B1 (en) 1997-11-18 2000-04-15 윤종용 The atomic layer deposition method for fabricating aluminum layer
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
KR100269328B1 (en) 1997-12-31 2000-10-16 윤종용 Method for forming conductive layer using atomic layer deposition process
US6117244A (en) 1998-03-24 2000-09-12 Applied Materials, Inc. Deposition resistant lining for CVD chamber
US6316098B1 (en) 1998-03-27 2001-11-13 Yissum Research Development Company Of The Hebrew University Of Jerusalem Molecular layer epitaxy method and compositions
KR100267885B1 (en) 1998-05-18 2000-11-01 서성기 Deposition apparatus
KR100282853B1 (en) 1998-05-18 2001-04-02 서성기 Apparatus for thin film deposition using cyclic gas injection
US6025627A (en) 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
FI105313B (en) 1998-06-03 2000-07-14 Planar Systems Oy Process for the preparation of thin film electroluminescence structures
NL1009327C2 (en) 1998-06-05 1999-12-10 Asm Int Method and device for transferring wafers.
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
JP2000031387A (en) 1998-07-14 2000-01-28 Fuji Electric Co Ltd Manufacture of dielectric thin film capacitor
KR100275738B1 (en) 1998-08-07 2000-12-15 윤종용 Method for producing thin film using atomatic layer deposition
KR20000013654A (en) 1998-08-12 2000-03-06 윤종용 Capacitor having an al2o3/aln mixed dielectric layer by using an atomic layer deposition and a manufacturing method thereof
KR100327105B1 (en) 1998-08-14 2002-03-09 오길록 High luminance-phosphor and method for fabricating the same
US6291876B1 (en) 1998-08-20 2001-09-18 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with composite atomic barrier film and process for making same
FI105643B (en) 1998-08-21 2000-09-15 Planar Systems Oy Thin-film electroluminescent device and method for its manufacture
KR20000022003A (en) 1998-09-10 2000-04-25 이경수 Method for forming three-components compound comprising metal and silicon
KR100273474B1 (en) 1998-09-14 2000-12-15 이경수 Gas supply apparatus of chemical vapor deposition apparatus
KR100331544B1 (en) 1999-01-18 2002-04-06 윤종용 Method for introducing gases into a reactor chamber and a shower head used therein
JP2995300B1 (en) 1999-02-03 1999-12-27 工業技術院長 Surface improvement method for machine element parts
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
KR100273473B1 (en) 1999-04-06 2000-11-15 이경수 Method for forming a thin film
JP2000299367A (en) * 1999-04-15 2000-10-24 Tokyo Electron Ltd Processing apparatus and transfer method of article to be processed
KR100347379B1 (en) 1999-05-01 2002-08-07 주식회사 피케이엘 Atomic layer deposition apparatus for depositing multi substrate
FI118342B (en) 1999-05-10 2007-10-15 Asm Int Apparatus for making thin films
JP2000340883A (en) 1999-05-27 2000-12-08 Fujitsu Ltd Multiwavelength oscillating optical semiconductor device
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
JP2000353666A (en) 1999-06-11 2000-12-19 Matsushita Electric Ind Co Ltd Semiconductor thin film and manufacture thereof
JP4726369B2 (en) 1999-06-19 2011-07-20 エー・エス・エムジニテックコリア株式会社 Chemical vapor deposition reactor and thin film forming method using the same
US6071808A (en) 1999-06-23 2000-06-06 Lucent Technologies Inc. Method of passivating copper interconnects in a semiconductor
AU6336700A (en) 1999-06-24 2001-01-09 Gadgil, Prasad Narhar Apparatus for atomic layer chemical vapor deposition
US6244811B1 (en) * 1999-06-29 2001-06-12 Lam Research Corporation Atmospheric wafer transfer module with nest for wafer transport robot
KR100319494B1 (en) 1999-07-15 2002-01-09 김용일 Apparatus for Deposition of thin films on wafers through atomic layer epitaxial process
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
DE10049257B4 (en) 1999-10-06 2015-05-13 Samsung Electronics Co., Ltd. Process for thin film production by means of atomic layer deposition
FI117942B (en) 1999-10-14 2007-04-30 Asm Int Process for making oxide thin films
JP5173098B2 (en) 1999-10-15 2013-03-27 エーエスエム インターナショナル エヌ.ヴェー. Conformal lining layer for damascene metallization
US6902763B1 (en) 1999-10-15 2005-06-07 Asm International N.V. Method for depositing nanolaminate thin films on sensitive surfaces
AU1088401A (en) 1999-10-15 2001-04-30 Asm Microchemistry Oy Deposition of transition metal carbides
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
TW468212B (en) 1999-10-25 2001-12-11 Motorola Inc Method for fabricating a semiconductor structure including a metal oxide interface with silicon
KR20010047128A (en) 1999-11-18 2001-06-15 이경수 Method of vaporizing a liquid source and apparatus used therefor
FI118804B (en) 1999-12-03 2008-03-31 Asm Int Process for making oxide films
KR100330749B1 (en) 1999-12-17 2002-04-03 서성기 Thin film deposition apparatus for semiconductor
FI118474B (en) 1999-12-28 2007-11-30 Asm Int Apparatus for making thin films
FI118343B (en) 1999-12-28 2007-10-15 Asm Int Apparatus for making thin films
JP4817210B2 (en) 2000-01-06 2011-11-16 東京エレクトロン株式会社 Film forming apparatus and film forming method
JP4776054B2 (en) 2000-02-04 2011-09-21 株式会社デンソー Thin film formation method by atomic layer growth
JP4362919B2 (en) 2000-02-04 2009-11-11 株式会社デンソー Deposition method by atomic layer epitaxial growth method
KR100378871B1 (en) 2000-02-16 2003-04-07 주식회사 아펙스 showerhead apparatus for radical assisted deposition
US6492283B2 (en) 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
JP4211185B2 (en) 2000-02-29 2009-01-21 株式会社デンソー Glass substrate storage jig for CVD and ALE equipment
AU2001245388A1 (en) 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
JP4556282B2 (en) 2000-03-31 2010-10-06 株式会社デンソー Organic EL device and method for manufacturing the same
TW496907B (en) 2000-04-14 2002-08-01 Asm Microchemistry Oy Method and apparatus of growing a thin film onto a substrate
FI117980B (en) 2000-04-14 2007-05-15 Asm Int A method of constructing a thin film on a substrate
KR100363088B1 (en) 2000-04-20 2002-12-02 삼성전자 주식회사 Method of manufacturing barrier metal layer using atomic layer deposition method
FI118805B (en) 2000-05-15 2008-03-31 Asm Int A method and configuration for introducing a gas phase reactant into a reaction chamber
JP2001328900A (en) 2000-05-15 2001-11-27 Denso Corp Method for forming thin film
KR100332313B1 (en) 2000-06-24 2002-04-12 서성기 Apparatus and method for depositing thin film on wafer
KR100332314B1 (en) 2000-06-24 2002-04-12 서성기 Reactor for depositing thin film on wafer
KR100444149B1 (en) 2000-07-22 2004-08-09 주식회사 아이피에스 ALD thin film depositin equipment cleaning method
KR100436941B1 (en) 2000-11-07 2004-06-23 주성엔지니어링(주) apparatus and method for depositing thin film
KR100434487B1 (en) 2001-01-17 2004-06-05 삼성전자주식회사 Shower head & film forming apparatus having the same
JP4680429B2 (en) 2001-06-26 2011-05-11 Okiセミコンダクタ株式会社 High speed reading control method in text-to-speech converter
TW539822B (en) 2001-07-03 2003-07-01 Asm Inc Source chemical container assembly
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
DE60214763T2 (en) * 2001-11-29 2007-09-06 Diamond Semiconductor Group LLC., Gloucester WAFER HANDLING DEVICE AND METHOD THEREFOR

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5357115A (en) * 1991-03-01 1994-10-18 Tokyo Electron Limited Processing method for wafers
EP1146548A1 (en) * 1998-11-17 2001-10-17 Tokyo Electron Limited Vacuum processing system
EP1107288A2 (en) * 1999-11-30 2001-06-13 Applied Materials, Inc. Substrate processing system and method

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006020220A1 (en) * 2004-07-19 2006-02-23 Asm America, Inc. System for handling of wafers within a process tool
CN104183521A (en) * 2013-05-22 2014-12-03 株式会社安川电机 Substrate transfer robot, substrate transfer system, and method for detecting arrangement state of substrate

Also Published As

Publication number Publication date
WO2003052802A3 (en) 2003-12-11
US6729824B2 (en) 2004-05-04
US20030113187A1 (en) 2003-06-19
TW200301212A (en) 2003-07-01

Similar Documents

Publication Publication Date Title
US6729824B2 (en) Dual robot processing system
US6558509B2 (en) Dual wafer load lock
EP0398365B1 (en) Multiple chamber staged-vacuum semiconductor wafer processing system
US8382088B2 (en) Substrate processing apparatus
KR101366651B1 (en) Methods and apparatus for extending the reach of a dual scara robot linkage
JP4300388B2 (en) Substrate cooling apparatus and substrate cooling method
US5667592A (en) Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
US6071055A (en) Front end vacuum processing environment
US20080008569A1 (en) Substrate transfer apparatus and substrate processing system using the same
US7717481B2 (en) High temperature robot end effector
EP0986825A1 (en) Carousel wafer transfer system
JPH0322057B2 (en)
US20200381276A1 (en) Multisubstrate process system
US20130039734A1 (en) Robot systems, apparatus, and methods adapted to process substrates in multiple tiers
WO2002089185A2 (en) Triple chamber load lock
US20050111936A1 (en) Multi-chamber system
US6896513B2 (en) Large area substrate processing system
TW202109716A (en) Robot for simultaneous substrate transfer
JP7326472B2 (en) Apparatus front-end module, assembly and method including multiple aligners
KR102058985B1 (en) Load station
WO2020251696A1 (en) Processing system for forming layers
US20220013383A1 (en) Substrate processing module and method of moving a workpiece
EP0244950B1 (en) Method and apparatus for handling and processing wafer-like materials
US20230212735A1 (en) Substrate processing system
US11646217B2 (en) Transfer apparatus and substrate-supporting member

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): CN JP KR SG

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR IE IT LU MC NL PT SE SK TR

121 Ep: the epo has been informed by wipo that ep was designated in this application
122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase

Ref country code: JP

WWW Wipo information: withdrawn in national office

Country of ref document: JP