WO2003033169A1 - Method of titanium and titanium nitride layer deposition - Google Patents

Method of titanium and titanium nitride layer deposition Download PDF

Info

Publication number
WO2003033169A1
WO2003033169A1 PCT/US2002/031371 US0231371W WO03033169A1 WO 2003033169 A1 WO2003033169 A1 WO 2003033169A1 US 0231371 W US0231371 W US 0231371W WO 03033169 A1 WO03033169 A1 WO 03033169A1
Authority
WO
WIPO (PCT)
Prior art keywords
titanium
hydrogen
plasma
nitrogen
titanium nitride
Prior art date
Application number
PCT/US2002/031371
Other languages
French (fr)
Inventor
Tong Zhang
Hyoung-Chan Ha
Jeong Soo Byun
Avgerinos Gelatos
Frederick C. Wu
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Publication of WO2003033169A1 publication Critical patent/WO2003033169A1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Definitions

  • the invention relates to a method of thin film deposition and, more particularly to a method of forming titanium and/or titanium nitride films.
  • a titanium and/or titanium nitride film is often used as a barrier layer to inhibit the diffusion of metals into regions underlying the barrier layer. These underlying regions include transistor gates, capacitor dielectric, semiconductor substrates, metal lines, and many other structures that appear in integrated circuits.
  • a barrier layer is often formed between the gate material (e. g., polysilicon) and the metal (e. g., aluminum) of the gate electrode.
  • the barrier layer inhibits the diffusion of the metal into the gate material. Such metal diffusion is undesirable because it potentially changes the characteristics of the transistor, rendering the transistor inoperable.
  • the Ti/TiN stack has also been used to provide contacts to the source and drain of a transistor.
  • a Ti layer deposited on a silicon (Si) substrate is converted to titanium silicide (TiSi x ), followed by TiN layer deposition and tungsten (W) plug formation.
  • TiSi x titanium silicide
  • the conversion of the Ti layer to TiSi x is desirable because the TiSi x forms a lower resistance contact to the silicon substrate then does the TiN layer.
  • the TiN layer also serves two additional functions: 1 ) preventing chemical attack of TiSi x by tungsten hexafluoride (WF 6 ) during W plug formation; and 2) acting as a glue layer to promote adhesion of the W plug.
  • WF 6 tungsten hexafluoride
  • Ti and/or TiN layers are typically formed using physical and/or chemical vapor deposition techniques.
  • a Ti/TiN combination barrier layer may be formed in a multiple chamber "cluster tool" by depositing a Ti film in one chamber followed by TiN film deposition in another chamber.
  • TiCI titanium tetrachloride
  • CVD e. g., under plasma conditions, Ti is formed when TiCI 4 reacts with hydrogen (H 2 ), and TiN is formed when TiCI reacts with nitrogen (N 2 )).
  • CVD e. g., under plasma conditions, Ti is formed when TiCI 4 reacts with hydrogen (H 2 ), and TiN is formed when TiCI reacts with nitrogen (N 2 )).
  • H 2 hydrogen
  • N 2 nitrogen
  • the Ti/TiN stack can peel off an underlying field oxide layer or exhibit a haze, which may result, for example, from TiCI 4 or other species arising from TiCU, chemically attacking the Ti film prior to TiN deposition.
  • Another reliability problem can occur for TiN films.
  • TiN films formed using CVD techniques at process temperatures greater than about 550 °C tend to have intrinsically high tensile stresses (e. g., tensile stress on the order of about 2 x 10 10 dyne/cm 2 for a film thickness of about 200 A). Since tensile forces increase with increasing film thicknesses, cracks can begin to develop in TiN films having thicknesses that exceed about 400 A.
  • thicker TiN films e. g., thicknesses above about 1500 A
  • tensile stresses e. g., tensile stress on the order of about 1-2 x 10 9 dyne/cm 2
  • these low tensile stress TiN films typically have a high Cl content (e. g., chlorine content greater than about 3 %).
  • a high chlorine content is undesirable because the chlorine may migrate from the Ti/TiN film stack into the contact region of, for example the source or drain of a transistor, which can increase the contact resistance of such contact region and potentially change the characteristics of the transistor. [0008] Therefore, a need exists in the art for a method of forming a reliable Ti and/or TiN films for integrated circuit fabrication.
  • the present invention relates to a method of forming a film structure
  • Ti titanium
  • TiN titanium nitride
  • the Ti film is formed by alternately depositing and then plasma treating thin films (less than about 100 A thick) of titanium.
  • TiN film is formed by alternately depositing and then plasma treating thin films (less than about 300 A thick) of titanium nitride.
  • the titanium film is formed using a plasma reaction of titanium tetrachloride (TiCI 4 ) and a hydrogen-containing gas.
  • the titanium nitride film is formed by thermally reacting titanium tetrachloride with a nitrogen-containing gas.
  • the plasma treatment step comprises a nitrogen/hydrogen-containing plasma.
  • a TiSi x film is formed by alternately depositing and then plasma treating thin films (less than about 100 A thick) of titanium formed on a silicon substrate.
  • the TiSi x is formed using, for example, a plasma reaction between titanium tetrachloride (TiCU) and a hydrogen-containing gas.
  • the plasma treatment step comprises a nitrogen/hydrogen-containing plasma.
  • FIG. 1 depicts a schematic illustration of an apparatus that can be used for the practice of this invention
  • FIGS. 2a-2e depict cross-sectional views of a substrate structure at different stages of integrated circuit fabrication incorporating a Ti/TiN film stack
  • FIG. 3 is a graph of the resistivity and sheet resistance uniformity of a
  • FIG. 4 is a graph of the film stress for a TiN film plotted as a function of the plasma treatment time; and [0017] FIGS. 5a-5b depict cross-sectional views of a capacitive structure at different stages of integrated circuit fabrication incorporating a TiN electrode.
  • FIG. 1 depicts a schematic illustration of a wafer processing system 10 that can be used to practice embodiments of the present invention.
  • the system 10 comprises a process chamber 100, a gas panel 130, a control unit 110, along with other hardware components such as power supplies 106 and vacuum pumps 102.
  • One example of the process chamber 100 is a TiN chamber which has previously been described in commonly-assigned U. S. patent application Serial No. 09/211 ,998, entitled “High Temperature Chemical Vapor Deposition Chamber", filed on December 14, 1998, which is herein incorporated by reference. The salient features of process chamber 100 are briefly described below.
  • the process chamber 100 generally houses a support pedestal 150, which is used to support a substrate such as a semiconductor wafer 190 within the process chamber 100.
  • the pedestal 150 can typically be moved in a vertical direction inside the chamber 100 using a displacement mechanism (not shown).
  • the semiconductor wafer 190 can be heated to some desired temperature prior to layer deposition.
  • the wafer support pedestal 150 is heated by an embedded heater 170.
  • the pedestal 150 may be resistively heated by applying an electric current from an AC power supply 106 to the heater element 170.
  • the wafer 190 is, in turn, heated by the pedestal 150, and can be maintained within a desired process temperature range of, for example, about 250 °C to about 750 °C.
  • a temperature sensor 172 such as a thermocouple, is also embedded in the wafer support pedestal 150 to monitor the temperature of the pedestal 150 in a conventional manner.
  • the measured temperature may be used in a feedback loop to control the electric current applied to the heater element 170 by the power supply 106, such that the wafer temperature can be maintained or controlled at a desired temperature which is suitable for the particular process application.
  • the pedestal 150 is optionally heated using radiant heat (not shown).
  • a vacuum pump 102 is used to evacuate the process chamber 100 and to help maintain the proper gas flows and pressure inside the chamber 100.
  • a showerhead 120, through which process gases are introduced into the chamber 100, is located above the wafer support pedestal 150.
  • a "dual-gas" showerhead 120 has two separate pathways or gas lines
  • a purge gas supply 104 may also provide a purge gas, for example, an inert gas, around the bottom of the pedestal 150, to minimize undesirable deposit formation on the backside of the pedestal 150.
  • the showerhead 120 and the wafer support pedestal 150 also form a pair of spaced apart electrodes. When an electric field is generated between these electrodes, the process gases introduced into the chamber 100 are ignited into a plasma 180.
  • the electric field can be generated, for example, by connecting the wafer support pedestal 150 to a source of radio frequency (RF) power (not shown) through a matching network (not shown).
  • RF radio frequency
  • the RF power source and matching network may be coupled to the showerhead 120, or coupled to both the showerhead 120 and the wafer support pedestal 150.
  • PECVD Plasma enhanced chemical vapor deposition
  • control unit 110 comprises a central processing unit (CPU) 112, support circuitry 114, and memories containing associated control software 116.
  • CPU central processing unit
  • control unit 110 is responsible for automated control of the numerous steps required for wafer processing - such as wafer transport, gas flow control, temperature control, chamber evacuation, and other steps.
  • the control unit 110 may be one of any form of general purpose computer processor that can be used in an industrial setting for controlling various chambers and sub-processors.
  • the computer processor may use any suitable memory, such as random access memory, read only memory, floppy disk drive, hard disk, or any other form of digital storage, local or remote.
  • Various support circuits may be coupled to the computer processor for supporting the processor in a conventional manner.
  • Software routines as required may be stored in the memory or executed by a second processor that is remotely located. Bi-directional communications between the control unit 110 and the various components of the system 10 are handled through numerous signal cables collectively referred to as signal buses 118, some of which are illustrated in FIG. 1.
  • FIGS. 2a-2e illustrate one preferred embodiment of the present invention in which Ti and TiN films are formed.
  • the substrate 200 refers to any workpiece upon which film processing is performed, and a substrate structure 250 is used to generally denote the substrate 200 as well as other material layers formed on the substrate 200.
  • the substrate 200 may be a silicon semiconductor wafer, or other material layer, which has been formed on the wafer.
  • FIG. 2a shows a cross-sectional view of a substrate structure 250, having a material layer 202 thereon.
  • the material layer 202 may be an oxide (e. g., silicon dioxide).
  • the material layer 202 has been conventionally formed and patterned to provide a contact hole 202H extending to the top surface 200T of the substrate 200.
  • a Ti film 204 is formed on the substrate structure 250. The Ti layer
  • Ti 204 is formed by depositing a Ti layer using, for example, plasma-enhanced decomposition of a gas mixture comprising a titanium compound such as titanium tetrachloride (TiCI ) and a hydrogen-containing compound.
  • TiCI titanium tetrachloride
  • the Ti film can be deposited in a process chamber 100 similar to that shown in FIG. 1.
  • the decomposition of the titanium compound may be performed at a substrate temperature of about 400°C to about 700°C, a chamber pressure of about 5 torr to about 30 torr, a titanium compound flow rate of about 50 mg/min and above, a hydrogen gas flow rate of about 2000 seem to about 4000 seem, an RF power of about 1 watt/cm 2 to about 3 watts/cm 2 , and a plate spacing of about 300 mils to about 500 mils.
  • Dilutant gases such as hydrogen (H 2 ), argon (Ar), helium (He), or combinations thereof may be added to the gas mixture.
  • the above deposition parameters provide a deposition rate for the titanium of about 1 A/sec to about 3 A/sec.
  • the deposited Ti film 204 also contacts a portion of the substrate 200 at the bottom 200T of the contact hole 202H. Due to the non-conformal nature of the plasma deposited Ti film 204, the sidewalls 202S of the contact hole 202H are typically covered by a much thinner film of titanium than is deposited on the bottom 200T of the contact hole 202H. The thickness of titanium deposited in the bottom 200T of the contact hole 202H may be controlled by the adjusting the process time. [0031] The titanium film is deposited to a thickness of less than about 100 A.
  • the titanium film is treated with a hydrogen/nitrogen-containing plasma.
  • the Ti film can be treated in a process chamber 100 similar to that shown in FIG. 1.
  • the titanium layer plasma treatment may be performed at a substrate temperature of about 450 °C to about 680 °C, a chamber pressure of about 5 torr to about 30 torr, a nitrogen/hydrogen gas flow ratio of about 0.1 to about 1 , an RF power of about 0.5 watts/cm 2 to about
  • Hydrogen (H 2 ), nitrogen (N 2 ), ammonia (NH 3 ), and hydrazine (N 2 H 4 ), among others, may be used for the nitrogen/hydrogen plasma.
  • Dilutant gases such as hydrogen (H 2 ), argon (Ar), helium (He), or combinations thereof may be added to the gas mixture.
  • the titanium film is plasma treated for about 5 seconds to about 60 seconds. [0032] After the titanium layer is plasma treated, another later of titanium is formed thereon and then plasma treated according to the process parameters detailed above. The alternating deposition/plasma treatment steps are preformed until a desired layer thickness is achieved.
  • a layer of TiSi x may be formed during the first plasma treatment step.
  • subsequent Ti depositions followed by plasma treatments with the H 2 /N 2 gases can result in the formation of a composite titanium/titanium nitride layer.
  • the titanium silicide thickness varies as a function of the plasma treatment time as well as the plasma treatment temperature.
  • the as-deposited plasma treated titanium layer when formed on silicon dioxide (Sj0 2 ) has a resistivity of less than about 70 ⁇ -cm, which is about 3 times smaller than the resistivity of films obtained using standard CVD processes (typically about 200 ⁇ -cm). Additionally, the as-deposited Ti layers have better sheet resistance uniformity across the deposited film.
  • a TiN layer 208 is deposited in the contact hole 202H, as illustrated in FIG. 2b.
  • the TiN film 208 can be formed, for example, by CVD using a reaction of TiCI and NH 3 in the chamber 100 of FIG. 1.
  • helium (He) and nitrogen (N 2 ) are introduced into the chamber 100, along with TiCI 4 , via one pathway (gas line) of the showerhead 120.
  • NH 3 along with N 2 , is introduced into the chamber 100 via the second pathway of the showerhead 120.
  • He and argon (Ar), or other inert gases may also be used, either singly or in combination (i.
  • a bottom inert gas purge flow (e. g., Ar) of about 500 seem is also established through a separate gas line and gas supply 104 provided at the bottom of the chamber 100.
  • the reaction can be performed at a TiCI 4 flow rate of about
  • a total pressure range of about 5 torr to about 30 torr and a pedestal temperature between about 400 °C to about 700 °C may be used.
  • the above deposition parameters provide a deposition rate for the titanium nitride of about 5 A/sec to about 13 A/sec.
  • the titanium nitride film is deposited to a thickness of less than about
  • the titanium nitride film is treated with a hydrogen/nitrogen- containing plasma.
  • the TiN film can be treated in a process chamber 100 similar to that shown in FIG. 1.
  • the titanium nitride layer plasma treatment may be performed at a substrate temperature of about 400 °C to about 700 °C, a chamber pressure of about 5 torr to about 30 torr, a nitrogen/hydrogen gas flow ratio of about 0.1 to about 1 , an RF power of about 0.5 watts/cm 2 to about 10 watts/cm 2 , and a plate spacing of about 300 mils to about 500 mils.
  • Hydrogen (H 2 ), nitrogen (N 2 ), ammonia (NH 3 ), and hydrazine (N 2 H ), among others, may be used for the nitrogen/hydrogen plasma.
  • Dilutant gases such as hydrogen (H 2 ), argon (Ar), helium (He), or combinations thereof may be added to the gas mixture.
  • the titanium nitride film is plasma treated for about 5 seconds to about 60 seconds. [0037] After the titanium nitride layer is plasma treated, another layer of titanium nitride is formed thereon and then plasma treated according to the process parameters detailed above. The alternating deposition/plasma treatment steps are preformed until a desired layer thickness is achieved.
  • Fig. 3 is a graph of the resistivity and sheet resistance uniformity plotted as a function of the plasma treatment time.
  • an as-deposited plasma treated titanium nitride layer having a thickness of about 300 A has a resistivity of less than about 20 ⁇ -sq and a sheet resistance uniformity of 8-10 % as compared to a resistivity of about 75 ⁇ -sq and a sheet resistance uniformity of about 14 % for non-plasma treated layers.
  • Fig. 4 is a graph of the film stress plotted as a function of the plasma treatment time.
  • an as-deposited TiN layer having a thickness of about 300 A has reduced stress.
  • TiN layers formed using previous deposition processes typically have tensile stresses of about 3-8 x 10 9 dynes/cm 2 .
  • TiN layers formed according to the process conditions described herein have a compressive stress of about -1-3 x 10 9 dynes/cm 2 .
  • a tungsten (W) plug 210 is formed on the TiN layer 208 of FIG. 2b.
  • the W plug 210 may be formed from, for example, a reaction between WF 6 and H 2 . Adhesion of the W-plug layer is improved by the presence of the TiN layer 208.
  • a TiN layer deposited according to the process parameters described above can also be used to form a TiN-plug contact 208 on a Ti layer 204, as shown in FIGS. 2d-2e.
  • the TiN-plug contact 208 has good adhesion to Ti layer 204.
  • FIGS. 5a-5b illustrate schematic cross-sectional views of a substrate
  • substrate 300 may correspond to a silicon wafer, or other material layer that has been formed on the silicon wafer.
  • the substrate may have integrated circuit structures (not shown) such as logic gates formed on regions thereof.
  • FIG. 5a illustrates a cross-sectional view of a silicon substrate 300 having a material layer 302 formed thereon.
  • the material layer 302 may be an oxide (e. g., fluorosilicate glass (FSG), undoped silicate glass (USG), organosilicates) or a silicon carbide material.
  • Material layer 302 preferably has a low dielectric constant (e. g., dielectric constant less than about 5).
  • the thickness of material layer 302 is variable depending on the size of the structure to be fabricated. Typically, material layer 302 has a thickness of about 1 ,000 A to about 20,000 A.
  • Apertures 301 having widths less than about 0.5 ⁇ m (micrometer) wide and depths of about 0.5 ⁇ m to about 2 ⁇ m, providing aspect ratio structures in a range of about 1:1 to about 4:1 are formed therein.
  • a bottom electrode 308 is conformably deposited along the sidewalls and bottom surface of aperture 301.
  • the bottom electrode 308 is conformably deposited using conventional PVD or CVD techniques.
  • An example of a suitable electrode material is TaN, among others.
  • the thickness of the bottom electrode 308 is variable depending on the size of the structure to be fabricated/Typically, the bottom electrode 308 has a thickness of about 1 ,000 A to about 10,000 A.
  • Above the bottom electrode 308 is deposited a Ta 2 0 5 memory cell dielectric layer 310.
  • the Ta 2 O 5 memory cell dielectric layer 310 is conformably deposited using conventional CVD.
  • the thickness of the Ta 2 0 5 memory cell dielectric layer 310 is variable depending on the size of the structure to be fabricated. Typically, the Ta 2 0 5 memory cell dielectric layer 310 has a thickness of about 100 A to about 500 A.
  • the capacitive memory cell is completed by conformably depositing a TiN top electrode 312 on the Ta 2 0 5 memory cell dielectric layer 310.
  • the TiN top electrode 312 is conformably deposited using CVD techniques according to the process parameters described above.
  • the thickness of the TiN top electrode 312 is variable depending on the size of the structure to be fabricated. Typically, the TiN top electrode 312 has a thickness of about 1 ,000 A to about 10,000 A.

Abstract

A method of forming a film structure comprises titanium (204) and/or titanium nitride (208). The Titanium film structure (204) is formed by alternately depositing and then plasma treating thin films of titanium (204). The Titanium nitride structure (208) is formed by alternately depositing and then plasma treating thin films of titanium nitride (208). The titanium films(204) are formed using a plasma reaction of titanium tetrachloride and a hydrogen-containing gas. The titanium nitride films (208) are formed by thermally reacting titanium tetrachloride with a nitrogen-containing gas. The subsequent plasma treatment steps comprise a nitrogen/hydrogen-containing plasma.

Description

METHOD OF TITANIUM AND TITANIUM NITRIDE LAYER DEPOSITION
INVENTORS:
Tong Zhang
Hyoung-Chan Ha
Jeong Soo Byun
Avgerinos Gelatos
Frederick C. Wu
BACKGROUND OF THE DISCLOSURE
Field of the Invention
[0001] The invention relates to a method of thin film deposition and, more particularly to a method of forming titanium and/or titanium nitride films.
Description of the Background Art
[0002] In the manufacture of integrated circuits, a titanium and/or titanium nitride film is often used as a barrier layer to inhibit the diffusion of metals into regions underlying the barrier layer. These underlying regions include transistor gates, capacitor dielectric, semiconductor substrates, metal lines, and many other structures that appear in integrated circuits.
[0003] For example, when a gate electrode of a transistor is fabricated, a barrier layer is often formed between the gate material (e. g., polysilicon) and the metal (e. g., aluminum) of the gate electrode. The barrier layer inhibits the diffusion of the metal into the gate material. Such metal diffusion is undesirable because it potentially changes the characteristics of the transistor, rendering the transistor inoperable. A stack of titanium/titanium nitride (Ti/TiN) films, for example, is often used as a diffusion barrier.
[0004] The Ti/TiN stack has also been used to provide contacts to the source and drain of a transistor. For example, in a tungsten (W) plug process, a Ti layer deposited on a silicon (Si) substrate is converted to titanium silicide (TiSix), followed by TiN layer deposition and tungsten (W) plug formation. The conversion of the Ti layer to TiSix is desirable because the TiSix forms a lower resistance contact to the silicon substrate then does the TiN layer. In addition to being a barrier layer, the TiN layer also serves two additional functions: 1 ) preventing chemical attack of TiSix by tungsten hexafluoride (WF6) during W plug formation; and 2) acting as a glue layer to promote adhesion of the W plug.
[0005] Ti and/or TiN layers are typically formed using physical and/or chemical vapor deposition techniques. A Ti/TiN combination barrier layer may be formed in a multiple chamber "cluster tool" by depositing a Ti film in one chamber followed by TiN film deposition in another chamber. For example, titanium tetrachloride (TiCI ) may be reacted with different reactant gases to form both Ti and TiN films using CVD (e. g., under plasma conditions, Ti is formed when TiCI4 reacts with hydrogen (H2), and TiN is formed when TiCI reacts with nitrogen (N2)). [0006] However, when a TiCI4-based chemistry is used to form a Ti/TiN combination barrier layer, reliability problems can occur. In particular, if the Ti film thickness exceeds about 150 A, the Ti/TiN stack can peel off an underlying field oxide layer or exhibit a haze, which may result, for example, from TiCI4 or other species arising from TiCU, chemically attacking the Ti film prior to TiN deposition. [0007] Another reliability problem can occur for TiN films. TiN films formed using CVD techniques at process temperatures greater than about 550 °C, tend to have intrinsically high tensile stresses (e. g., tensile stress on the order of about 2 x 1010 dyne/cm2 for a film thickness of about 200 A). Since tensile forces increase with increasing film thicknesses, cracks can begin to develop in TiN films having thicknesses that exceed about 400 A. When the process temperatures are reduced below about 500 °C, thicker TiN films (e. g., thicknesses above about 1500 A) having lower tensile stresses (e. g., tensile stress on the order of about 1-2 x 109 dyne/cm2), without cracks can be produced. However, these low tensile stress TiN films typically have a high Cl content (e. g., chlorine content greater than about 3 %). A high chlorine content is undesirable because the chlorine may migrate from the Ti/TiN film stack into the contact region of, for example the source or drain of a transistor, which can increase the contact resistance of such contact region and potentially change the characteristics of the transistor. [0008] Therefore, a need exists in the art for a method of forming a reliable Ti and/or TiN films for integrated circuit fabrication.
SUMMARY OF THE INVENTION
[0009] The present invention relates to a method of forming a film structure
(e. g., film stack) comprising titanium (Ti) and/or titanium nitride (TiN) films. The Ti film is formed by alternately depositing and then plasma treating thin films (less than about 100 A thick) of titanium. The TiN film is formed by alternately depositing and then plasma treating thin films (less than about 300 A thick) of titanium nitride. [0010] The titanium film is formed using a plasma reaction of titanium tetrachloride (TiCI4) and a hydrogen-containing gas. The titanium nitride film is formed by thermally reacting titanium tetrachloride with a nitrogen-containing gas. The plasma treatment step comprises a nitrogen/hydrogen-containing plasma. [0011] Alternatively, a TiSix film is formed by alternately depositing and then plasma treating thin films (less than about 100 A thick) of titanium formed on a silicon substrate. The TiSix is formed using, for example, a plasma reaction between titanium tetrachloride (TiCU) and a hydrogen-containing gas. The plasma treatment step comprises a nitrogen/hydrogen-containing plasma.
BRIEF DESCRIPTION OF THE DRAWINGS
[0012] The teachings of the present invention can be readily understood by considering the following detailed description in conjunction with the accompanying drawings, in which:
[0013] FIG. 1 depicts a schematic illustration of an apparatus that can be used for the practice of this invention;
[0014] FIGS. 2a-2e depict cross-sectional views of a substrate structure at different stages of integrated circuit fabrication incorporating a Ti/TiN film stack;
[0015] FIG. 3 is a graph of the resistivity and sheet resistance uniformity of a
TiN film plotted as a function of the plasma treatment time;
[0016] FIG. 4 is a graph of the film stress for a TiN film plotted as a function of the plasma treatment time; and [0017] FIGS. 5a-5b depict cross-sectional views of a capacitive structure at different stages of integrated circuit fabrication incorporating a TiN electrode.
DETAILED DESCRIPTION
[0018] FIG. 1 depicts a schematic illustration of a wafer processing system 10 that can be used to practice embodiments of the present invention. The system 10 comprises a process chamber 100, a gas panel 130, a control unit 110, along with other hardware components such as power supplies 106 and vacuum pumps 102. One example of the process chamber 100 is a TiN chamber which has previously been described in commonly-assigned U. S. patent application Serial No. 09/211 ,998, entitled "High Temperature Chemical Vapor Deposition Chamber", filed on December 14, 1998, which is herein incorporated by reference. The salient features of process chamber 100 are briefly described below.
Chamber 100
[0019] The process chamber 100 generally houses a support pedestal 150, which is used to support a substrate such as a semiconductor wafer 190 within the process chamber 100. The pedestal 150 can typically be moved in a vertical direction inside the chamber 100 using a displacement mechanism (not shown). Depending on the specific process, the semiconductor wafer 190 can be heated to some desired temperature prior to layer deposition.
[0020] In chamber 100, the wafer support pedestal 150 is heated by an embedded heater 170. For example, the pedestal 150 may be resistively heated by applying an electric current from an AC power supply 106 to the heater element 170. The wafer 190 is, in turn, heated by the pedestal 150, and can be maintained within a desired process temperature range of, for example, about 250 °C to about 750 °C. A temperature sensor 172, such as a thermocouple, is also embedded in the wafer support pedestal 150 to monitor the temperature of the pedestal 150 in a conventional manner. For example, the measured temperature may be used in a feedback loop to control the electric current applied to the heater element 170 by the power supply 106, such that the wafer temperature can be maintained or controlled at a desired temperature which is suitable for the particular process application. The pedestal 150 is optionally heated using radiant heat (not shown). [0021] A vacuum pump 102 is used to evacuate the process chamber 100 and to help maintain the proper gas flows and pressure inside the chamber 100. A showerhead 120, through which process gases are introduced into the chamber 100, is located above the wafer support pedestal 150.
[0022] A "dual-gas" showerhead 120 has two separate pathways or gas lines
(not shown), which allow two gases to be separately introduced into the chamber 100 without pre-mixing. Details of the showerhead 120 have been disclosed in commonly-assigned U. S. patent application Serial No. 09/098,969, entitled "Dual Gas Faceplate for a Showerhead in a Semiconductor Wafer Processing System", filed June 16, 1998, which is herein incorporated by reference. [0023] The showerhead 120 is connected to a gas panel 130, which controls and supplies various gases used in different steps of the process sequence. During wafer processing, a purge gas supply 104 may also provide a purge gas, for example, an inert gas, around the bottom of the pedestal 150, to minimize undesirable deposit formation on the backside of the pedestal 150. [0024] The showerhead 120 and the wafer support pedestal 150 also form a pair of spaced apart electrodes. When an electric field is generated between these electrodes, the process gases introduced into the chamber 100 are ignited into a plasma 180. The electric field can be generated, for example, by connecting the wafer support pedestal 150 to a source of radio frequency (RF) power (not shown) through a matching network (not shown). Alternatively, the RF power source and matching network may be coupled to the showerhead 120, or coupled to both the showerhead 120 and the wafer support pedestal 150.
[0025] Plasma enhanced chemical vapor deposition (PECVD) techniques promote excitation and/or disassociation of the reactant gases by the application of the electric field to the reaction zone near the substrate surface, creating a plasma 180 of reactive species. The reactivity of the species in the plasma 180 reduces the energy required for a chemical reaction to take place, in effect lowering the required temperature for such PECVD processes.
[0026] Proper control and regulation of the gas flows through the gas panel
130 is performed by mass flow controllers (not shown) and a controller unit 110, such as a computer. The showerhead 120 allows process gases from the gas panel 130 to be uniformly introduced and distributed in the process chamber 100. Illustratively, the control unit 110 comprises a central processing unit (CPU) 112, support circuitry 114, and memories containing associated control software 116. The control unit 110 is responsible for automated control of the numerous steps required for wafer processing - such as wafer transport, gas flow control, temperature control, chamber evacuation, and other steps. The control unit 110 may be one of any form of general purpose computer processor that can be used in an industrial setting for controlling various chambers and sub-processors. The computer processor may use any suitable memory, such as random access memory, read only memory, floppy disk drive, hard disk, or any other form of digital storage, local or remote. Various support circuits may be coupled to the computer processor for supporting the processor in a conventional manner. Software routines as required may be stored in the memory or executed by a second processor that is remotely located. Bi-directional communications between the control unit 110 and the various components of the system 10 are handled through numerous signal cables collectively referred to as signal buses 118, some of which are illustrated in FIG. 1.
Ti and TiN Layer Formation
[0027] The following embodiments are methods for titanium and/or titanium nitride (Ti/TiN) formation, which advantageously provide a Ti and/or TiN film stack with improved reliability and good step coverage for the both the Ti and/or TiN films. [0028] FIGS. 2a-2e illustrate one preferred embodiment of the present invention in which Ti and TiN films are formed. In general, the substrate 200 refers to any workpiece upon which film processing is performed, and a substrate structure 250 is used to generally denote the substrate 200 as well as other material layers formed on the substrate 200. Depending on the specific stage of processing, the substrate 200 may be a silicon semiconductor wafer, or other material layer, which has been formed on the wafer. FIG. 2a, for example, shows a cross-sectional view of a substrate structure 250, having a material layer 202 thereon. In this particular illustration, the material layer 202 may be an oxide (e. g., silicon dioxide). The material layer 202 has been conventionally formed and patterned to provide a contact hole 202H extending to the top surface 200T of the substrate 200. [0029] A Ti film 204 is formed on the substrate structure 250. The Ti layer
204 is formed by depositing a Ti layer using, for example, plasma-enhanced decomposition of a gas mixture comprising a titanium compound such as titanium tetrachloride (TiCI ) and a hydrogen-containing compound. The Ti film can be deposited in a process chamber 100 similar to that shown in FIG. 1. In general, the decomposition of the titanium compound may be performed at a substrate temperature of about 400°C to about 700°C, a chamber pressure of about 5 torr to about 30 torr, a titanium compound flow rate of about 50 mg/min and above, a hydrogen gas flow rate of about 2000 seem to about 4000 seem, an RF power of about 1 watt/cm2 to about 3 watts/cm2, and a plate spacing of about 300 mils to about 500 mils. Dilutant gases such as hydrogen (H2), argon (Ar), helium (He), or combinations thereof may be added to the gas mixture. The above deposition parameters provide a deposition rate for the titanium of about 1 A/sec to about 3 A/sec.
[0030] The deposited Ti film 204 also contacts a portion of the substrate 200 at the bottom 200T of the contact hole 202H. Due to the non-conformal nature of the plasma deposited Ti film 204, the sidewalls 202S of the contact hole 202H are typically covered by a much thinner film of titanium than is deposited on the bottom 200T of the contact hole 202H. The thickness of titanium deposited in the bottom 200T of the contact hole 202H may be controlled by the adjusting the process time. [0031] The titanium film is deposited to a thickness of less than about 100 A.
Thereafter the titanium film is treated with a hydrogen/nitrogen-containing plasma. The Ti film can be treated in a process chamber 100 similar to that shown in FIG. 1. In general, the titanium layer plasma treatment may be performed at a substrate temperature of about 450 °C to about 680 °C, a chamber pressure of about 5 torr to about 30 torr, a nitrogen/hydrogen gas flow ratio of about 0.1 to about 1 , an RF power of about 0.5 watts/cm2 to about
10 watts/cm2, and a plate spacing of about 300 mils to about 500 mils. Hydrogen (H2), nitrogen (N2), ammonia (NH3), and hydrazine (N2H4), among others, may be used for the nitrogen/hydrogen plasma. Dilutant gases such as hydrogen (H2), argon (Ar), helium (He), or combinations thereof may be added to the gas mixture. The titanium film is plasma treated for about 5 seconds to about 60 seconds. [0032] After the titanium layer is plasma treated, another later of titanium is formed thereon and then plasma treated according to the process parameters detailed above. The alternating deposition/plasma treatment steps are preformed until a desired layer thickness is achieved. Alternatively, when the Ti layer is formed on a silicon substrate a layer of TiSix may be formed during the first plasma treatment step. After the first cycle, subsequent Ti depositions followed by plasma treatments with the H2/N2 gases can result in the formation of a composite titanium/titanium nitride layer. The titanium silicide thickness varies as a function of the plasma treatment time as well as the plasma treatment temperature. [0033] The as-deposited plasma treated titanium layer when formed on silicon dioxide (Sj02) has a resistivity of less than about 70 μΩ-cm, which is about 3 times smaller than the resistivity of films obtained using standard CVD processes (typically about 200 μΩ-cm). Additionally, the as-deposited Ti layers have better sheet resistance uniformity across the deposited film.
[0034] After the formation of the Ti layer 204, a TiN layer 208 is deposited in the contact hole 202H, as illustrated in FIG. 2b. The TiN film 208 can be formed, for example, by CVD using a reaction of TiCI and NH3 in the chamber 100 of FIG. 1. In one embodiment, helium (He) and nitrogen (N2) are introduced into the chamber 100, along with TiCI4, via one pathway (gas line) of the showerhead 120. NH3, along with N2, is introduced into the chamber 100 via the second pathway of the showerhead 120. He and argon (Ar), or other inert gases, may also be used, either singly or in combination (i. e., as a gas mixture) within either gas line of the showerhead 120. A bottom inert gas purge flow (e. g., Ar) of about 500 seem is also established through a separate gas line and gas supply 104 provided at the bottom of the chamber 100.
[0035] Typically, the reaction can be performed at a TiCI4 flow rate of about
50 mg/min to about 350 mg/min, and a NH3 flow of about 100 seem to about 500 seem, introduced into the chamber 100 though the first pathway of the showerhead 120. A total pressure range of about 5 torr to about 30 torr and a pedestal temperature between about 400 °C to about 700 °C may be used. The above deposition parameters provide a deposition rate for the titanium nitride of about 5 A/sec to about 13 A/sec.
[0036] The titanium nitride film is deposited to a thickness of less than about
300 A. Thereafter the titanium nitride film is treated with a hydrogen/nitrogen- containing plasma. The TiN film can be treated in a process chamber 100 similar to that shown in FIG. 1. In general, the titanium nitride layer plasma treatment may be performed at a substrate temperature of about 400 °C to about 700 °C, a chamber pressure of about 5 torr to about 30 torr, a nitrogen/hydrogen gas flow ratio of about 0.1 to about 1 , an RF power of about 0.5 watts/cm2 to about 10 watts/cm2, and a plate spacing of about 300 mils to about 500 mils. Hydrogen (H2), nitrogen (N2), ammonia (NH3), and hydrazine (N2H ), among others, may be used for the nitrogen/hydrogen plasma. Dilutant gases such as hydrogen (H2), argon (Ar), helium (He), or combinations thereof may be added to the gas mixture. The titanium nitride film is plasma treated for about 5 seconds to about 60 seconds. [0037] After the titanium nitride layer is plasma treated, another layer of titanium nitride is formed thereon and then plasma treated according to the process parameters detailed above. The alternating deposition/plasma treatment steps are preformed until a desired layer thickness is achieved.
[0038] Fig. 3 is a graph of the resistivity and sheet resistance uniformity plotted as a function of the plasma treatment time. As shown in the graph of FIG. 3, an as-deposited plasma treated titanium nitride layer having a thickness of about 300 A has a resistivity of less than about 20 Ω-sq and a sheet resistance uniformity of 8-10 % as compared to a resistivity of about 75 Ω-sq and a sheet resistance uniformity of about 14 % for non-plasma treated layers.
[0039] Fig. 4 is a graph of the film stress plotted as a function of the plasma treatment time. Referring to FIG. 4, an as-deposited TiN layer having a thickness of about 300 A has reduced stress. In particular, TiN layers formed using previous deposition processes typically have tensile stresses of about 3-8 x 109 dynes/cm2. In contrast, TiN layers formed according to the process conditions described herein have a compressive stress of about -1-3 x 109 dynes/cm2.
[0040] Thereafter, as illustrated in FIG. 2c, a tungsten (W) plug 210 is formed on the TiN layer 208 of FIG. 2b. The W plug 210 may be formed from, for example, a reaction between WF6 and H2. Adhesion of the W-plug layer is improved by the presence of the TiN layer 208.
[0041] Alternatively, a TiN layer deposited according to the process parameters described above can also be used to form a TiN-plug contact 208 on a Ti layer 204, as shown in FIGS. 2d-2e. The TiN-plug contact 208 has good adhesion to Ti layer 204.
[0042] FIGS. 5a-5b illustrate schematic cross-sectional views of a substrate
300 at different stages of a capacitive memory cell fabrication sequence. Depending on the specific stage of processing, substrate 300 may correspond to a silicon wafer, or other material layer that has been formed on the silicon wafer. Alternatively, the substrate may have integrated circuit structures (not shown) such as logic gates formed on regions thereof.
[0043] FIG. 5a, for example, illustrates a cross-sectional view of a silicon substrate 300 having a material layer 302 formed thereon. The material layer 302 may be an oxide (e. g., fluorosilicate glass (FSG), undoped silicate glass (USG), organosilicates) or a silicon carbide material. Material layer 302 preferably has a low dielectric constant (e. g., dielectric constant less than about 5). The thickness of material layer 302 is variable depending on the size of the structure to be fabricated. Typically, material layer 302 has a thickness of about 1 ,000 A to about 20,000 A. Apertures 301 having widths less than about 0.5 μm (micrometer) wide and depths of about 0.5 μm to about 2 μm, providing aspect ratio structures in a range of about 1:1 to about 4:1 are formed therein.
[0044] A bottom electrode 308 is conformably deposited along the sidewalls and bottom surface of aperture 301. The bottom electrode 308 is conformably deposited using conventional PVD or CVD techniques. An example of a suitable electrode material is TaN, among others. The thickness of the bottom electrode 308 is variable depending on the size of the structure to be fabricated/Typically, the bottom electrode 308 has a thickness of about 1 ,000 A to about 10,000 A. [0045] Above the bottom electrode 308 is deposited a Ta205 memory cell dielectric layer 310. The Ta2O5 memory cell dielectric layer 310 is conformably deposited using conventional CVD. The thickness of the Ta205 memory cell dielectric layer 310 is variable depending on the size of the structure to be fabricated. Typically, the Ta205 memory cell dielectric layer 310 has a thickness of about 100 A to about 500 A.
[0046] Referring to FIG. 5b, the capacitive memory cell is completed by conformably depositing a TiN top electrode 312 on the Ta205 memory cell dielectric layer 310. The TiN top electrode 312 is conformably deposited using CVD techniques according to the process parameters described above. The thickness of the TiN top electrode 312 is variable depending on the size of the structure to be fabricated. Typically, the TiN top electrode 312 has a thickness of about 1 ,000 A to about 10,000 A.
[0047] Although several preferred embodiments, which incorporate the teachings of the present invention have been shown and described in detail, those skilled in the art can readily devise many other varied embodiments that still incorporate these teachings.

Claims

What is claimed is:
1. A method of film deposition, comprising: forming a titanium structure on a substrate by sequentially depositing and than plasma treating a thin films of titanium, wherein the titanium is deposited from a reaction of titanium tetrachloride (TiCI4) and hydrogen (H2) in the presence of an electric field, and wherein the titanium is plasma treated using a nitrogen/hydrogen-containing plasma having a nitrogen to hydrogen flow ratio of about 0.1 to about 1.
2. The method of claim 1 wherein the titanium is treated for about 5 seconds to about 60 seconds.
3. The method of claim 1 wherein the nitrogen/hydrogen-containing plasma has a power density of about 0.5 Watts/cm2 to about 10 Watts/cm2.
4. The method of claim 1 wherein the nitrogen/hydrogen plasma comprises at least one gas selected from the group consisting of hydrogen (H2), nitrogen (N2), ammonia (NH3), and hydrazine (N2H ), among others.
5. The method of claim 1 wherein the titanium structure has a thickness of about 300 A to about 500 A.
6. The method of claim 1 wherein the titanium is plasma treated at a temperature of about 400 °C to about 700 °C.
7. The method of claim 1 wherein the substrate comprises silicon and titanium silicide (TiSix) is formed during a first titanium deposition/plasma treatment step.
8. A method of film deposition, comprising: forming a titanium nitride structure on a substrate by sequentially depositing and than plasma treating a thin films of titanium nitride, wherein the titanium nitride is deposited by thermally decomposing a gas mixture comprising titanium tetrachloride (TiCI ) and ammonia (NH3), and wherein the titanium nitride is plasma treated using a nitrogen/hydrogen-containing plasma having a nitrogen to hydrogen flow ratio of about 0.1 to about 1.
9. The method of claim 8 wherein the titanium nitride is treated for about 5 seconds to about 60 seconds.
10. The method of claim 8 wherein the nitrogen/hydrogen-containing plasma has a power density of about 0.5 Watts/cm2 to about 10 Watts/cm2.
11. The method of claim 8 wherein the nitrogen/hydrogen plasma comprises at least one gas selected from the group consisting of hydrogen (H2), nitrogen (N2), ammonia (NH3), and hydrazine (N2H ), among others.
12. The method of claim 8 wherein the titanium nitride layer has a thickness of about 300 A to about 1000 A.
13. The method of claim 8 wherein the titanium nitride is plasma treated at a temperature of about 400 °C to about 700 °C.
14. A method of forming a barrier layer structure, comprising: forming a titanium structure on a substrate by sequentially depositing and than plasma treating a thin films of titanium, wherein the titanium is deposited from a reaction of titanium tetrachloride (TiCI ) and hydrogen (H2) in the presence of an electric field, and wherein the titanium is plasma treated using a nitrogen/hydrogen-containing plasma having a nitrogen to hydrogen flow ratio of about 0.1 to about 1 ; and forming a titanium nitride structure on titanium structure by sequentially depositing and than plasma treating a thin films of titanium nitride, wherein the titanium nitride is deposited by thermally decomposing a gas mixture comprising titanium tetrachloride (TiCI4) and ammonia (NH3), and wherein the titanium nitride is plasma treated using a nitrogen/hydrogen-containing plasma having a nitrogen to hydrogen flow ratio of about 0.1 to about 1.
15. The method of claim 14 wherein the titanium is treated for about 5 seconds to about 60 seconds.
16. The method of claim 14 wherein the nitrogen/hydrogen-containing plasma has a power density of about 0.5 Watts/cm2 to about 10 Watts/cm2.
17. The method of claim 14 wherein the nitrogen/hydrogen plasma comprises at least one gas selected from the group consisting of hydrogen (H2), nitrogen (N2), ammonia (NH3), and hydrazine (N2H ), among others.
18. The method of claim 14 wherein the titanium structure has a thickness of about 300 A to about 500 A.
19. The method of claim 14 wherein the titanium is plasma treated at a temperature of about 400 °C to about 700 °C.
20. The method of claim 14 wherein the substrate comprises silicon and titanium silicide (TiSix) is formed during a first titanium deposition/plasma treatment step.
21. The method of claim 14 wherein the titanium nitride is treated for about 5 seconds to about 60 seconds.
22. The method of claim 14 wherein the nitrogen/hydrogen-containing plasma has a power density of about 0.5 Watts/cm2 to about 10 Watts/cm2.
23. The method of claim 14 wherein the nitrogen/hydrogen plasma comprises at least one gas selected from the group consisting of hydrogen (H2), nitrogen (N2), ammonia (NH3), and hydrazine (N2H ), among others.
24. The method of claim 14 wherein the titanium nitride layer has a thickness of about 300 A to about 1000 A.
25. The method of claim 14 wherein the titanium nitride is plasma treated at a temperature of about 400 °C to about 700 °C.
26. A method of forming an electrode on a capacitive device, comprising: providing a substrate having a bottom electrode and a memory cell dielectric formed thereon, forming a titanium structure on the memory cell dielectric by sequentially depositing and than plasma treating a thin films of titanium, wherein the titanium is deposited from a reaction of titanium tetrachloride (TiCI ) and hydrogen (H2) in the presence of an electric field, and wherein the titanium is plasma treated using a nitrogen/hydrogen-containing plasma having a nitrogen to hydrogen flow ratio of about 0.1 to about 1 ; and forming a titanium nitride structure on titanium structure by sequentially depositing and than plasma treating a thin films of titanium nitride, wherein the titanium nitride is deposited by thermally decomposing a gas mixture comprising titanium tetrachloride (TiCI4) and ammonia (NH3), and wherein the titanium nitride is plasma treated using a nitrogen/hydrogen-containing plasma having a nitrogen to hydrogen flow ratio of about 0.1 to about 1.
27. The method of claim 26 wherein the titanium is treated for about 5 seconds to about 60 seconds.
28. The method of claim 26 wherein the nitrogen/hydrogen-containing plasma has a power density of about 0.5 Watts/cm2 to about 10 Watts/cm2.
29. The method of claim 26 wherein the nitrogen/hydrogen plasma comprises at least one gas selected from the group consisting of hydrogen (H2), nitrogen (N2), ammonia (NH3), and hydrazine (N2H ), among others.
30. The method of claim 26 wherein the titanium structure has a thickness of about 300 A to about 500 A.
31. The method of claim 26 wherein the titanium is plasma treated at a temperature of about 400 °C to about 700 °C.
32. The method of claim 26 wherein the titanium nitride is treated for about 5 seconds to about 60 seconds.
33. The method of claim 26 wherein the nitrogen/hydrogen-containing plasma has a power density of about 0.5 Watts/cm2 to about 10 Watts/cm2.
34. The method of claim 26 wherein the nitrogen/hydrogen plasma comprises at least one gas selected from the group consisting of hydrogen (H2), nitrogen (N2), ammonia (NH3), and hydrazine (N2H4), among others.
35. The method of claim 26 wherein the titanium nitride layer has a thickness of about 300 A to about 1000 A.
36. The method of claim 26 wherein the titanium nitride is plasma treated at a temperature of about 400 °C to about 700 °C.
PCT/US2002/031371 2001-10-15 2002-10-02 Method of titanium and titanium nitride layer deposition WO2003033169A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/978,140 2001-10-15
US09/978,140 US20030072884A1 (en) 2001-10-15 2001-10-15 Method of titanium and titanium nitride layer deposition

Publications (1)

Publication Number Publication Date
WO2003033169A1 true WO2003033169A1 (en) 2003-04-24

Family

ID=25525825

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2002/031371 WO2003033169A1 (en) 2001-10-15 2002-10-02 Method of titanium and titanium nitride layer deposition

Country Status (2)

Country Link
US (1) US20030072884A1 (en)
WO (1) WO2003033169A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3087584A4 (en) * 2013-12-26 2017-08-30 Intel Corporation Direct plasma densification process and semiconductor devices
US10096513B2 (en) 2013-12-26 2018-10-09 Intel Corporation Direct plasma densification process and semiconductor devices

Families Citing this family (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US7515264B2 (en) * 1999-06-15 2009-04-07 Tokyo Electron Limited Particle-measuring system and particle-measuring method
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
WO2004064147A2 (en) * 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
KR20060079144A (en) * 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 Atomic layer deposition of barrier materials
US7608300B2 (en) * 2003-08-27 2009-10-27 Applied Materials, Inc. Methods and devices to reduce defects in dielectric stack structures
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US6927162B1 (en) * 2004-02-23 2005-08-09 Advanced Micro Devices, Inc. Method of forming a contact in a semiconductor device with formation of silicide prior to plasma treatment
US20050221612A1 (en) * 2004-04-05 2005-10-06 International Business Machines Corporation A low thermal budget (mol) liner, a semiconductor device comprising said liner and method of forming said semiconductor device
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7648927B2 (en) * 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
US7850779B2 (en) 2005-11-04 2010-12-14 Applied Materisals, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
US7674337B2 (en) * 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
JP5090451B2 (en) * 2006-07-31 2012-12-05 アプライド マテリアルズ インコーポレイテッド Method for forming carbon-containing silicon epitaxial layer
KR101369355B1 (en) * 2006-07-31 2014-03-04 어플라이드 머티어리얼스, 인코포레이티드 Methods of controlling morphology during epitaxial layer formation
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8291857B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
KR101213811B1 (en) * 2010-04-15 2012-12-18 에스케이하이닉스 주식회사 Semiconductor device and method for forming the same
US9177826B2 (en) * 2012-02-02 2015-11-03 Globalfoundries Inc. Methods of forming metal nitride materials
JP6078279B2 (en) * 2012-09-20 2017-02-08 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and program
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
CN105762105A (en) * 2014-12-17 2016-07-13 中芯国际集成电路制造(上海)有限公司 Semiconductor device, manufacturing method of semiconductor device, and electronic device
KR101965992B1 (en) * 2014-12-25 2019-04-04 가부시키가이샤 코쿠사이 엘렉트릭 Semiconductor device manufacturing method, recording medium, and substrate processing device
US9613826B2 (en) * 2015-07-29 2017-04-04 United Microelectronics Corp. Semiconductor process for treating metal gate
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11056345B2 (en) * 2017-12-19 2021-07-06 Asm Ip Holding B.V. Method for manufacturing semiconductor device
SG11202008268RA (en) 2018-03-19 2020-10-29 Applied Materials Inc Methods for depositing coatings on aerospace components
US11015252B2 (en) 2018-04-27 2021-05-25 Applied Materials, Inc. Protection of components from corrosion
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US10636705B1 (en) 2018-11-29 2020-04-28 Applied Materials, Inc. High pressure annealing of metal gate structures
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11286556B2 (en) 2020-04-14 2022-03-29 Applied Materials, Inc. Selective deposition of titanium films
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
US11739429B2 (en) 2020-07-03 2023-08-29 Applied Materials, Inc. Methods for refurbishing aerospace components

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5989652A (en) * 1997-01-31 1999-11-23 Tokyo Electron Limited Method of low temperature plasma enhanced chemical vapor deposition of tin film over titanium for use in via level applications
US6251720B1 (en) * 1996-09-27 2001-06-26 Randhir P. S. Thakur High pressure reoxidation/anneal of high dielectric constant materials
US6270859B2 (en) * 1996-07-12 2001-08-07 Applied Materials, Inc. Plasma treatment of titanium nitride formed by chemical vapor deposition
US20020155219A1 (en) * 1999-06-11 2002-10-24 Shulin Wang Plasma treatment of a titanium nitride film formed by chemical vapor deposition

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6270859B2 (en) * 1996-07-12 2001-08-07 Applied Materials, Inc. Plasma treatment of titanium nitride formed by chemical vapor deposition
US6251720B1 (en) * 1996-09-27 2001-06-26 Randhir P. S. Thakur High pressure reoxidation/anneal of high dielectric constant materials
US5989652A (en) * 1997-01-31 1999-11-23 Tokyo Electron Limited Method of low temperature plasma enhanced chemical vapor deposition of tin film over titanium for use in via level applications
US20020155219A1 (en) * 1999-06-11 2002-10-24 Shulin Wang Plasma treatment of a titanium nitride film formed by chemical vapor deposition

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3087584A4 (en) * 2013-12-26 2017-08-30 Intel Corporation Direct plasma densification process and semiconductor devices
US10096513B2 (en) 2013-12-26 2018-10-09 Intel Corporation Direct plasma densification process and semiconductor devices

Also Published As

Publication number Publication date
US20030072884A1 (en) 2003-04-17

Similar Documents

Publication Publication Date Title
US20030072884A1 (en) Method of titanium and titanium nitride layer deposition
US20020114886A1 (en) Method of tisin deposition using a chemical vapor deposition process
US6555183B2 (en) Plasma treatment of a titanium nitride film formed by chemical vapor deposition
US6548402B2 (en) Method of depositing a thick titanium nitride film
US6218301B1 (en) Deposition of tungsten films from W(CO)6
US6326690B2 (en) Method of titanium/titanium nitride integration
US6524952B1 (en) Method of forming a titanium silicide layer on a substrate
US6455421B1 (en) Plasma treatment of tantalum nitride compound films formed by chemical vapor deposition
US6638810B2 (en) Tantalum nitride CVD deposition by tantalum oxide densification
US6933021B2 (en) Method of TiSiN deposition using a chemical vapor deposition (CVD) process
US7838441B2 (en) Deposition and densification process for titanium nitride barrier layers
US8026605B2 (en) Interconnect structure and method of manufacturing a damascene structure
US20020192396A1 (en) Method of titanium/titanium nitride integration
US20020168840A1 (en) Deposition of tungsten silicide films
KR100395171B1 (en) Cvd-ti film forming method
JP2002280387A (en) Metal wiring forming method for semiconductor chip
KR100504269B1 (en) IMPROVED PECVD AND CVD PROCESSES FOR WNx DEPOSITION
US20020162500A1 (en) Deposition of tungsten silicide films
US6221174B1 (en) Method of performing titanium/titanium nitride integration
WO2002021593A2 (en) Method of forming titanium nitride (tin) films using metal-organic chemical vapor deposition (mocvd)
US6632737B1 (en) Method for enhancing the adhesion of a barrier layer to a dielectric
KR20020001143A (en) Method of forming a copper metal wiring in a semiconductor device

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): CN JP

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH CY DE DK ES FI FR GB IE IT LU MC NL PT SE TR

121 Ep: the epo has been informed by wipo that ep was designated in this application
122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase

Ref country code: JP

WWW Wipo information: withdrawn in national office

Country of ref document: JP