WO2002091437A2 - Tisin barrier formation with treatment in n2/h2 plasma and in silane - Google Patents

Tisin barrier formation with treatment in n2/h2 plasma and in silane Download PDF

Info

Publication number
WO2002091437A2
WO2002091437A2 PCT/US2002/014056 US0214056W WO02091437A2 WO 2002091437 A2 WO2002091437 A2 WO 2002091437A2 US 0214056 W US0214056 W US 0214056W WO 02091437 A2 WO02091437 A2 WO 02091437A2
Authority
WO
WIPO (PCT)
Prior art keywords
titanium
nitride layer
titanium nitride
silicon
plasma
Prior art date
Application number
PCT/US2002/014056
Other languages
French (fr)
Other versions
WO2002091437A3 (en
Inventor
Ling Chen
Christophe Marcadal
Hyungsuk A. Yoon
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Publication of WO2002091437A2 publication Critical patent/WO2002091437A2/en
Publication of WO2002091437A3 publication Critical patent/WO2002091437A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation

Definitions

  • the present invention relates generally to the field of semiconductor manufacturing. More specifically, the present invention relates to a method of achieving low contact resistance and to improving titanium nitride barrier performance for copper integration.
  • Titanium nitride layers can serve as barrier layers against diffusion, including copper diffusion, in semiconductor device structures, e.g., contacts, vias and trenches. Deposition of an effective and useable titanium nitride barrier layer realizes good step coverage, sufficient barrier thickness at the bottom o f device features and a conformai film having a smooth surface for further processing steps.
  • the TiN barrier layer must b e as thin as possible to accommodate the higher aspect ratios of today's devices.
  • the TiN barrier layer must be inert and must not adversely react with adjacent materials during subsequent thermal cycles, must prevent the diffusion o r migration of adjacent materials through it, must have low resistivity (exhibit high conductivity), low contact or via resistance and low junction leakage.
  • Titanium nitride layers can be deposited on a wafer by the rapid thermal nitridation of a titanium layer or by any deposition process, e.g., sputtering (PVD) and CVD.
  • CVD deposition of titanium nitride barrier films eliminates the problems with metal reliability and junction leakage associated with PVD deposited TiN barrier films and is considered a cleaner process than PVD TiN. Additionally, the CVD process produces conformai films with good step coverage in the 0.35 micron o r less structures found in state of the art VLSI and ULSI devices.
  • a metalorganic precursor such as tetrakisdimethylamino titanium (TDMAT) or tetrakisdiethylammo titanium (TDEAT) is thermally decomposed to deposit a titanium nitride layer.
  • TDMAT tetrakisdimethylamino titanium
  • TDEAT tetrakisdiethylammo titanium
  • MO CVD TiN does not have as good barrier performance to copper diffusion as, for example, IMP tantalum o r IMP tantalum nitride.
  • This film contains carbon and is a porous film that easily absorbs oxygen thereby becoming highly resistive and unstable. It is critical to have an effective barrier with copper metallization. Electromigration of copper into the silicon substrate ruins device performance.
  • Titanium nitride sputtered by using high density plasma techniques such as those where a relatively large proportion of the material sputtered from the target is ionized and electrically attracted to the substrate, has produced smooth conformai films with low resistivity for subsequent aluminum deposition thereon.
  • Titanium-silicon-nitrogen compounds provide a better diffusion barrier for aluminum or copper interconnects than titanium nitride barriers.
  • Silane is used to incorporate silicon into a MOCVD TiN film in such a manner that a silicon rich surface is formed on the titanium nitride. This method does not utilize a n in situ plasma step to further improve the film properties of th e titianium nitride layer -and the silicon is primarily deposited o n the surface of the underlying titanium nitride layer.
  • a high temperature method to deposit a porous titanium nitride layer with subsequent exposure firstly t o a silicon-containing gas ambient and secondly to a low plasma power generated N 2 /H 2 plasma incorporates silicon, as silicon nitride, primarily on the surface of the titanium nitride film.
  • lower wafer temperatures are desired, as previously deposited material may have critical heat and temperature limitations.
  • current generation low k dielectric materials require wafer temperatures below approximately ⁇ 380- 400 °C.
  • higher rf power can provide for efficient film treatment realizing low film resistivity and via resisance and providing for faster throughput.
  • One embodiment of the present invention provides a method of forming a titanium silicon nitride barrier layer on a semiconductor wafer, comprising the steps of depositing a titanium nitride layer on the semiconductor wafer; plasma- treating the titanium nitride layer in a N 2 /H 2 plasma; and exposing the plasma-treated titanium nitride layer to a silicon- containing gas ambient, wherein silicon is incorporated into the titanium nitride layer as silicon nitride thereby forming a titanium silicon nitride barrier layer.
  • Another embodiment of the present invention provides a method of forming a titanium silicon nitride barrier layer on i a semiconductor wafer, comprising the steps of vaporizing a tetrakisdimethylamino titanium; introducing the vaporized tetrakisdimethylamino titanium into a deposition chamber of a CVD apparatus; maintaining the deposition chamber at a pressure of about 5 Torr and the wafer at a temperature of about 360°C; thermally decomposing the tetrakisdimethylamino titanium gas in the deposition chamber; vapor-depositing th e titanium nitride film onto the wafer; plasma-treating the titanium nitride layer in a N 2 /H 2 plasma at a plasma power of about 750W for about 35 seconds wherein a single titanium nitride layer having a thickness of about 5 ⁇ A is formed; and exposing the plasma-treated titanium nitride layer to a silane gas ambient for about 10 seconds, wherein silicon is
  • Yet another embodiment of the present invention provides a method of improving the barrier performance of a titanium nitride layer comprising the step of introducing silicon into the ' titanium nitride layer such that the silicon is incorporated into the titanium nitride layer as silicon nitride wherein the barrier performance of the titanium nitride layer is improved.
  • a method of improving the barrier performance of a titanium nitride layer comprising the steps of vaporizing tetrakisdimethylamino titanium; introducing the vaporized tetrakisdimethylamino titanium into a deposition chamber of a CVD apparatus; maintaining the deposition chamber at a pressure of about 5 Torr and the wafer at a temperature of about 360°C; thermally decomposing the tetrakisdimethylamino titanium gas in the deposition chamber; vapor-depositing the titanium nitride film onto the wafer; plasma- treating the titanium nitride layer in a N 2 /H 2 plasma at a plasma power of about 750W for about 3 5 seconds wherein a single titanium nitride layer having a thickness of about 5 ⁇ A is formed; and exposing the plasma-treated titanium nitride layer to a silane gas ambient for about 10 seconds, wherein silicon is incorporated into the titanium nitride
  • a method of integrating copper into a semiconductor device comprising the steps of forming a titanium silicon nitride ⁇ barrier in a feature of the semiconductor device by the methods disclosed -supra and depositing a copper layer over the titanium silicon nitride barrier thereby integrating copper into the ' semiconductor device.
  • a method of improving copper wettability at an interface of a copper layer and a titanium nitride layer in a semiconductor device comprising the steps of introducing silicon into the titanium nitride layer as silicon nitride by the methods disclosed supra such that that a titanium silicon nitride layer is formed; and depositing a copper layer over the titanium silicon nitride layer wherein copper wettability at the interface of th e copper layer and the titanium silicon nitride layer is improved over copper wettability at the copper/titanium nitride interface.
  • FIG. 1 is a partial schematic of a HP + TxZ chamber showing how the silane line is introduced into the chamber
  • Figure ' 2 is a flow diagram of the MOCVD TiSiN process .
  • Figure 3 shows silane flow-time experiments measuring sheet resistance as the duration of air exposure increases for differing silane exposure times. Films are plasma treated for 30 sees.
  • Figure 3 A 30 seem SiH4, 100 seem N2 and 1.3 Torr.
  • Figure 3B 80 seem SiH4, 300 seem N2 and 2 Torr.
  • Figure 4 measures sheet resistance as the duration o f the silane treatment increases for plasma treated TiN film ( Figure 4 A ) and non-plasma treated TiN film ( Figure 4B ) initially after silane treatment and after a day of air exposure.
  • Silane treatment was performed with a flow rate of 30 s eem silane under 1.3 Torr pressure. Plasma exposure was 35 sec.
  • Figure 5 shows a TEM of the bottom and corner coverage before and after a silane soak of the titanium nitride film.
  • Figure 6 shows a void-free 0.17 ⁇ m ECP copper fill a t an aspect ratio of 10:1 with 1 x 50 A TiSiN.
  • Figure 7 depicts the wettability of 200 A copper on 1 x 50 A TiN ( Figure 7 A ) and on 1 x 50 A TiSiN ( Figure 7B ) . Copper film is annealed at 380 °C for 15 min.
  • Figure 8 shows the percent change in sheet resistance of annealed 500 A SIP copper on TiSiN with increasing silane exposure. Process conditions are 80 seem SiH4, 300 s eem N2 and 2 Torr.
  • Figure 9 is a SIMS profile of copper diffusion through TiSiN plasma treated for various times and then silane.
  • Film stack 50 ⁇ A SIP Cu/5 ⁇ A TiN (split)/3kA oxide/Si, annealed at 3 80 °C for 30 min.
  • Figure 10 shows the WIW and WTW sheet resistance uniformity for lx5 ⁇ A TiSiN films (Figure 10 A) and lx35A TiSiN films ( Figure 10B) for a 5000 wafer run. Wafers had a 3 m m edge exclusion.
  • Figure 11 shows the WIW and WTW thickness uniformity for lx5 ⁇ A TiSiN films ( Figure 11 A) and lx35A TiSiN films ( Figure 11B ) for a 5000 wafer run. Thicknesses are calculated from XRF intensities.
  • Figure 12 shows the average mechanical and system adders (Figure 12 A) and the average in-film particles and system adders (Figure 12B) at >0.16 ⁇ m for a 5000 wafer run.
  • Figure 13 shows the effect of one hour of chamber idle time on wafer processing for the sheet resistance and resistance uniformity (Figure 1 A) and the film thickness (Figure 13B) for lx5 ⁇ A film.
  • One embodiment of the present invention provides a method of forming a titanium silicon nitride barrier layer on a semiconductor wafer, comprising the steps of depositing a titanium nitride layer on the semiconductor wafer; plasma- treating the titanium nitride layer in a N /H 2 plasma; and exposing the plasma-treated titanium nitride layer to a silane ambient, wherein silicon is incorporated into the titanium nitride layer as silicon nitride thereby forming a titanium silicon nitride barrier layer.
  • the titanium nitride layer is deposited by a method comprising the steps of vaporizing a metalorganic titanium/nitrogen-containing compound; introducing the vaporized titanium/nitrogen-containing compound into a deposition chamber of a CVD apparatus; maintaining the deposition chamber at a pressure and the wafer at a temperature suitable for the high pressure chemical vapor deposition of the titanium nitride film onto the wafer; thermally decomposing the titanium/nitrogen-containing gas in th e deposition chamber; and vapor-depositing the titanium nitride film onto the wafer.
  • the metalorganic compound may be tetrakisdimethylamino titanium or tetrakisdiethylamino titanium.
  • the deposited titanium nitride layer can have a thickness of 5A to 100 A. A representative thickness is about 5 ⁇ A.
  • the deposition chamber pressure can be from about 1 Torr to about 10 Torr.
  • a representative example is 5 Torr.
  • the wafer temperature can b e from about 320 °C to about 420 °C.
  • a representative example is about 360 °C.
  • the titanium nitride layer is plasma treated at a plasma power of about 600 to about 1500W.
  • a representative example is about 750W plasma treatment in about 15 seconds t o about 40 seconds with 35 seconds being a representative example.
  • the silicon-containing gas may be silane, disilane, methylsilane, or dimethylsilane. Exposure to the silicon- containing gas ambient is from about 4 seconds to about 20 seconds with ten seconds as a representative example for duration of
  • the titanium nitride layer may be deposited and plasma- treated incrementally without an intervening step prior t o exposure of the layer to a silane ambient.
  • a representative number of such cycles is two with both cycles depositing a titanium nitride layer having a thickness of about 5 A to about 5 0 A with 25 A being a representative example.
  • Plasma treatment of these titanium nitride layers is from about 5 seconds to 3 0 seconds with 15 seconds being a representative exatmple.
  • Another embodiment of the present invention provides a method of forming a titanium silicon nitride barrier layer on a semiconductor wafer, comprising the steps of vaporizing tetrakisdimethylamino titanium; introducing the vaporized tetrakisdimethylamino titanium into a deposition chamber of a CVD apparatus; maintaining the deposition chamber at a pressure of about 5 Torr and the wafer at a temperature of about 360 9 C; thermally decomposing the tetrakisdimethylamino titanium gas in the deposition chamber; vapor-depositing the titanium nitride film onto the wafer; plasma-treating the titanium nitride layer in a N 2 /H 2 plasma at a plasma power of about 750W for about 35 seconds wherein a single titanium nitride layer having a thickness of about 5 ⁇ A is formed; and exposing the plasma-treated titanium nitride layer to a silane gas ambient for about 10 seconds, wherein silicon is incorporated into th e
  • Yet another embodiment of the present invention provides a method of improving the barrier performance of a titanium nitride layer comprising the step of introducing silicon into the titanium nitride layer such that the silicon is incorporated into the titanium nitride layer as silicon nitride s o that the barrier performance of the titanium nitride layer is improved.
  • the titanium nitride layer and the incorporation of silicon as silicon nitride therein can be accomplished by the methods disclosed supra.
  • the titanium nitride layer may be deposited and plasma- treated incrementally without an intervening step prior t o exposure of the layer to a silane ambient using the methods and examples disclosed supra for such incremental deposition.
  • a method of improving the barrier performance of a titanium nitride layer comprising the steps of vaporizing tetrakisdimethylamino titanium; introducing the vaporized tetrakisdimethylamino titanium into a deposition chamber of a CVD apparatus; maintaining the deposition chamber at a pressure of about 5 Torr and the wafer at a temperature of about 360 °C; thermally decomposing the tetrakisdimethylamino titanium gas in the deposition chamber; vapor-depositing the titanium nitride film onto the wafer; plasma-treating the titanium nitride layer in a N 2 /H 2 plasma at a plasma power of about 750W for about 3 5 seconds wherein a single titanium nitride layer having a thickness of about 5 ⁇ A is formed; and exposing the plasma-treated titanium nitride layer to a silane gas ambient for about 10 seconds, wherein silicon is incorporated into the titanium nitrid
  • in yet another embodiment of the present invention provides a method of integrating copper into a semiconductor device comprising the steps of forming a titanium silicon nitride barrier in a feature of the semiconductor device by the methods disclosed supra and depositing a copper layer over ( the titanium silicon nitride barrier thereby integrating copper into the semiconductor device.
  • a method of improving copper wettability at a n interface of a copper layer and a titanium nitride layer in a semiconductor device comprising the steps of introducing silicon into the titanium nitride layer as silicon nitride by the methods disclosed supra such that a titanium silicon nitride layer is formed; and depositing a copper layer over the titanium silicon nitride layer wherein copper wettability at the interface of the copper layer and the titanium silicon nitride layer is improved over copper wettability at the copper/titanium nitride interface.
  • Barrier performance of a titanium nitride layer is improved with the addition of silicon, as primarily SiN, inside the TiN layer.
  • a silicon-containg gas ambient can be a silane, a disilane, a methylsilane, or a dimethylsilane ambient.
  • a silane soak of the titanium nitride layer allows silicon to b e incorporated into the layer to form the silicon nitride.
  • the plasma duration and the silane treatment need to be adjusted in order to incorporate the silicon as silicon nitride and not free silicon.
  • the TiN treatment is optimized to allow the silane t o react fully with the film where the precursor is not fully reacted but not to degrade copper resistivity.
  • the silane reacts with the non-fully reacted molecule of TDMAT incorporated into the film. It breaks the N-C bond and forms a SiN bond in the film.
  • Non-fully reacted means that, during the thermal decomposition of the precursor TDMAT, no t all of the CH3 groups are eliminated, thus TiNCH 3 bonds remain in the film.
  • concentration in carbon decreases because the NCH 3 groups are replaced by th e nitrogen from the plasma. Therefore a plasma treated film reacts less with silane than a non-plasma treated film.
  • SiN bonds in the amorphous matrix around the TiN nanocrystallite need to b e maximized, but at the same time unreacted silane needs to b e prevented from subsequently reacting with the copper and thereby forming a solid solution of copper and silicon or, even worse, a precipitate of copper suicide as both compounds have high resistivity.
  • a high-pressure process in a standard Applied Materials TxZ chamber is used for formation of the titanium nitride barrier layer.
  • Low-resistivity titanium nitride thin-films are thermally deposited using a high-pressure MOCVD process.
  • TDMAT is currently used as a precursor although TDEAT, among others, can also be used.
  • the TiN thin film is subsequently plasma post treated with an H 2 /N 2 plasma generated by a high plasma power of from 600 to 1500 Watts in order to reduce th e film resistivity,. Following the plasma post treatment, the layer is , exposed to a silane soak*.
  • the hardware is built based on a TxZ chamber where SiH 4 is introduced through a second line into the chamber separate from the TDMAT line ( Figure 1A).
  • the 200 m m TxZ gas box has two gas line spaces for silane and nitrogen.
  • the chamber lid is modified so that the gas pass through parts accommodate silane gas separately via a second gas feed ( Figure IB).
  • the TxZ chamber hardware is also modified to include an independent line with purge capability. Thus, pump purge time is optimized and silane residue in the chamber is avoided.
  • the chamber can be set up as follows:
  • TDMAT TDEAT Carrier gases Ar, N2, He Chamber pressure: 1 to 10 Torr Wafer temperature: 320 °C to 370 °C EXAMPLE 1
  • TiSiN barrier layer comprises a basic three step process as shown in Figure 2.
  • TDMAT is vaporized and, upon heating of the wafer, is thermally decomposed as a film deposited on the wafer at a low temperature of about 360°C which corresponds to a heater temperature of about 380°C and at a high chamber pressure of 5 Torr.
  • the process can be run with low wafer temperatures ranging from about 320°C to about 370°C and chamber pressures ranging from about 1 to about 10 Torr.
  • the decomposition rate of TDMAT is controlled by various process conditions.
  • the step coverage and the deposition rates depend on the wafer temperature.
  • the rate of decomposition and thereby the rate of depositon on the wafer increases with th e wafer temperature. It is possible to compensate for the loss in deposition rate at a low temperature by an increase in precursor delivery.
  • the deposition temperature is dependant on the type of application, e.g., the type of low K dielectric needed. However, a spacing change affects wafer temperature and thus the deposition rate is affected. Concomitantly, an increase in chamber pressure and/or an increase in TDMAT flow will increase the deposition rate.
  • the resultant deposited film comprises TiN(C).
  • the TiN(C) film is treated with a low frequency 350 kHz induced N 2 /H 2 plasma generated • by a high plasma power of 750 W. Such treatment reduces carbon concentration as described supra.
  • the plasma treatment duration depends on the thickness of th e TiN(C) film deposited. For a targeted thickness of 5 ⁇ A ( 1 x50 process) the plasma treatment is about 35s with a range of about 4 seconds to 40 seconds for titanium nitride thicknesses of about 5A to about lOOA.
  • a film can be deposited incrementally until the desired thickness is reached by repeating the thermal decomposition/deposition and plasma-treating steps.
  • the plasma treatment 'duration depends on the thickness of the deposited film; each incremental thickness ranging from about 5 A to about 5 ⁇ A with concomitant plasma treatment times of about 5 seconds to about 30 seconds.
  • a 5 ⁇ A film can be deposited i n a 2x25 process.
  • a layer of. about 25 A is deposited and subsequently plasma-treated for about 15s, the deposition step is repeated for another 25 A layer followed by another plasma treatment.
  • the TiN film is subsequently exposed to a silicon- containing gas ambient such as a silane soak to yield a TiSiN film having the silicon primarily incorporated as silicon nitride.
  • the silane treatment is performed at a pressure from about 0.8Torr to about 5Torr. Little effect on the efficacy of the silane treatment is found due to the pressure.
  • a pressure of about 1 .3 Torr to about 2 Torr is used because, at these pressures, the delta pressure between the front and the back of the wafer is sufficient to vacuum chuck the wafer and avoid any wafer motion; 2 Torr provide better chucking efficiency. It is important to have a stable regime and not a transient one for this process.
  • the plasma treatment pressure needs to reduce or increase to the silane treatment pressure where silane flow is below lOOsccm and where treatment time is for only 10s.
  • a stable regime for these changes in conditions provides for reproducibility in the process.
  • An XPS profile can only show the elemental composition of the film and not how the elemental components are bonded within the film.
  • Table 2 shows the possible bonding states of the elements in the TiSiN film without a plasma treatment prior to the silane soak or with said plasma treatment.
  • Ti TiN & TiN & TiCx TiCx &/or TiOx
  • Si SixNy SixNy TDMAT is thermally decomposed at 360°C and the decomposition products are deposited on the substrate.
  • the decomposition reaction is :
  • Oxygen is incorporated into th e untreated film when the wafer is exposed to air.
  • the N 2 /H 2 plasma treatment of the deposited film significantly reduces carbon and oxygen levels within the film. This creates a layer comprising TiCxNyHz which yields byproducts CxHy + HNR 2 that are subsequently pumped out of the chamber system. ' This scheme assumes that oxygen is not incorporated into the system.
  • the resulting plasma-treated TiN film comprises a layer that is 50-60% of the as deposited thickness.
  • In situ plasma treatment of TiN films improves film properties.
  • the N /H 2 plasma treatment significantly reduces th e amounts of carbon and oxygen in the film and thereby lowers resistivity.
  • the post-treatment silane soak after standard MOCVD TiN deposition improves barrier properties.
  • the silicon, as SiN, incorporated into the TiN film reduces oxidation of the film upon exposure to ai/ also reducing resistivity of the TiSiN barrier layer.
  • a silane soak reduces measured resistivity of both treated and untreated film.
  • the sheet resistance of a TiN film plasma treated for 35 sees (Figure 4A) is significantly less th an that of the untreated TiN film ( Figure 4B) for any length of silane treatment duration. Again sheet resistance levels stabilize after about ten seconds of silane treatment. Sheet resistance after a day of air exposure is also measured. This demonstrates that the combination of a N 2 /H 2 plasma treatment and a post-treatment silane soak is more effective at reducing oxidation and maintaining a lower sheet resistance of the film than a silane soak of an untreated TiN film.
  • a silane soak of a TiN film improves sidewall barrier properties; it also improves film stability of the sidewall, especially the less treated sidewall.
  • a silane treatment reduces TiN sidewall thickness.
  • Figure 5 is a transmission electron micrograph showing that silane treatment of a plasma-treated TiN film yields good bottom and corner coverage and reduces the sidewall thickness from 68 A to 52 A. It is also apparent from th e TEM that silane treatment of a TiN film produces a TiSiN film with good step coverage and a continuous smooth morphology along the bottom, and sidewalls of the structure.
  • Silane treatment of TiN films improves the barrier properties of the films.
  • an over-extended silane treatment of the TiN film can degrade copper resistivity causing the silicon to migrate inside the copper during annealing and thu s leading to poor contact resistance.
  • the TiN is no t treated sufficiently, the material resistivity remains high . and will give high contact resistance.
  • Figure 8 shows the percent change in sheet resistance with increasing silane exposure of an annealed 500 A SIP copper .
  • the copper was annealed at 380°C for 15 min.
  • the percent change in copper Rs on standard MOCVD TiN is about 26%.
  • the copper sheet resistance is reduced after the anneal in all cases and the percent change decreases with increasing silane exposure.
  • TiSiN showed good barrier properties for oxide.
  • a SIMS profile of an annealed SIP Cu/TiSiN/oxide stack demonstrates that silane soaked TiN layers with or without plasma treatment significantly impeded copper diffusion into th e oxide substrate ( Figure -9). Again this demonstrates the tunable relationship between plasma-treatment duration and silane treatment' duration. The less plasma-treated layers impede copper diffusion better than those of longer plasma treatment duration.
  • the barrier efficiency is related to the formation of silicon nitride in the TiN film; i.e., as explained supra, the longer the plasma duration, the less carbon in the film and, therefore, the less SiN formed upon silane exposure.
  • IMP TaN provides an excellent barrier layer for SIP copper.
  • TiSiN demonstrates good adhesion on SIP copper.
  • TiSiN on SIP copper also has similar reflectivity characteristics as SIP copper on a TaN film.
  • the reflectivity values for 1x50 A TiSiN with or without plasma treatment but with silane treatment are practically identical; it is the silane treatment, the incorporation of SiN into the TiN layer that is improving barrier properties. This is further reinforced by comparing the reflectivity values of a plasma treated TiN film with the those of the TiSiN films. Reflectivity is less than either the TiSiN films or the LMP TaN film. Table 3 summarizes the results of the reflectivity and adhesion tests.
  • Thickness uniformity for the lx5 ⁇ A and lx35A TiSiN films is calculated from XRF intensities. Wafer to wafer thickness uniformity for both of these films is excellent and is almost identical for the 5000 wafer run. Table 4 summarizes these results.
  • the average number of mechanical particle defects added (mechanical adders) to a wafer after processing for a >0.16 ⁇ m feature remains low for all 5000 wafers at 9.9 ( Figure 12A).
  • the average number of in-film particle defects (particle adders) is also relatively constant at 15 adders for > 0.16 ⁇ m feature ( Figure 12B), although since this measurement is taken after film deposition, the variation over the course of the 5000 wafer run is reasonably greater than that for mechanical adders .
  • the number of system adders for both mechanical and in-film determinations is 10.
  • the chamber appartus used for the formation of TiSiN films exhibits rapid chamber recovery from idle time.
  • Figure 13 A shows that sheet resistance declines to under 600 ⁇ /sq and remains constant after three wafers are processed; Rs uniformity also remains constant at an average of approximately 7%, l ⁇ .
  • Figure 13B indicates that a 50 A film thickness is achieved and maintained after only three wafers. Therefore, few wafers are lost waiting for the chamber to recover thus insuring a higher yield.

Abstract

The present invention provides a method of forming a titanium silicon nitride barrier layer on a semiconductor wafer, comprising the steps of depositing a titanium nitride layer on the semiconductor wafer; plasma-treating the titanium nitride layer in a N2/H2 plasma; and exposing the plasma-treated titanium nitride layer to a silane ambient, wherein silicon is incorporated into the titanium nitride layer as silicon nitride thereby forming a titanium silicon nitride barrier layer. Additionally, there is provided a method of improving the barrier performance of a titanium nitride layer comprising the step of introducing silicon into the titanium nitride layer such that the silicon is incorporated into the titanium nitride layer as silicon nitride. Also provided is a method of integrating copper into a semiconductor device and a method of improving copper wettability at a copper/titanium nitride interface in a semiconductor device.

Description

CVD TiSiN BARRIER FOR COPPER INTEGRATION
BACKGROUND OF THE INVENTION
F eld of the. Invention
The present invention relates generally to the field of semiconductor manufacturing. More specifically, the present invention relates to a method of achieving low contact resistance and to improving titanium nitride barrier performance for copper integration.
Description of the Rp.lat.ftd Art
With the down-scaling and increased speed of semiconductor devices being fabricated currently and with the levels of integration in VLSI and ULSI integrated chips, metallization processes require low resistance metals. Traditionally, aluminum has been used for interconnects i n semiconductor devices, but recently, copper, with its lower resistance and better electromigration lifetime than that of aluminum, has been used for integration. However, copper is very mobile in many of the materials used to fabricate semiconductor devices. Copper can diffuse quickly through certain materials including dielectrics such as oxides. This causes damage to nearby devices on the semiconductor substrate. Thus, it is necessary to have copper barrier layers in place to avoid any copper diffusion within the semiconductor device.
Titanium nitride layers can serve as barrier layers against diffusion, including copper diffusion, in semiconductor device structures, e.g., contacts, vias and trenches. Deposition of an effective and useable titanium nitride barrier layer realizes good step coverage, sufficient barrier thickness at the bottom o f device features and a conformai film having a smooth surface for further processing steps. However the TiN barrier layer must b e as thin as possible to accommodate the higher aspect ratios of today's devices. Additionally, the TiN barrier layer must be inert and must not adversely react with adjacent materials during subsequent thermal cycles, must prevent the diffusion o r migration of adjacent materials through it, must have low resistivity (exhibit high conductivity), low contact or via resistance and low junction leakage.
Titanium nitride layers can be deposited on a wafer by the rapid thermal nitridation of a titanium layer or by any deposition process, e.g., sputtering (PVD) and CVD. CVD deposition of titanium nitride barrier films eliminates the problems with metal reliability and junction leakage associated with PVD deposited TiN barrier films and is considered a cleaner process than PVD TiN. Additionally, the CVD process produces conformai films with good step coverage in the 0.35 micron o r less structures found in state of the art VLSI and ULSI devices. In a CVD process a metalorganic precursor such as tetrakisdimethylamino titanium (TDMAT) or tetrakisdiethylammo titanium (TDEAT) is thermally decomposed to deposit a titanium nitride layer.
However, MO CVD TiN does not have as good barrier performance to copper diffusion as, for example, IMP tantalum o r IMP tantalum nitride. This film contains carbon and is a porous film that easily absorbs oxygen thereby becoming highly resistive and unstable. It is critical to have an effective barrier with copper metallization. Electromigration of copper into the silicon substrate ruins device performance.
Barrier performance of a TiN film can be improved by altering the method of deposition and/or the components of the film. Titanium nitride sputtered by using high density plasma techniques, such as those where a relatively large proportion of the material sputtered from the target is ionized and electrically attracted to the substrate, has produced smooth conformai films with low resistivity for subsequent aluminum deposition thereon. Titanium-silicon-nitrogen compounds provide a better diffusion barrier for aluminum or copper interconnects than titanium nitride barriers. Silane is used to incorporate silicon into a MOCVD TiN film in such a manner that a silicon rich surface is formed on the titanium nitride. This method does not utilize a n in situ plasma step to further improve the film properties of th e titianium nitride layer -and the silicon is primarily deposited o n the surface of the underlying titanium nitride layer.
Additionally, a high temperature method to deposit a porous titanium nitride layer with subsequent exposure firstly t o a silicon-containing gas ambient and secondly to a low plasma power generated N2/H2 plasma incorporates silicon, as silicon nitride, primarily on the surface of the titanium nitride film. However, lower wafer temperatures are desired, as previously deposited material may have critical heat and temperature limitations. For example, current generation low k dielectric materials require wafer temperatures below approximately <380- 400 °C. Also, higher rf power can provide for efficient film treatment realizing low film resistivity and via resisance and providing for faster throughput. Thus, there exists a need in th e art to further improve the barrier performance of titanium films for subsequent copper integration.
Therefore, a need exists for an improved effective means of improvin titanium nitride barrier performance for copper integration. Specifically, there is a lack of effective means of incorporating silicon as silicon nitride into a titanium nitride layer thereby improving barrier performance for copper integration. The present invention fulfills these long-standing needs and desires in the art. SUMMARY OF THE INVENTION
One embodiment of the present invention provides a method of forming a titanium silicon nitride barrier layer on a semiconductor wafer, comprising the steps of depositing a titanium nitride layer on the semiconductor wafer; plasma- treating the titanium nitride layer in a N2/H2 plasma; and exposing the plasma-treated titanium nitride layer to a silicon- containing gas ambient, wherein silicon is incorporated into the titanium nitride layer as silicon nitride thereby forming a titanium silicon nitride barrier layer.
Another embodiment of the present invention provides a method of forming a titanium silicon nitride barrier layer on i a semiconductor wafer, comprising the steps of vaporizing a tetrakisdimethylamino titanium; introducing the vaporized tetrakisdimethylamino titanium into a deposition chamber of a CVD apparatus; maintaining the deposition chamber at a pressure of about 5 Torr and the wafer at a temperature of about 360°C; thermally decomposing the tetrakisdimethylamino titanium gas in the deposition chamber; vapor-depositing th e titanium nitride film onto the wafer; plasma-treating the titanium nitride layer in a N2/H2 plasma at a plasma power of about 750W for about 35 seconds wherein a single titanium nitride layer having a thickness of about 5θA is formed; and exposing the plasma-treated titanium nitride layer to a silane gas ambient for about 10 seconds, wherein silicon is incorporated into the titanium nitride layer as silicon nitride thereby forming a titanium silicon nitride barrier layer. Yet another embodiment of the present invention provides a method of improving the barrier performance of a titanium nitride layer comprising the step of introducing silicon into the ' titanium nitride layer such that the silicon is incorporated into the titanium nitride layer as silicon nitride wherein the barrier performance of the titanium nitride layer is improved.
In yet another embodiment of the present invention there is provided a method of improving the barrier performance of a titanium nitride layer comprising the steps of vaporizing tetrakisdimethylamino titanium; introducing the vaporized tetrakisdimethylamino titanium into a deposition chamber of a CVD apparatus; maintaining the deposition chamber at a pressure of about 5 Torr and the wafer at a temperature of about 360°C; thermally decomposing the tetrakisdimethylamino titanium gas in the deposition chamber; vapor-depositing the titanium nitride film onto the wafer; plasma- treating the titanium nitride layer in a N2/H2 plasma at a plasma power of about 750W for about 3 5 seconds wherein a single titanium nitride layer having a thickness of about 5θA is formed; and exposing the plasma-treated titanium nitride layer to a silane gas ambient for about 10 seconds, wherein silicon is incorporated into the titanium nitride layer as silicon nitride thereby improving the barrier performance of the titanium nitride layer.
In yet another embodiment of the present invention there is provided a method of integrating copper into a semiconductor device comprising the steps of forming a titanium silicon nitride^ barrier in a feature of the semiconductor device by the methods disclosed -supra and depositing a copper layer over the titanium silicon nitride barrier thereby integrating copper into the ' semiconductor device.
In yet another embodiment of the present invention there is provided a method of improving copper wettability at an interface of a copper layer and a titanium nitride layer in a semiconductor device comprising the steps of introducing silicon into the titanium nitride layer as silicon nitride by the methods disclosed supra such that that a titanium silicon nitride layer is formed; and depositing a copper layer over the titanium silicon nitride layer wherein copper wettability at the interface of th e copper layer and the titanium silicon nitride layer is improved over copper wettability at the copper/titanium nitride interface.
Other and further aspects, features, and advantages of the present invention will be apparent from the following description of the embodiments of the invention given for the purpose of disclosure.
BRIEF DESCRIPTION OF THE DRAWINGS
So that the matter in which the above-recited features, advantages and objects of the invention, as well as others which will become clear, are attained and can b e understood in detail, more particular descriptions of th e invention briefly summarized above may be had by reference t o certain embodiments thereof which are illustrated in th e appended drawings. These drawings form a part of th e specification. It is to be noted, however, that the appended drawings illustrate embodiments of the invention and therefore are not to be considered limiting in their scope.
■ Figure 1 is a partial schematic of a HP + TxZ chamber showing how the silane line is introduced into the chamber
I through a line separate from that of nitrogen (Figure 1A ) and of the HP + TxZ modified chamber lid showing the two gas line spaces for silane and nitrogen in the gas box (Figure IB) .
Figure ' 2 is a flow diagram of the MOCVD TiSiN process .
Figure 3 shows silane flow-time experiments measuring sheet resistance as the duration of air exposure increases for differing silane exposure times. Films are plasma treated for 30 sees. Figure 3 A: 30 seem SiH4, 100 seem N2 and 1.3 Torr. Figure 3B: 80 seem SiH4, 300 seem N2 and 2 Torr. Figure 4 measures sheet resistance as the duration o f the silane treatment increases for plasma treated TiN film (Figure 4 A ) and non-plasma treated TiN film (Figure 4B ) initially after silane treatment and after a day of air exposure. Silane treatment was performed with a flow rate of 30 s eem silane under 1.3 Torr pressure. Plasma exposure was 35 sec.
Figure 5 shows a TEM of the bottom and corner coverage before and after a silane soak of the titanium nitride film.
Figure 6 shows a void-free 0.17 μm ECP copper fill a t an aspect ratio of 10:1 with 1 x 50 A TiSiN. B arrier/Seed: degas/100 A pre-clean/50 A TiSiN/2000 A SIP copper.
Figure 7 depicts the wettability of 200 A copper on 1 x 50 A TiN (Figure 7 A ) and on 1 x 50 A TiSiN (Figure 7B ) . Copper film is annealed at 380 °C for 15 min.
Figure 8 shows the percent change in sheet resistance of annealed 500 A SIP copper on TiSiN with increasing silane exposure. Process conditions are 80 seem SiH4, 300 s eem N2 and 2 Torr.
Figure 9 is a SIMS profile of copper diffusion through TiSiN plasma treated for various times and then silane. Film stack: 50θA SIP Cu/5θA TiN (split)/3kA oxide/Si, annealed at 3 80 °C for 30 min. Figure 10 shows the WIW and WTW sheet resistance uniformity for lx5θA TiSiN films (Figure 10 A) and lx35A TiSiN films (Figure 10B) for a 5000 wafer run. Wafers had a 3 m m edge exclusion.
Figure 11 shows the WIW and WTW thickness uniformity for lx5θA TiSiN films (Figure 11 A) and lx35A TiSiN films (Figure 11B ) for a 5000 wafer run. Thicknesses are calculated from XRF intensities.
Figure 12 shows the average mechanical and system adders (Figure 12 A) and the average in-film particles and system adders (Figure 12B) at >0.16 μm for a 5000 wafer run.
Figure 13 shows the effect of one hour of chamber idle time on wafer processing for the sheet resistance and resistance uniformity (Figure 1 A) and the film thickness (Figure 13B) for lx5θA film.
DETAILED DESCRIPTION OF THE INVENTION
One embodiment of the present invention provides a method of forming a titanium silicon nitride barrier layer on a semiconductor wafer, comprising the steps of depositing a titanium nitride layer on the semiconductor wafer; plasma- treating the titanium nitride layer in a N /H2 plasma; and exposing the plasma-treated titanium nitride layer to a silane ambient, wherein silicon is incorporated into the titanium nitride layer as silicon nitride thereby forming a titanium silicon nitride barrier layer.
In one aspect of this embodiment the titanium nitride layer is deposited by a method comprising the steps of vaporizing a metalorganic titanium/nitrogen-containing compound; introducing the vaporized titanium/nitrogen-containing compound into a deposition chamber of a CVD apparatus; maintaining the deposition chamber at a pressure and the wafer at a temperature suitable for the high pressure chemical vapor deposition of the titanium nitride film onto the wafer; thermally decomposing the titanium/nitrogen-containing gas in th e deposition chamber; and vapor-depositing the titanium nitride film onto the wafer.
I
In this aspect of the present invention the metalorganic compound may be tetrakisdimethylamino titanium or tetrakisdiethylamino titanium. The deposited titanium nitride layer can have a thickness of 5A to 100 A. A representative thickness is about 5θA. Generally, the deposition chamber pressure can be from about 1 Torr to about 10 Torr. A representative example is 5 Torr. The wafer temperature can b e from about 320 °C to about 420 °C. A representative example is about 360 °C. The titanium nitride layer is plasma treated at a plasma power of about 600 to about 1500W. A representative example is about 750W plasma treatment in about 15 seconds t o about 40 seconds with 35 seconds being a representative example. The silicon-containing gas may be silane, disilane, methylsilane, or dimethylsilane. Exposure to the silicon- containing gas ambient is from about 4 seconds to about 20 seconds with ten seconds as a representative example for duration of exposure.
In another aspect of this embodiment of the present invention the titanium nitride layer may be deposited and plasma- treated incrementally without an intervening step prior t o exposure of the layer to a silane ambient. A representative number of such cycles is two with both cycles depositing a titanium nitride layer having a thickness of about 5 A to about 5 0 A with 25 A being a representative example. Plasma treatment of these titanium nitride layers is from about 5 seconds to 3 0 seconds with 15 seconds being a representative exatmple.
I
Another embodiment of the present invention provides a method of forming a titanium silicon nitride barrier layer on a semiconductor wafer, comprising the steps of vaporizing tetrakisdimethylamino titanium; introducing the vaporized tetrakisdimethylamino titanium into a deposition chamber of a CVD apparatus; maintaining the deposition chamber at a pressure of about 5 Torr and the wafer at a temperature of about 360 9C; thermally decomposing the tetrakisdimethylamino titanium gas in the deposition chamber; vapor-depositing the titanium nitride film onto the wafer; plasma-treating the titanium nitride layer in a N2/H2 plasma at a plasma power of about 750W for about 35 seconds wherein a single titanium nitride layer having a thickness of about 5θA is formed; and exposing the plasma-treated titanium nitride layer to a silane gas ambient for about 10 seconds, wherein silicon is incorporated into th e titanium nitrjde layer as silicon nitride thereby forming a titanium silicon nitride -barrier layer.
Yet another embodiment of the present invention provides a method of improving the barrier performance of a titanium nitride layer comprising the step of introducing silicon into the titanium nitride layer such that the silicon is incorporated into the titanium nitride layer as silicon nitride s o that the barrier performance of the titanium nitride layer is improved. The titanium nitride layer and the incorporation of silicon as silicon nitride therein can be accomplished by the methods disclosed supra.
In an aspect of this embodiment of the present
I invention the titanium nitride layer may be deposited and plasma- treated incrementally without an intervening step prior t o exposure of the layer to a silane ambient using the methods and examples disclosed supra for such incremental deposition.
In yet another embodiment of the present invention there is provided a method of improving the barrier performance of a titanium nitride layer comprising the steps of vaporizing tetrakisdimethylamino titanium; introducing the vaporized tetrakisdimethylamino titanium into a deposition chamber of a CVD apparatus; maintaining the deposition chamber at a pressure of about 5 Torr and the wafer at a temperature of about 360 °C; thermally decomposing the tetrakisdimethylamino titanium gas in the deposition chamber; vapor-depositing the titanium nitride film onto the wafer; plasma-treating the titanium nitride layer in a N2/H2 plasma at a plasma power of about 750W for about 3 5 seconds wherein a single titanium nitride layer having a thickness of about 5θA is formed; and exposing the plasma-treated titanium nitride layer to a silane gas ambient for about 10 seconds, wherein silicon is incorporated into the titanium nitride layer as silicon nitride thereby improving the barrier performance of the titanium nitride layer.
In yet another embodiment of the present invention provides a method of integrating copper into a semiconductor device comprising the steps of forming a titanium silicon nitride barrier in a feature of the semiconductor device by the methods disclosed supra and depositing a copper layer over (the titanium silicon nitride barrier thereby integrating copper into the semiconductor device.
In yet another embodiment of the present invention there is provided a method of improving copper wettability at a n interface of a copper layer and a titanium nitride layer in a semiconductor device comprising the steps of introducing silicon into the titanium nitride layer as silicon nitride by the methods disclosed supra such that a titanium silicon nitride layer is formed; and depositing a copper layer over the titanium silicon nitride layer wherein copper wettability at the interface of the copper layer and the titanium silicon nitride layer is improved over copper wettability at the copper/titanium nitride interface. Barrier performance of a titanium nitride layer is improved with the addition of silicon, as primarily SiN, inside the TiN layer. This is accomplished by exposing the titanium nitride film to a silicon-containg gas ambient. Such an ambient can be a silane, a disilane, a methylsilane, or a dimethylsilane ambient. A silane soak of the titanium nitride layer allows silicon to b e incorporated into the layer to form the silicon nitride. However, the plasma duration and the silane treatment need to be adjusted in order to incorporate the silicon as silicon nitride and not free silicon. The TiN treatment is optimized to allow the silane t o react fully with the film where the precursor is not fully reacted but not to degrade copper resistivity.
. The silane reacts with the non-fully reacted molecule of TDMAT incorporated into the film. It breaks the N-C bond and forms a SiN bond in the film. Non-fully reacted means that, during the thermal decomposition of the precursor TDMAT, no t all of the CH3 groups are eliminated, thus TiNCH3 bonds remain in the film. During the plasma treatment the concentration in carbon decreases because the NCH3 groups are replaced by th e nitrogen from the plasma. Therefore a plasma treated film reacts less with silane than a non-plasma treated film.
In order to achieve a good barrier, SiN bonds in the amorphous matrix around the TiN nanocrystallite need to b e maximized, but at the same time unreacted silane needs to b e prevented from subsequently reacting with the copper and thereby forming a solid solution of copper and silicon or, even worse, a precipitate of copper suicide as both compounds have high resistivity.
If this is not the case, the silicon migrates during annealing ' inside the copper which leads to poor contact resistance. However, if the TiN is not plasma treated sufficiently, carbon remains in the film and the material resistivity remains high and results in high contact resistance. Both plasma duration as well as the silane treatment conditions (duration, dilution and pressure) must be optimized in order to minimize the contact resistance impact of silane treatment and to achieve the bes t barrier properties.
« The following examples are given for the purpose of illustrating various embodiments of the invention and are no t
I meant to limit the present invention in any fashion.
EXAMPLE 1
200 mm TxZ chamber modifications
A high-pressure process in a standard Applied Materials TxZ chamber is used for formation of the titanium nitride barrier layer. Low-resistivity titanium nitride thin-films are thermally deposited using a high-pressure MOCVD process. TDMAT is currently used as a precursor although TDEAT, among others, can also be used. The TiN thin film is subsequently plasma post treated with an H2/N2 plasma generated by a high plasma power of from 600 to 1500 Watts in order to reduce th e film resistivity,. Following the plasma post treatment, the layer is , exposed to a silane soak*.
In order to accomplish this TiSiN process, the hardware is built based on a TxZ chamber where SiH4 is introduced through a second line into the chamber separate from the TDMAT line (Figure 1A). In this configuration the 200 m m TxZ gas box has two gas line spaces for silane and nitrogen. The chamber lid is modified so that the gas pass through parts accommodate silane gas separately via a second gas feed (Figure IB).
The TxZ chamber hardware is also modified to include an independent line with purge capability. Thus, pump purge time is optimized and silane residue in the chamber is avoided.
I
This residue reacts with the copper at the surface of the next wafer and causes particle formation and high resistivity. The chamber can be set up as follows:
Precursors: TDMAT TDEAT Carrier gases: Ar, N2, He Chamber pressure: 1 to 10 Torr Wafer temperature: 320 °C to 370 °C EXAMPLE 1
Overview of the formation of TiSiN harrier layer
The formation of the TiSiN barrier layer comprises a basic three step process as shown in Figure 2. TDMAT is vaporized and, upon heating of the wafer, is thermally decomposed as a film deposited on the wafer at a low temperature of about 360°C which corresponds to a heater temperature of about 380°C and at a high chamber pressure of 5 Torr. The process can be run with low wafer temperatures ranging from about 320°C to about 370°C and chamber pressures ranging from about 1 to about 10 Torr.
' The decomposition rate of TDMAT is controlled by various process conditions. The step coverage and the deposition rates depend on the wafer temperature. As the decomposition o f TDMAT is a pyrolytic process, the rate of decomposition and thereby the rate of depositon on the wafer increases with th e wafer temperature. It is possible to compensate for the loss in deposition rate at a low temperature by an increase in precursor delivery. The deposition temperature is dependant on the type of application, e.g., the type of low K dielectric needed. However, a spacing change affects wafer temperature and thus the deposition rate is affected. Concomitantly, an increase in chamber pressure and/or an increase in TDMAT flow will increase the deposition rate. Additionally, increasing the N2 or He carrier gas dilution flow will decrease the deposition rate. The resultant deposited film comprises TiN(C). The TiN(C) film is treated with a low frequency 350 kHz induced N2/H2 plasma generated by a high plasma power of 750 W. Such treatment reduces carbon concentration as described supra. The plasma treatment duration depends on the thickness of th e TiN(C) film deposited. For a targeted thickness of 5θA ( 1 x50 process) the plasma treatment is about 35s with a range of about 4 seconds to 40 seconds for titanium nitride thicknesses of about 5A to about lOOA.
A film can be deposited incrementally until the desired thickness is reached by repeating the thermal decomposition/deposition and plasma-treating steps. The plasma treatment 'duration depends on the thickness of the deposited film; each incremental thickness ranging from about 5 A to about 5θA with concomitant plasma treatment times of about 5 seconds to about 30 seconds. Additionally, a 5θA film can be deposited i n a 2x25 process. Here a layer of. about 25 A is deposited and subsequently plasma-treated for about 15s, the deposition step is repeated for another 25 A layer followed by another plasma treatment.
The TiN film is subsequently exposed to a silicon- containing gas ambient such as a silane soak to yield a TiSiN film having the silicon primarily incorporated as silicon nitride. The silane treatment is performed at a pressure from about 0.8Torr to about 5Torr. Little effect on the efficacy of the silane treatment is found due to the pressure. A pressure of about 1 .3 Torr to about 2 Torr is used because, at these pressures, the delta pressure between the front and the back of the wafer is sufficient to vacuum chuck the wafer and avoid any wafer motion; 2 Torr provide better chucking efficiency. It is important to have a stable regime and not a transient one for this process. In .the transition from the plasma treatment step to the silane treatment step the plasma treatment pressure needs to reduce or increase to the silane treatment pressure where silane flow is below lOOsccm and where treatment time is for only 10s. A stable regime for these changes in conditions provides for reproducibility in the process.
EXAMPLE 2
I
XPS analysis of a TiSiN film
An X-ray photoelectron spectroscopy depth profile of a TiSiN layer with and without the N2/H2 plasma treatment is shown in Table 1. The oxygen found in the plasma treated TiSiN film is due to air exposure of the wafer samples. The pre-plasma TiN layer as deposited is very porous and amorphous. Plasma treatment of this layer transforms the amorphous film into a nanocrystalline film that is denser. Thus, plasma treatment eliminates the majority of carbon and oxygen from the film, the altered denser crystalline structure allows less silicon incorporation into plasma treated films. TABLE 1 l> Film Composition (%)
Ti N C O i
TiSiN No Plasma 28.5 21 .2 28.8 14.5 7.0
TiSiN Plasma 40.5 48.2 5.6 1.4 4.4
An XPS profile can only show the elemental composition of the film and not how the elemental components are bonded within the film. Table 2 shows the possible bonding states of the elements in the TiSiN film without a plasma treatment prior to the silane soak or with said plasma treatment.
TABLE 2
Bonding State of Each Element TiSiN No Plasma TiSiN
Plasma
Ti TiN & TiN & TiCx (TiCx &/or TiOx)
N TiN TiN & N-C-H
C TiCx & C-C & (C-H) TiCx
O TiOx &/or TiCxOy -
Si SixNy SixNy TDMAT is thermally decomposed at 360°C and the decomposition products are deposited on the substrate. The decomposition reaction is :
360°C Ti(N(CH3)2)4 TiN(C) + HN(CH3)2 + H2NCH3 + HN(CH2)2
Other hydrocarbons may be formed during this pyrolytic process . Note that oxygen is not an elemental byproduct of the pyrolytic decomposition of TDMAT. Oxygen is incorporated into th e untreated film when the wafer is exposed to air.
The N 2/H2 plasma treatment of the deposited film significantly reduces carbon and oxygen levels within the film. This creates a layer comprising TiCxNyHz which yields byproducts CxHy + HNR2 that are subsequently pumped out of the chamber system. ' This scheme assumes that oxygen is not incorporated into the system. The resulting plasma-treated TiN film comprises a layer that is 50-60% of the as deposited thickness.
EXAMPLE 3
Silane treatment vs. sheet resistance
In situ plasma treatment of TiN films improves film properties. The N /H2 plasma treatment significantly reduces th e amounts of carbon and oxygen in the film and thereby lowers resistivity. The post-treatment silane soak after standard MOCVD TiN deposition improves barrier properties. The silicon, as SiN, incorporated into the TiN film reduces oxidation of the film upon exposure to ai/ also reducing resistivity of the TiSiN barrier layer.
TiN plasma-treated films exposed to silane for increasing amounts of time are tested for sheet resistance after air exposure (Figures 3A & 3B). The resistivity of a lx5θA film is reduced from about 650 μΩ-cm to below 600 μΩ-cm with silane exposure. Resistivity reduction stabilizes for ten seconds of silane exposure or more. Figure 3B reinforces the importance of selection of the proper silane treatment conditions; higher silane flow and dilution achieves a higher front pressure of 2 Torr and is in a stable regime during the silane treatment of the wafer for chucking performances. A five second silane treatment at the higher flow rates and pressure of Figure 3B resulted in a higher sheet resistance for any length of air exposure in comparison to
I the conditions used in Figure 3A.
A silane soak reduces measured resistivity of both treated and untreated film. The sheet resistance of a TiN film plasma treated for 35 sees (Figure 4A) is significantly less th an that of the untreated TiN film (Figure 4B) for any length of silane treatment duration. Again sheet resistance levels stabilize after about ten seconds of silane treatment. Sheet resistance after a day of air exposure is also measured. This demonstrates that the combination of a N2/H2 plasma treatment and a post-treatment silane soak is more effective at reducing oxidation and maintaining a lower sheet resistance of the film than a silane soak of an untreated TiN film. EXAMPLE 4
Reduction of Sidewall Thickness
A silane soak of a TiN film improves sidewall barrier properties; it also improves film stability of the sidewall, especially the less treated sidewall. A silane treatment reduces TiN sidewall thickness. Figure 5 is a transmission electron micrograph showing that silane treatment of a plasma-treated TiN film yields good bottom and corner coverage and reduces the sidewall thickness from 68 A to 52 A. It is also apparent from th e TEM that silane treatment of a TiN film produces a TiSiN film with good step coverage and a continuous smooth morphology along the bottom, and sidewalls of the structure.
EXAMPLE 5
CVD TiSiN as a copper barrier layer
The continuous and smooth morphology of TiSiN films enhance the self-ionizing plasma (SIP) deposition of copper seed with electroplating (ECP) filling capability. Figure 6 shows that a n electroplating fill of 2 kA SIP copper on 1x50 A TiSiN barrier can be void free in a 0.17 μm 10:1 aspect ratio structure. It is necessary to consider copper wettability at the Cu/barrier interface since this interface is the primary path for copper migration. Wettability of copper is also enhanced with a 1x50 A TiNiS barrier layer. A 200 A copper film annealed at 380 °C for 15 min. on 1x50 A TiN showed dewetting (Figure 7 A) whereas the same copper film on a 1x50 A TiNiS layer demonstrates n o dewetting (Figure 7B). -
EXAMPLE 6
Sheet resistance of annealed SIP copper on TiSiN
Silane treatment of TiN films improves the barrier properties of the films. However, an over-extended silane treatment of the TiN film can degrade copper resistivity causing the silicon to migrate inside the copper during annealing and thu s leading to poor contact resistance. However if the TiN is no t treated sufficiently, the material resistivity remains high . and will give high contact resistance.
Figure 8 shows the percent change in sheet resistance with increasing silane exposure of an annealed 500 A SIP copper . The copper was annealed at 380°C for 15 min. The percent change in copper Rs on standard MOCVD TiN is about 26%. The copper sheet resistance is reduced after the anneal in all cases and the percent change decreases with increasing silane exposure.
EXAMPLE 7
TiSiN harrier performance against copper diffusion
TiSiN showed good barrier properties for oxide. A SIMS profile of an annealed SIP Cu/TiSiN/oxide stack demonstrates that silane soaked TiN layers with or without plasma treatment significantly impeded copper diffusion into th e oxide substrate (Figure -9). Again this demonstrates the tunable relationship between plasma-treatment duration and silane treatment' duration. The less plasma-treated layers impede copper diffusion better than those of longer plasma treatment duration. The barrier efficiency is related to the formation of silicon nitride in the TiN film; i.e., as explained supra, the longer the plasma duration, the less carbon in the film and, therefore, the less SiN formed upon silane exposure.
A comparison of actual barrier performance between TiSiN and IMP Ta 5θA films in a capacitor BTS test showed similar mean-times-to-failure (MTTF) (data not shown).
EXAMPLE 8
TiSiN adhesion on SIP copper
IMP TaN provides an excellent barrier layer for SIP copper. In comparison with IMP TaN, TiSiN demonstrates good adhesion on SIP copper. TiSiN on SIP copper also has similar reflectivity characteristics as SIP copper on a TaN film. The reflectivity values for 1x50 A TiSiN with or without plasma treatment but with silane treatment are practically identical; it is the silane treatment, the incorporation of SiN into the TiN layer that is improving barrier properties. This is further reinforced by comparing the reflectivity values of a plasma treated TiN film with the those of the TiSiN films. Reflectivity is less than either the TiSiN films or the LMP TaN film. Table 3 summarizes the results of the reflectivity and adhesion tests.
TABLE 3
Reflectivity and Adhesion of 500 A STP Copper
Reflectivity
*Adhesion before anneal
After
480nm 436n m anneal .
IMP TaN 250A/SIP 50θA 132.04 119.94 Pass
I
TiN lx5θA Plasma 35s/ SIP 50θA 116.79 105.05 Pass TiSiN lx5θA Plasma 0s SiH4/ SIP 50θA 127.79 116.13 Pass TiSiN 1 x5θA Plasma 35s SΪH4/ STP 0θA 127.09 1 15.88 Pass
*Pass scribe and scotch tape test
EXAMPLE 9
Repeatability of TiSiN Process-5000 wafer run
Over the course of a 5000 wafer run the TiSiN process maintains sheet resistance uniformity (Figure 10A and 10B) and thickness uniformity (Figure 11A and 11B) for within wafer (WIW) and wafer to wafer (WTW) measurements. Comparing a 1x50 A TiSiN film with a 1x35 A TiSiN film shows that the thinner film has a slightly improved sheet resistance uniformity within wafer, but the wafer to wafer uniformity over the 5000 wafer ru n is almost identical. The low bulk resistivity of the 1x50 A TiSiN film is about 273 μΩ-cm.
Thickness uniformity for the lx5θA and lx35A TiSiN films is calculated from XRF intensities. Wafer to wafer thickness uniformity for both of these films is excellent and is almost identical for the 5000 wafer run. Table 4 summarizes these results.
TABLE 4
UNIFORMITY
Sheet Resistance Thickness
TiSiN Film WTW, % WTW, % σ WIW, % WTW, % 1 τ
1x50 A 6.7 2.6 2.3
l x35A 4.8 2.8 2.5
The average number of mechanical particle defects added (mechanical adders) to a wafer after processing for a >0.16 μm feature remains low for all 5000 wafers at 9.9 (Figure 12A). The average number of in-film particle defects (particle adders) is also relatively constant at 15 adders for > 0.16 μm feature (Figure 12B), although since this measurement is taken after film deposition, the variation over the course of the 5000 wafer run is reasonably greater than that for mechanical adders . The number of system adders for both mechanical and in-film determinations is 10.
EXAMPLE 10
Effect of chamber idle time
The chamber appartus used for the formation of TiSiN films exhibits rapid chamber recovery from idle time. Figure 13 A shows that sheet resistance declines to under 600 Ω/sq and remains constant after three wafers are processed; Rs uniformity also remains constant at an average of approximately 7%, lσ. Figure 13B indicates that a 50 A film thickness is achieved and maintained after only three wafers. Therefore, few wafers are lost waiting for the chamber to recover thus insuring a higher yield.
The following references are relied upon herein:
1 . J.-P. Lu. Process for Fabricating Conformai Ti-Si-N and Ti-B-N Based Barrier Films with Low Defect Density. U.S.S.N. 6,017 , 818 (Jan. 25, 2000).
2 . Hsu, W.-Y., Hong, Q.-Z. and Lu, J.-P. Barrier/Liner with a SiNx-Enriched Surface Layer on MOCVD Prepared Films. U.S.S.N. 6,037,013 (Mar. 14, 2000). 3 . S. Lopatin. Low Resistivity Semiconductor Barrier Layers and Manufacturing Method Therefor. U.S.SN. 6,144,096 (Nov. 7 , 2000. )
4. K. Ngan and S. Ramaswami. Method of Producing Smooth Titanium ' Nitride Films Having Low Resistivity. U.S.S.N. 6, 149,777 (Nov. 21, 2000).
5 . T. Harada, S. Hirao, S. Fujii, S. Hashimoto, and M. Shishino. Surface Modification of MOCVD-TiN Film by Plasma Treatment and SiH4 Exposure for Cu Interconects. Materials Research Society Conference Proceedings ULSI XIV, pp. 329-335 (1999).
One skilled in the art will readily appreciate that the present invention is well adapted to carry out the objects and obtain the ends and advantages mentioned, as well as those inherent therein. It will be apparent to those skilled in the art that various modifications and variations can be made in practicing the present invention without departing from the spirit or scope of the invention. Changes therein and other uses will occur to those skilled in the art which are encompassed within the spirit of the invention as defined by the scope of the claims.

Claims

WHAT IS CLAIMED IS:
1 . A method of forming a titanium silicon nitride barrier layer on a semiconductor wafer, comprising the steps of:
( a) depositing a titanium nitride layer on th e semiconductor wafer;
( b ) plasma-treating the titanium nitride layer in a N2/H2 plasma; and
( c ) exposing the plasma-treated titanium nitride layer to a silicon-containing gas ambient, wherein silicon is incorporated into the titanium nitride layer as silicon nitride thereby forming a titanium silicon nitride barrier layer.
1
2. The method of claim 1, wherein the deposition of the titanium ; nitride layer comprises the steps of:
( a ) vaporizing a metalorganic titanium/nitrogen- containing compound;
( b ) introducing the vaporized titanium/nitrogen- containing compound into a deposition chamber of a CVD apparatus ;
( c ) maintaining the deposition chamber at a pressure and the wafer at a temperature suitable for the high pressure chemical vapor deposition of the titanium nitride layer onto the wafer;
( d) thermally decomposing the titanium/nitrogen- containing gas in the deposition chamber; and ( e) vapor-depositing the titanium nitride layer onto the wafer.
'
3 . The method of claim 2, wherein the titanium nitride layer has a thickness of about 5 A to about 100 A.
4. The method of claim 2, wherein the metalorganic titanium/nitrogen-containing compound comprises tetrakisdimethylamino titanium or tetrakisdiethylamino titanium.
• 5 . The method of claim 2, wherein the chamber pressure is from about 1 Torr to about 10 Torr.
6. The method of claim 2, wherein the wafer temperature is from about 320°C to about 370°C.
7 . The method of claim 1, wherein the plasma treating step occurs at a plasma power of about 600W to about 1500W.
8 . The method of claim 1, wherein the titanium nitride layer is plasma treated for about 4 seconds to about 40 seconds.
9 , The method of claim 1, wherein the silicon- containing gas comprises silane, disilane, methylsilane, o r dimethylsilane.
10. The method of claim 1, wherein the exposure t o the silicon-containing gas ambient is from about 4 seconds t o about 20 seconds.
1 1 . The method of claim 1, wherein a cycle of the steps comprising depositing the titanium nitride layer and plasma-treating the deposited titanium nitride layer is repeated with no intervening step thereby depositing the titanium nitride layer incrementally prior to exposing the titanium nitride layer t o the silicon-containing gas ambient.
12. A method of forming a titanium silicon nitride barrier layer on a semiconductor wafer, comprising the steps of:
(a) vaporizing tetrakisdimethylamino titanium;
( b ) introducing the vaporized tetrakisdimethylamino titanium into a deposition chamber of a CVD apparatus;
(c ) maintaining the deposition chamber at a pressure of about 5 Torr and the wafer at a temperature of about 360 °C; ( d ) thermally decomposing the tetrakisdimethylamino titanium gas in the deposition chamber;
( e ) vapor-depositing the titanium nitride film onto the wafer;
(f) plasma-treating the titanium nitride layer in a N 2/H2 plasma at a plasma power of about 750W for about 1 5 seconds wherein a titanium nitride layer having a thickness of about 25 A is formed;
( g) repeating steps (a) through (f) wherein a second titanium nitride layer having a thickness of about 25 A is formed directly on the first deposited titanium nitride layer thereby forming a titanium nitride layer having a total thickness of 50 A; and
( h ) exposing the plasma-treated titanium nitride layer to a silane gas ambient for about 10 seconds, wherein silicon is incorporated into the titanium nitride layer as silicon nitride thereby forming a titanium silicon nitride barrier layer.
13 . A method of improving the barrier performance of a titanium nitride layer comprising the steps of: introducing silicon into the titanium nitride layer such that the silicon is incorporated into the titanium nitride layer as silicon nitride wherein the barrier performance of the titanium nitride layer is improved.
14. The method of claim 13, wherein the introduction of silicon into the titanium nitride layer as silicon nitride comprises the steps of:
( a) vaporizing a metalorganic titanium/nitrogen- containing compound;
(b ) introducing the vaporized titanium/nitrogen- containing compound into a deposition chamber of a CVD apparatus;
( c ) maintaining " the deposition chamber at a pressure and the wafer at a temperature suitable for the high pressure chemical vapor deposition of the titanium nitride film onto the wafer;
(d) thermally decomposing the titanium/nitrogen- containing t gas in the deposition chamber;
( e ) vapor-depositing the titanium nitride film onto the wafer1;
(f)' • plasma treating the titanium nitride layer in a N2/H2 plasma; and . .
(g) exposing the plasma-treated titanium nitride layer to a silicon-containing gas ambient, wherein silicon is incorporated into the titanium nitride layer as silicon nitride.
15. The method of claim 14, wherein the metalorganic titanium/nitrogen-containing compound comprises tetrakisdimethylamino titanium or tetrakisdiethylamino titanium.
16. The method of claim 14, wherein the silicon- containing gas comprises silane, disilane, methylsilane o r dimethylsilane.
1 7. The method of claim 14, wherein a cycle of th e steps (d) through (f) is repeated with no intervening step thereby depositing a titanium nitride layer incrementally prior t o exposing the deposited titanium nitride layer to the silane ambient of step (g).
1 8 . A method of improving the barrier performance of a titanium nitride layer comprising the steps of:
1 ( a ) vaporizing tetrakisdimethylamino titanium;
( b'); introducing the vaporized tetrakisdimethylamino titanium into a deposition chamber of a CVD apparatus;
( c ) maintaining the deposition chamber at a pressure of about 5 Torr and the wafer at a temperature of abou t 360 °C;
( d ) thermally decomposing th e tetrakisdimethylamino titanium in the deposition chamber;
( e ) vapor-depositing the titanium nitride film onto the wafer;
(f) plasma-treating the titanium nitride layer in a N2/H2 plasma at a plasma power of about 750W for about 1 5 seconds wherein a titanium nitride layer having a thickness of about 25A is formed;
(g) repeating steps (a) through (f) wherein a second titanium nitride layer having a thickness of about 25A is formed directly .on the first deposited titanium nitride layer thereby forming a titanium nitride layer having a total thickness of 50 A; and
( h ) exposing the plasma-treated titanium nitride layer to a silane gas ambient for about 10 seconds, wherein silicon is incorporated into the titanium nitride layer as silicon nitride thereby improving the barrier performance of th e titanium nitride layer.
1 9. A method of integrating copper into a semiconductor device comprising the steps of: forming a titanium silicon nitride barrier in a feature of the semiconductor device by the method of claim 1 ; and depositing a copper layer over the titanium silicon nitride barrier thereby integrating copper into the semiconductor device.
20. A method of improving copper wettability at a n interface of a copper layer and a titanium nitride layer in a semiconductor device comprising the steps of: introducing silicon into the titanium nitride layer as silicon nitride by the method of claim 1 such that a titanium silicon nitride layer is formed; and depositing a copper layer over the titanium silicon nitride layer wherein copper wettability at the interface of the
*< copper layer and the titanium silicon nitride layer is improved over copper wettability at the copper/titanium nitride interface.
PCT/US2002/014056 2001-05-07 2002-05-06 Tisin barrier formation with treatment in n2/h2 plasma and in silane WO2002091437A2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/851,519 US6596643B2 (en) 2001-05-07 2001-05-07 CVD TiSiN barrier for copper integration
US09/851,519 2001-05-07

Publications (2)

Publication Number Publication Date
WO2002091437A2 true WO2002091437A2 (en) 2002-11-14
WO2002091437A3 WO2002091437A3 (en) 2003-03-20

Family

ID=25310972

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2002/014056 WO2002091437A2 (en) 2001-05-07 2002-05-06 Tisin barrier formation with treatment in n2/h2 plasma and in silane

Country Status (2)

Country Link
US (2) US6596643B2 (en)
WO (1) WO2002091437A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7253108B2 (en) 2003-05-26 2007-08-07 Stmicroelectronics S.R.L. Process for forming a thin film of TiSiN, in particular for phase change memory devices

Families Citing this family (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6933021B2 (en) * 1995-07-06 2005-08-23 Applied Materials, Inc. Method of TiSiN deposition using a chemical vapor deposition (CVD) process
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US20040009336A1 (en) * 2002-07-11 2004-01-15 Applied Materials, Inc. Titanium silicon nitride (TISIN) barrier layer for copper diffusion
US7037863B2 (en) * 2002-09-10 2006-05-02 Samsung Electronics Co., Ltd. Post thermal treatment methods of forming high dielectric layers over interfacial layers in integrated circuit devices
KR100476482B1 (en) * 2002-12-14 2005-03-21 동부전자 주식회사 Method For Forming Barrier Metal
US6903013B2 (en) * 2003-05-16 2005-06-07 Chartered Semiconductor Manufacturing Ltd. Method to fill a trench and tunnel by using ALD seed layer and electroless plating
KR20060079144A (en) 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 Atomic layer deposition of barrier materials
US6861350B1 (en) * 2003-06-19 2005-03-01 Advanced Micro Devices, Inc. Method of manufacturing semiconductor device comprising silicon-rich tasin metal gate electrode
US20050037613A1 (en) * 2003-08-14 2005-02-17 Stephan Grunow Diffusion barrier for copper lines in integrated circuits
US20050186339A1 (en) * 2004-02-20 2005-08-25 Applied Materials, Inc., A Delaware Corporation Methods and apparatuses promoting adhesion of dielectric barrier film to copper
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7732307B2 (en) * 2004-06-14 2010-06-08 Aviza Technology Limited Method of forming amorphous TiN by thermal chemical vapor deposition (CVD)
US20060182885A1 (en) * 2005-02-14 2006-08-17 Xinjian Lei Preparation of metal silicon nitride films via cyclic deposition
WO2006102182A2 (en) * 2005-03-18 2006-09-28 Applied Materials, Inc. Process for electroless copper deposition
US7651934B2 (en) * 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
WO2007020874A1 (en) * 2005-08-16 2007-02-22 Hitachi Kokusai Electric Inc. Thin film forming method and semiconductor device manufacturing method
US7754906B2 (en) * 2005-10-07 2010-07-13 Air Products And Chemicals, Inc. Ti, Ta, Hf, Zr and related metal silicon amides for ALD/CVD of metal-silicon nitrides, oxides or oxynitrides
US20070128862A1 (en) 2005-11-04 2007-06-07 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US20070161233A1 (en) * 2005-12-28 2007-07-12 Seok Ka M Semiconductor Device and Method of Manufacturing the Same
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
KR100776174B1 (en) * 2006-08-24 2007-11-12 동부일렉트로닉스 주식회사 Semiconductor device including silicide and the manufacturing method therefor
KR100800647B1 (en) * 2006-08-29 2008-02-01 동부일렉트로닉스 주식회사 Fabrication method of gate electrode in semiconductor device
US7521379B2 (en) 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US8143157B2 (en) * 2006-11-29 2012-03-27 Nxp B.V. Fabrication of a diffusion barrier cap on copper containing conductive elements
US7678422B2 (en) * 2006-12-13 2010-03-16 Air Products And Chemicals, Inc. Cyclic chemical vapor deposition of metal-silicon containing films
KR100807065B1 (en) * 2006-12-27 2008-02-25 동부일렉트로닉스 주식회사 Method for forming metal wire in semiconductor device
US20080292811A1 (en) * 2007-05-25 2008-11-27 Choi Young-Jin Chamber idle process for improved repeatability of films
KR100956210B1 (en) * 2007-06-19 2010-05-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 Plasma enhanced cyclic deposition method of metal silicon nitride film
KR100865037B1 (en) * 2007-06-26 2008-10-23 주식회사 동부하이텍 Method of manufacturing flash memory device
US8291857B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
WO2011053288A1 (en) 2009-10-28 2011-05-05 Hewlett-Packard Development Company, L.P. Protective coating for print head feed slots
US9082702B2 (en) * 2012-02-27 2015-07-14 Applied Materials, Inc. Atomic layer deposition methods for metal gate electrodes
KR101189642B1 (en) * 2012-04-09 2012-10-12 아익스트론 에스이 Method for forming tisin thin layer by using atomic layer deposition
CN103632957A (en) * 2012-08-23 2014-03-12 上海华虹宏力半导体制造有限公司 Manufacturing method for semiconductor chip first layer metal barrier layer
US9384984B2 (en) 2013-09-03 2016-07-05 United Microelectronics Corp. Semiconductor structure and method of forming the same
US9105720B2 (en) 2013-09-11 2015-08-11 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US9837504B2 (en) * 2015-10-28 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of modifying capping layer in semiconductor structure
US10636705B1 (en) 2018-11-29 2020-04-28 Applied Materials, Inc. High pressure annealing of metal gate structures
US11542597B2 (en) 2020-04-08 2023-01-03 Applied Materials, Inc. Selective deposition of metal oxide by pulsed chemical vapor deposition
US20230261082A1 (en) * 2022-02-14 2023-08-17 Nanya Technology Corporation Contact strcutre and method for preparing the same

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0818559A2 (en) * 1996-07-09 1998-01-14 Applied Materials, Inc. Chamber for constructing a film on a semiconductor wafer
US6129044A (en) * 1996-07-12 2000-10-10 Applied Materials, Inc. Apparatus for substrate processing with improved throughput and yield

Family Cites Families (115)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) * 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
FI64878C (en) 1982-05-10 1984-01-10 Lohja Ab Oy KOMBINATIONSFILM FOER ISYNNERHET TUNNFILMELEKTROLUMINENSSTRUKTURER
JPH0824191B2 (en) 1989-03-17 1996-03-06 富士通株式会社 Thin film transistor
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
JP3265042B2 (en) * 1993-03-18 2002-03-11 東京エレクトロン株式会社 Film formation method
US5526244A (en) * 1993-05-24 1996-06-11 Bishop; Vernon R. Overhead luminaire
FI97731C (en) * 1994-11-28 1997-02-10 Mikrokemia Oy Method and apparatus for making thin films
FI100409B (en) * 1994-11-28 1997-11-28 Asm Int Method and apparatus for making thin films
US6017818A (en) 1996-01-22 2000-01-25 Texas Instruments Incorporated Process for fabricating conformal Ti-Si-N and Ti-B-N based barrier films with low defect density
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5970378A (en) * 1996-09-03 1999-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-step plasma treatment process for forming low resistance titanium nitride layer
JPH10308283A (en) * 1997-03-04 1998-11-17 Denso Corp El element and its manufacture
US6037013A (en) 1997-03-06 2000-03-14 Texas Instruments Incorporated Barrier/liner with a SiNx-enriched surface layer on MOCVD prepared films
US5925225A (en) 1997-03-27 1999-07-20 Applied Materials, Inc. Method of producing smooth titanium nitride films having low resistivity
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100385946B1 (en) * 1999-12-08 2003-06-02 삼성전자주식회사 Method for forming a metal layer by an atomic layer deposition and a semiconductor device with the metal layer as a barrier metal layer, an upper electrode, or a lower electrode of capacitor
KR100261017B1 (en) * 1997-08-19 2000-08-01 윤종용 Method for forming metal wiring of semiconductor device
JPH11150084A (en) * 1997-09-12 1999-06-02 Canon Inc Semiconductor device and forming method of amorphous silicon titanium nitride on substrate
US6348376B2 (en) * 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
KR100274603B1 (en) 1997-10-01 2001-01-15 윤종용 Method and apparatus for fabricating semiconductor device
FI104383B (en) * 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Procedure for coating the inside of a plant
KR100269328B1 (en) * 1997-12-31 2000-10-16 윤종용 Method for forming conductive layer using atomic layer deposition process
JP4214585B2 (en) * 1998-04-24 2009-01-28 富士ゼロックス株式会社 Semiconductor device, semiconductor device manufacturing method and manufacturing apparatus
US6107208A (en) * 1998-06-04 2000-08-22 Advanced Micro Devices, Inc. Nitride etch using N2 /Ar/CHF3 chemistry
KR100319888B1 (en) * 1998-06-16 2002-01-10 윤종용 Method of forming selective metal layer and method of forming capacitor and filling contact hole using the same
KR100275738B1 (en) * 1998-08-07 2000-12-15 윤종용 Method for producing thin film using atomatic layer deposition
JP3137087B2 (en) * 1998-08-31 2001-02-19 日本電気株式会社 Method for manufacturing semiconductor device
US6146996A (en) * 1998-09-01 2000-11-14 Philips Electronics North America Corp. Semiconductor device with conductive via and method of making same
KR100287180B1 (en) * 1998-09-17 2001-04-16 윤종용 Method for manufacturing semiconductor device including metal interconnection formed using interface control layer
US6144096A (en) 1998-10-05 2000-11-07 Advanced Micro Devices, Inc. Low resistivity semiconductor barrier layers and manufacturing method therefor
KR100327328B1 (en) * 1998-10-13 2002-05-09 윤종용 Method for forming dielectric layer of capacitor having partially different thickness in the layer
KR100297719B1 (en) * 1998-10-16 2001-08-07 윤종용 Method for manufacturing thin film
US6323044B1 (en) 1999-01-12 2001-11-27 Agere Systems Guardian Corp. Method of forming capacitor having the lower metal electrode for preventing undesired defects at the surface of the metal plug
KR100331544B1 (en) 1999-01-18 2002-04-06 윤종용 Method for introducing gases into a reactor chamber and a shower head used therein
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
FI118342B (en) * 1999-05-10 2007-10-15 Asm Int Apparatus for making thin films
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
FI117942B (en) 1999-10-14 2007-04-30 Asm Int Process for making oxide thin films
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR100304714B1 (en) 1999-10-20 2001-11-02 윤종용 Method for fabricating metal layer of semiconductor device using metal-halide gas
US6780704B1 (en) * 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
US6548343B1 (en) * 1999-12-22 2003-04-15 Agilent Technologies Texas Instruments Incorporated Method of fabricating a ferroelectric memory cell
FI20000099A0 (en) * 2000-01-18 2000-01-18 Asm Microchemistry Ltd A method for growing thin metal films
US6406609B1 (en) * 2000-02-25 2002-06-18 Agere Systems Guardian Corp. Method of fabricating an integrated circuit
EP1266054B1 (en) * 2000-03-07 2006-12-20 Asm International N.V. Graded thin films
FI117979B (en) * 2000-04-14 2007-05-15 Asm Int Process for making oxide thin films
KR100363088B1 (en) * 2000-04-20 2002-12-02 삼성전자 주식회사 Method of manufacturing barrier metal layer using atomic layer deposition method
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6759325B2 (en) * 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
KR100647442B1 (en) 2000-06-07 2006-11-17 주성엔지니어링(주) Method of forming a thin film using atomic layer deposition
KR100403611B1 (en) 2000-06-07 2003-11-01 삼성전자주식회사 Metal-insulator-metal capacitor and manufacturing method thereof
WO2001099166A1 (en) * 2000-06-08 2001-12-27 Genitech Inc. Thin film forming method
KR100332313B1 (en) * 2000-06-24 2002-04-12 서성기 Apparatus and method for depositing thin film on wafer
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
KR100444149B1 (en) * 2000-07-22 2004-08-09 주식회사 아이피에스 ALD thin film depositin equipment cleaning method
KR100396879B1 (en) * 2000-08-11 2003-09-02 삼성전자주식회사 Semiconductor memory device having capacitor encapsulated by multi-layer which includes double layeres being made of same material and method of manufacturing thereof
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
KR100378186B1 (en) * 2000-10-19 2003-03-29 삼성전자주식회사 Semiconductor device adopting thin film formed by atomic layer deposition and fabrication method thereof
US20020104481A1 (en) * 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) * 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) * 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6949450B2 (en) * 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
KR100385947B1 (en) 2000-12-06 2003-06-02 삼성전자주식회사 Method of forming thin film by atomic layer deposition
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US20020073924A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US20020076481A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US20020076507A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
KR20020049875A (en) * 2000-12-20 2002-06-26 윤종용 Ferroelectric capacitor in semiconductor memory device and method for manufacturing the same
KR20020056260A (en) * 2000-12-29 2002-07-10 박종섭 Method for forming metal gate of semiconductor devoie
US20020086111A1 (en) * 2001-01-03 2002-07-04 Byun Jeong Soo Method of forming refractory metal nitride layers using chemisorption techniques
KR100400031B1 (en) 2001-01-17 2003-09-29 삼성전자주식회사 Contact plug of semiconductor device and method of forming the same
JP2002222934A (en) * 2001-01-29 2002-08-09 Nec Corp Semiconductor device and manufacturing method thereof
US6844604B2 (en) * 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
AU2002306436A1 (en) * 2001-02-12 2002-10-15 Asm America, Inc. Improved process for deposition of semiconductor films
US20020117399A1 (en) * 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
FI109770B (en) 2001-03-16 2002-10-15 Asm Microchemistry Oy Growing transition metal nitride thin films by using compound having hydrocarbon, amino or silyl group bound to nitrogen as nitrogen source material
US7348042B2 (en) 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020144657A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
US20020144655A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. Gas valve system for a reactor
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
JP2002343790A (en) 2001-05-21 2002-11-29 Nec Corp Vapor-phase deposition method of metallic compound thin film and method for manufacturing semiconductor device
KR100363332B1 (en) 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US20030042630A1 (en) * 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
JP4938962B2 (en) * 2001-09-14 2012-05-23 エーエスエム インターナショナル エヌ.ヴェー. Metal nitride deposition by ALD using gettering reactant
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US6607976B2 (en) * 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US20030072884A1 (en) * 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6743681B2 (en) * 2001-11-09 2004-06-01 Micron Technology, Inc. Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US20030116087A1 (en) * 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US6696332B2 (en) * 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6674138B1 (en) 2001-12-31 2004-01-06 Advanced Micro Devices, Inc. Use of high-k dielectric materials in modified ONO structure for semiconductor devices
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
KR100468852B1 (en) * 2002-07-20 2005-01-29 삼성전자주식회사 Manufacturing method of Capacitor Structure
KR100542736B1 (en) * 2002-08-17 2006-01-11 삼성전자주식회사 Method of forming oxide layer using atomic layer deposition method and method of forming capacitor of semiconductor device using the same
US6958300B2 (en) * 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0818559A2 (en) * 1996-07-09 1998-01-14 Applied Materials, Inc. Chamber for constructing a film on a semiconductor wafer
US6129044A (en) * 1996-07-12 2000-10-10 Applied Materials, Inc. Apparatus for substrate processing with improved throughput and yield

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
HERNER S B ET AL: "HOMOGENEOUS TUNGSTEN CHEMICAL VAPOR DEPOSITION ON SILANE PRETREATEDTITANIUM NITRIDE" ELECTROCHEMICAL AND SOLID-STATE LETTERS, IEEE SERVICE CENTER, PISCATAWAY, NJ, US, vol. 2, no. 8, August 1999 (1999-08), pages 398-400, XP000864981 ISSN: 1099-0062 *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7253108B2 (en) 2003-05-26 2007-08-07 Stmicroelectronics S.R.L. Process for forming a thin film of TiSiN, in particular for phase change memory devices

Also Published As

Publication number Publication date
US6596643B2 (en) 2003-07-22
US20040197492A1 (en) 2004-10-07
US20030022507A1 (en) 2003-01-30
US6958296B2 (en) 2005-10-25
WO2002091437A3 (en) 2003-03-20

Similar Documents

Publication Publication Date Title
US6596643B2 (en) CVD TiSiN barrier for copper integration
US7144806B1 (en) ALD of tantalum using a hydride reducing agent
US11587829B2 (en) Doping control of metal nitride films
KR102036245B1 (en) Doped tantalum nitride for copper barrier applications
US7799674B2 (en) Ruthenium alloy film for copper interconnects
KR101468241B1 (en) Interconnect structure and method of manufacturing a damascene structure
US7851360B2 (en) Organometallic precursors for seed/barrier processes and methods thereof
KR102189781B1 (en) Methods for depositing manganese and manganese nitrides
US6475912B1 (en) Semiconductor device and method and apparatus for fabricating the same while minimizing operating failures and optimizing yield
US20100151676A1 (en) Densification process for titanium nitride layer for submicron applications
US20030205823A1 (en) Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
KR20070045986A (en) Improving adhesion and minimizing oxidation on electroless co alloy films for integration with low k inter-metal dielectric and etch steo
US20070096221A1 (en) Semiconductor device comprising copper-based contact plug and a method of forming the same
US5795796A (en) Method of fabricating metal line structure
TWI803510B (en) Seed layers for copper interconnects
KR100622639B1 (en) Method of manufacturing a semiconductor device
US20230072614A1 (en) Method Of Forming A Metal Liner For Interconnect Structures
KR100865724B1 (en) Method for atomic layer deposition of copper layer

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): JP

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
NENP Non-entry into the national phase

Ref country code: JP

WWW Wipo information: withdrawn in national office

Country of ref document: JP