WO2002067319A8 - Copper interconnect structure having diffusion barrier - Google Patents

Copper interconnect structure having diffusion barrier

Info

Publication number
WO2002067319A8
WO2002067319A8 PCT/US2001/047592 US0147592W WO02067319A8 WO 2002067319 A8 WO2002067319 A8 WO 2002067319A8 US 0147592 W US0147592 W US 0147592W WO 02067319 A8 WO02067319 A8 WO 02067319A8
Authority
WO
WIPO (PCT)
Prior art keywords
copper
diffusion barrier
copper interconnect
interconnect structure
trench
Prior art date
Application number
PCT/US2001/047592
Other languages
French (fr)
Other versions
WO2002067319A3 (en
WO2002067319A2 (en
Inventor
Ki-Bum Kim
Ivo Raaijmakers
Pekka J Soininen
Original Assignee
Asm Int
Ki-Bum Kim
Ivo Raaijmakers
Pekka J Soininen
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm Int, Ki-Bum Kim, Ivo Raaijmakers, Pekka J Soininen filed Critical Asm Int
Priority to KR1020037007582A priority Critical patent/KR100856023B1/en
Priority to JP2002566544A priority patent/JP2004525510A/en
Publication of WO2002067319A2 publication Critical patent/WO2002067319A2/en
Publication of WO2002067319A3 publication Critical patent/WO2002067319A3/en
Publication of WO2002067319A8 publication Critical patent/WO2002067319A8/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76858After-treatment introducing at least one additional element into the layer by diffusing alloying elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

The present invention provides a method of fabricating a semiconductor device, which could advance the commercialization of semiconductor devices with a copper interconnect. In a process of metal interconnect line fabrication, a TiN thin film (32) combined with an Al intermediate layer (34) is used as a diffusion barrier on trench or via walls. For the formation, Al is deposited on the TiN thin film followed by copper filling the trench. Al diffuses to TiN layer and reacts with oxygen or nitrogen, which will stuff grain boundaries efficiently, thereby blocking the diffusion of copper successfully.
PCT/US2001/047592 2000-12-06 2001-12-05 Copper interconnect structure having diffusion barrier WO2002067319A2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020037007582A KR100856023B1 (en) 2000-12-06 2001-12-05 Copper interconnect structure having diffusion barrier and interconnecting method
JP2002566544A JP2004525510A (en) 2000-12-06 2001-12-05 Copper interconnect structure with diffusion barrier

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR2000/0074025 2000-12-06
KR10-2000-0074025A KR100386034B1 (en) 2000-12-06 2000-12-06 Method of Fabricating Semiconductor Device Employing Copper Interconnect Structure Having Diffusion Barrier Stuffed with Metal Oxide

Publications (3)

Publication Number Publication Date
WO2002067319A2 WO2002067319A2 (en) 2002-08-29
WO2002067319A3 WO2002067319A3 (en) 2003-04-17
WO2002067319A8 true WO2002067319A8 (en) 2004-02-26

Family

ID=19702760

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2001/047592 WO2002067319A2 (en) 2000-12-06 2001-12-05 Copper interconnect structure having diffusion barrier

Country Status (4)

Country Link
US (2) US6936535B2 (en)
JP (1) JP2004525510A (en)
KR (2) KR100386034B1 (en)
WO (1) WO2002067319A2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9032906B2 (en) 2005-11-04 2015-05-19 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US9209074B2 (en) 2001-07-25 2015-12-08 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US9418890B2 (en) 2008-09-08 2016-08-16 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process

Families Citing this family (112)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
TW581822B (en) 2001-07-16 2004-04-01 Applied Materials Inc Formation of composite tungsten films
US20030198754A1 (en) * 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6821891B2 (en) 2001-11-16 2004-11-23 Applied Materials, Inc. Atomic layer deposition of copper using a reducing gas and non-fluorinated copper precursors
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
KR100805843B1 (en) * 2001-12-28 2008-02-21 에이에스엠지니텍코리아 주식회사 Method of forming copper interconnection, semiconductor device fabricated by the same and system for forming copper interconnection
WO2003065424A2 (en) 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
KR100564605B1 (en) * 2004-01-14 2006-03-28 삼성전자주식회사 Method for forming metal wiring layer of semiconductor device
US20080070405A1 (en) * 2002-05-30 2008-03-20 Park Jae-Hwa Methods of forming metal wiring layers for semiconductor devices
KR100446300B1 (en) * 2002-05-30 2004-08-30 삼성전자주식회사 Method for forming metal interconnections of semiconductor device
US20040009665A1 (en) * 2002-06-04 2004-01-15 Applied Materials, Inc. Deposition of copper films
KR100838362B1 (en) * 2002-07-19 2008-06-13 주식회사 하이닉스반도체 Method for fabricating semiconductor device
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
WO2004040642A1 (en) * 2002-10-29 2004-05-13 Asm America, Inc. Oxygen bridge structures and methods
US7279423B2 (en) * 2002-10-31 2007-10-09 Intel Corporation Forming a copper diffusion barrier
KR100496265B1 (en) * 2002-11-29 2005-06-17 한국전자통신연구원 Method of forming a thin film in a semiconductor device
JP4429919B2 (en) * 2002-12-27 2010-03-10 株式会社アルバック Method for forming tungsten nitride film
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US6806192B2 (en) * 2003-01-24 2004-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of barrier-less integration with copper alloy
US6869878B1 (en) * 2003-02-14 2005-03-22 Advanced Micro Devices, Inc. Method of forming a selective barrier layer using a sacrificial layer
WO2004112114A1 (en) * 2003-06-16 2004-12-23 Tokyo Electron Limited Process for depositing film, process for fabricating semiconductor device, semiconductor device and system for depositing film
US6919102B2 (en) * 2003-06-20 2005-07-19 Powerchip Semiconductor Corp. Method of stabilizing material layer
US7235482B2 (en) * 2003-09-08 2007-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a contact interconnection layer containing a metal and nitrogen by atomic layer deposition for deep sub-micron semiconductor technology
US20050070097A1 (en) * 2003-09-29 2005-03-31 International Business Machines Corporation Atomic laminates for diffusion barrier applications
KR100564801B1 (en) * 2003-12-30 2006-03-28 동부아남반도체 주식회사 Method for fabricating semiconductor
KR100538444B1 (en) 2003-12-31 2005-12-22 동부아남반도체 주식회사 Method for fabricating via hole and trench
US7205234B2 (en) * 2004-02-05 2007-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming metal silicide
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US7211507B2 (en) * 2004-06-02 2007-05-01 International Business Machines Corporation PE-ALD of TaN diffusion barrier region on low-k materials
JP2005347510A (en) * 2004-06-03 2005-12-15 Matsushita Electric Ind Co Ltd Semiconductor device and its manufacturing method
DE102004059668B3 (en) * 2004-12-10 2006-07-13 Infineon Technologies Ag Semiconductor technology process for producing a conductive layer
US7531405B2 (en) * 2005-02-28 2009-05-12 Qimonds Ag Method of manufacturing a dielectric layer and corresponding semiconductor device
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7435454B2 (en) * 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7341959B2 (en) * 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7262135B2 (en) 2005-09-01 2007-08-28 Micron Technology, Inc. Methods of forming layers
KR101379015B1 (en) 2006-02-15 2014-03-28 한국에이에스엠지니텍 주식회사 METHOD OF DEPOSITING Ru FILM USING PEALD AND DENSE Ru FILM
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7919862B2 (en) * 2006-05-08 2011-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing resistivity in interconnect structures of integrated circuits
US7956465B2 (en) * 2006-05-08 2011-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing resistivity in interconnect structures of integrated circuits
JP4906417B2 (en) * 2006-07-11 2012-03-28 ラピスセミコンダクタ株式会社 Manufacturing method of semiconductor device
US7612451B2 (en) * 2006-07-13 2009-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing resistivity in interconnect structures by forming an inter-layer
KR100776174B1 (en) * 2006-08-24 2007-11-12 동부일렉트로닉스 주식회사 Semiconductor device including silicide and the manufacturing method therefor
US7521379B2 (en) 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US7595270B2 (en) * 2007-01-26 2009-09-29 Asm America, Inc. Passivated stoichiometric metal nitride films
US7598170B2 (en) * 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
KR100941805B1 (en) * 2007-04-25 2010-02-10 주식회사 하이닉스반도체 Method of forming an overlay key in a semiconductor device
US8242016B2 (en) * 2007-05-14 2012-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. Approach for reducing copper line resistivity
US20090086023A1 (en) * 2007-07-18 2009-04-02 Mccubbrey David L Sensor system including a configuration of the sensor as a virtual sensor device
KR101544198B1 (en) 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 Method of depositing ruthenium film
US7655564B2 (en) * 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
KR100924556B1 (en) * 2008-01-04 2009-11-02 주식회사 하이닉스반도체 Metal wiring of semiconductor device and method of manufacturing the same
KR101132700B1 (en) * 2008-01-04 2012-04-03 주식회사 하이닉스반도체 Metal wiring of semiconductor device and method of manufacturing the same
US7799674B2 (en) 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP5551681B2 (en) 2008-04-16 2014-07-16 エーエスエム アメリカ インコーポレイテッド Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US20090266480A1 (en) * 2008-04-29 2009-10-29 International Business Machines Corporation Process for Preparing a Solder Stand-Off
KR101088813B1 (en) 2008-07-25 2011-12-01 주식회사 하이닉스반도체 Metal wiring of semiconductor device and method for forming the same
US8084104B2 (en) 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
JP2012506947A (en) * 2008-10-27 2012-03-22 アプライド マテリアルズ インコーポレイテッド Method for vapor deposition of ternary compounds
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US8336204B2 (en) * 2009-07-27 2012-12-25 International Business Machines Corporation Formation of alloy liner by reaction of diffusion barrier and seed layer for interconnect application
US8329569B2 (en) 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
US8492289B2 (en) 2010-09-15 2013-07-23 International Business Machines Corporation Barrier layer formation for metal interconnects through enhanced impurity diffusion
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8659156B2 (en) 2011-10-18 2014-02-25 International Business Machines Corporation Interconnect structure with an electromigration and stress migration enhancement liner
US9093495B2 (en) 2012-01-03 2015-07-28 International Business Machines Corporation Method and structure to reduce FET threshold voltage shift due to oxygen diffusion
KR20130127257A (en) * 2012-05-14 2013-11-22 삼성전자주식회사 Semiconductor device and method for manufacturing the device
KR102148850B1 (en) 2013-01-21 2020-08-28 삼성디스플레이 주식회사 Thin film transistor and display device having the same
US9343357B2 (en) 2014-02-28 2016-05-17 Qualcomm Incorporated Selective conductive barrier layer formation
US9252102B2 (en) 2014-06-06 2016-02-02 Macronix International Co., Ltd. Semiconductor structure and method for manufacturing the same
CN106662950A (en) * 2014-09-24 2017-05-10 株式会社Lg化学 Conductive structure and a preparation method therefor
JP6398541B2 (en) * 2014-09-29 2018-10-03 日亜化学工業株式会社 Lead frame and light emitting device
US10002936B2 (en) 2014-10-23 2018-06-19 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
CN106688104B (en) 2015-04-20 2020-03-17 富士电机株式会社 Semiconductor device with a plurality of semiconductor chips
WO2016170836A1 (en) * 2015-04-20 2016-10-27 富士電機株式会社 Semiconductor device
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US9859157B1 (en) 2016-07-14 2018-01-02 International Business Machines Corporation Method for forming improved liner layer and semiconductor device including the same
JP6595432B2 (en) * 2016-09-23 2019-10-23 東芝メモリ株式会社 Semiconductor device and manufacturing method thereof
CN107195582B (en) * 2017-07-03 2019-04-12 北方工业大学 Diffusion barrier layer preparation method and copper interconnection structure
CN112352065A (en) * 2018-06-30 2021-02-09 朗姆研究公司 Zinc and doping for liner passivation and adhesion improved metal liners
US20200043785A1 (en) * 2018-07-31 2020-02-06 Winbond Electronics Corp. A contact structure having a first liner and a second liner formed between a conductive element and a insulating layer
US11075179B2 (en) 2018-08-30 2021-07-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming the same
US11862516B2 (en) * 2020-10-15 2024-01-02 Changxin Memory Technologies, Inc. Semiconductor structure manufacturing method
JP2023075832A (en) * 2021-11-19 2023-05-31 東京エレクトロン株式会社 Nitride film forming method and plasma processing apparatus

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3699734A (en) * 1969-05-19 1972-10-24 Anning Johnson Co Partitioning system having removable panels
US3669734A (en) 1970-08-05 1972-06-13 Rca Corp Method of making electrical connections to a glass-encapsulated semiconductor device
JPS63299250A (en) * 1987-05-29 1988-12-06 Fujitsu Ltd Manufacture of semiconductor device
US5019531A (en) * 1988-05-23 1991-05-28 Nippon Telegraph And Telephone Corporation Process for selectively growing thin metallic film of copper or gold
US5098860A (en) 1990-05-07 1992-03-24 The Boeing Company Method of fabricating high-density interconnect structures having tantalum/tantalum oxide layers
US5098862A (en) * 1990-11-07 1992-03-24 Gte Laboratories Incorporated Method of making ohmic electrical contact to a matrix of semiconductor material
JP3118785B2 (en) * 1991-05-23 2000-12-18 ソニー株式会社 Method of forming barrier metal structure
US5252518A (en) * 1992-03-03 1993-10-12 Micron Technology, Inc. Method for forming a mixed phase TiN/TiSi film for semiconductor manufacture using metal organometallic precursors and organic silane
US5275973A (en) * 1993-03-01 1994-01-04 Motorola, Inc. Method for forming metallization in an integrated circuit
US5391517A (en) * 1993-09-13 1995-02-21 Motorola Inc. Process for forming copper interconnect structure
JP3297220B2 (en) * 1993-10-29 2002-07-02 株式会社東芝 Semiconductor device manufacturing method and semiconductor device
US5429989A (en) * 1994-02-03 1995-07-04 Motorola, Inc. Process for fabricating a metallization structure in a semiconductor device
KR0142796B1 (en) 1994-05-26 1998-08-17 문정환 Method of forming the multilayng wiring on the semiconductor device
US5674787A (en) * 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US6008117A (en) * 1996-03-29 1999-12-28 Texas Instruments Incorporated Method of forming diffusion barriers encapsulating copper
JP3323055B2 (en) * 1996-04-03 2002-09-09 株式会社東芝 Semiconductor device and manufacturing method thereof
US5856236A (en) * 1996-06-14 1999-01-05 Micron Technology, Inc. Method of depositing a smooth conformal aluminum film on a refractory metal nitride layer
US5913144A (en) * 1996-09-20 1999-06-15 Sharp Microelectronics Technology, Inc. Oxidized diffusion barrier surface for the adherence of copper and method for same
US6130160A (en) * 1996-10-02 2000-10-10 Micron Technology, Inc. Methods, complexes and system for forming metal-containing films
US5918150A (en) * 1996-10-11 1999-06-29 Sharp Microelectronics Technology, Inc. Method for a chemical vapor deposition of copper on an ion prepared conductive surface
US6025269A (en) * 1996-10-15 2000-02-15 Micron Technology, Inc. Method for depositioning a substantially void-free aluminum film over a refractory metal nitride layer
KR19980033333A (en) 1996-10-31 1998-07-25 윌리엄비.켐플러 TiN AAl membrane and its manufacturing method
KR100243285B1 (en) * 1997-02-27 2000-02-01 윤종용 High-dielectric capacitor and manufacturing method thereof
JP3285509B2 (en) * 1997-03-18 2002-05-27 三菱電機株式会社 Semiconductor device
US6037257A (en) * 1997-05-08 2000-03-14 Applied Materials, Inc. Sputter deposition and annealing of copper alloy metallization
US5985762A (en) * 1997-05-19 1999-11-16 International Business Machines Corporation Method of forming a self-aligned copper diffusion barrier in vias
JPH113890A (en) 1997-06-11 1999-01-06 Hitachi Ltd Semiconductor integrated circuit device and its manufacture
KR100269310B1 (en) * 1997-09-29 2000-10-16 윤종용 Semiconductor device using conductive diffusion barrier layer
US6120842A (en) * 1997-10-21 2000-09-19 Texas Instruments Incorporated TiN+Al films and processes
US6171898B1 (en) * 1997-12-17 2001-01-09 Texas Instruments Incorporated Method of fabricating an oxygen-stable layer/diffusion barrier/poly bottom electrode structure for high-K-DRAMS using a disposable-oxide processing
US5985759A (en) * 1998-02-24 1999-11-16 Applied Materials, Inc. Oxygen enhancement of ion metal plasma (IMP) sputter deposited barrier layers
US5939788A (en) * 1998-03-11 1999-08-17 Micron Technology, Inc. Copper diffusion barrier, aluminum wetting layer and improved methods for filling openings in silicon substrates with cooper
TW376351B (en) * 1998-03-17 1999-12-11 United Microelectronics Corp Polishing barrier structure of chemical mechanical polishing
US6120155A (en) * 1998-05-13 2000-09-19 Marianne Brennan Reflector device and system for viewing the rear seat of a vehicle
JP2000150647A (en) * 1998-11-11 2000-05-30 Sony Corp Wiring structure and its manufacture
US6126806A (en) * 1998-12-02 2000-10-03 International Business Machines Corporation Enhancing copper electromigration resistance with indium and oxygen lamination
JP3519632B2 (en) * 1999-03-11 2004-04-19 株式会社東芝 Method for manufacturing semiconductor device
JP3974284B2 (en) 1999-03-18 2007-09-12 株式会社東芝 Manufacturing method of semiconductor device
KR20010001543A (en) * 1999-06-05 2001-01-05 김기범 Method of Fabricating Semiconductor Device Employing Copper Interconnect Structure
US6130155A (en) 1999-07-02 2000-10-10 Promos Technologies, Inc. Method of forming metal lines in an integrated circuit having reduced reaction with an anti-reflection coating
US6441492B1 (en) * 1999-09-10 2002-08-27 James A. Cunningham Diffusion barriers for copper interconnect systems
US6924226B2 (en) * 1999-10-02 2005-08-02 Uri Cohen Methods for making multiple seed layers for metallic interconnects
US6436825B1 (en) * 2000-04-03 2002-08-20 Taiwan Semiconductor Manufacturing Company Method of copper barrier layer formation
US6271136B1 (en) * 2000-04-04 2001-08-07 Taiwan Semiconductor Manufacturing Company Multi-step plasma process for forming TiSiN barrier
US6506668B1 (en) * 2001-06-22 2003-01-14 Advanced Micro Devices, Inc. Utilization of annealing enhanced or repaired seed layer to improve copper interconnect reliability

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9209074B2 (en) 2001-07-25 2015-12-08 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US9032906B2 (en) 2005-11-04 2015-05-19 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US9418890B2 (en) 2008-09-08 2016-08-16 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process

Also Published As

Publication number Publication date
KR100856023B1 (en) 2008-09-04
KR20040007421A (en) 2004-01-24
WO2002067319A3 (en) 2003-04-17
US6936535B2 (en) 2005-08-30
WO2002067319A2 (en) 2002-08-29
US20050101132A1 (en) 2005-05-12
JP2004525510A (en) 2004-08-19
KR20020044824A (en) 2002-06-19
US7732331B2 (en) 2010-06-08
KR100386034B1 (en) 2003-06-02
US20020187631A1 (en) 2002-12-12

Similar Documents

Publication Publication Date Title
WO2002067319A8 (en) Copper interconnect structure having diffusion barrier
WO2002005298A3 (en) Semiconductor inductor and methods for making the same
EP0269211A3 (en) Semiconductor device having a metallic layer
TW334639B (en) Semiconductor device and fabrication process therefor
WO2007060640A3 (en) Method of forming a self aligned copper capping layer
KR960039281A (en) Wiring Structure of Semiconductor Device and Manufacturing Method Thereof
KR960042954A (en) Method of forming ruthenium oxide film for diffusion barrier of semiconductor device
EP1211728A3 (en) Semiconductor device and method of manufacturing the same
TW350133B (en) Method of formation of on-line in copper
KR960042936A (en) Polysilicon / tungsten silicide multilayer composites formed on integrated circuit structures, and methods of making same
EP0905751A3 (en) Method for minimizing lateral and vertical dopant diffusion in gate structures
WO2005122254A3 (en) Gate stack and gate stack etch sequence for metal gate integration
WO2007034391A3 (en) A method of fabricating a structure for a semiconductor device
WO2000075964A3 (en) Method of fabricating semiconductor device employing copper interconnect structure
TW356572B (en) Method for forming metal wiring of semiconductor devices
WO2004088745A1 (en) Semiconductor device
TW365047B (en) Manufacturing method for simultaneously forming trenches of different depths
WO2003010814A1 (en) Production method for semiconductor device
JP3252397B2 (en) Wiring formation method
KR100336655B1 (en) Method for forming metal wiring in semiconductor device
WO2002013240A3 (en) Method for forming aluminum lines over aluminum-filled vias in a semiconductor substrate
WO2002095827A3 (en) Method for producing a semiconductor storage device
KR100268917B1 (en) Structure for metal line of semiconductor device and for manufacturing the same
WO2002080267A3 (en) Contact formation for semiconductor device
JPH01298717A (en) Manufacture of semiconductor device

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ PH PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG US UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 1020037007582

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 2002566544

Country of ref document: JP

REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

WWP Wipo information: published in national office

Ref document number: 1020037007582

Country of ref document: KR

122 Ep: pct application non-entry in european phase
CFP Corrected version of a pamphlet front page
CR1 Correction of entry in section i

Free format text: IN PCT GAZETTE 35/2002 DUE TO A TECHNICAL PROBLEMAT THE TIME OF INTERNATIONAL PUBLICATION, SOME INFORMATION WAS MISSING UNDER (81). THE MISSING INFORMATION NOW APPEARS IN THE CORRECTED VERSION