WO2002046489A1 - Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber - Google Patents

Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber Download PDF

Info

Publication number
WO2002046489A1
WO2002046489A1 PCT/US2001/044300 US0144300W WO0246489A1 WO 2002046489 A1 WO2002046489 A1 WO 2002046489A1 US 0144300 W US0144300 W US 0144300W WO 0246489 A1 WO0246489 A1 WO 0246489A1
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
chamber
feed gas
sequential method
deposition
Prior art date
Application number
PCT/US2001/044300
Other languages
French (fr)
Inventor
Tony P. Chiang
Karl F. Leeser
Original Assignee
Angstron Systems, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/812,352 external-priority patent/US20020104481A1/en
Application filed by Angstron Systems, Inc. filed Critical Angstron Systems, Inc.
Priority to AU2002226982A priority Critical patent/AU2002226982A1/en
Publication of WO2002046489A1 publication Critical patent/WO2002046489A1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • C23C16/466Cooling of the substrate using thermal contact gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors

Definitions

  • the present invention relates generally to the field of advanced thin film deposition methods commonly used in the semiconductor, data storage, flat panel
  • the present invention relates to an in-situ preclean apparatus and technique suitable for cleaning and
  • MII-ALD modulated ion-induced atomic layer deposition
  • IC manufacturing is a layer-by-layer process utilizing a series of deposition and etching steps. Lines ("trenches”) and/ or
  • vias are used to connect one portion of a circuit to another portion, or one layer to another layer.
  • Al alurninum
  • a blanket Al film is patterned by means of etch removal processes into metal lines and subsequently isolated using dielectric gap fill processes.
  • the dielectric serves as an insulator.
  • a metal conductor e.g., tungsten
  • trenches and vias can be
  • single damascene patterned and filled with metal separately (referred to as “single damascene") or concurrently (referred to as “dual damascene”).
  • oxides e.g., silicon dioxide, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitride, silicon nitrid
  • oxides of Al, Cu, or Si and residue (e.g., carbonaceous residue from the resist mask used during the etching process) cause poor electrical connection (i.e., high via or
  • the aspect ratio (defined as the via depth to diameter
  • Argon plasma etching is essentially a physical sputtering process whereby energetic argon ions (Ar + ) are used to sputter (i.e., physically knock-off) unwanted material such as oxides, carbonaceous residue, and other impurities.
  • Argon sputtering is more efficient at cleaning the bottoms of vias than wet chemical etching.
  • aspect ratios are high (e.g., greater than 4:1)
  • unwanted material sputtered from the bottoms of vias can redeposit onto the via sidewalls. This effect will lead to poor mechanical adhesion between subsequently deposited metal film(s) and the contaminated dielectric via sidewalls.
  • a reactive preclean (U.S. Patent No. 6,110,836) has been proposed to solve the aforementioned issues with pure argon sputtering.
  • a halogen or hydrogen containing plasma is used to create a process dominated by chemical etching instead of physical sputtering.
  • the halogen or hydrogen containing species reacts readily with oxides to form oxygen-containing byproducts, which can then be pumped away.
  • the substrate Following the cleaning process, whether it be wet chemical, argon sputtering, or reactive preclean, the substrate must not be exposed to any oxygen or impurity-containing ambients (e.g., C, Cl, F, etc.). If such exposure does occur, then the undesired native oxide and /or contamination will again form on the freshly cleaned substrate interfaces thus defeating the purpose of the preceding step as a preparation for subsequent deposition. Yet this is often the case when such cleaning methods and deposition processes are employed on separate machines or tools (e.g., in the case of wet cleaning) or at separate stations on the same cluster tool (e.g., in the case of argon sputtering or reactive preclean) with wafer transfers occurring between steps.
  • any oxygen or impurity-containing ambients e.g., C, Cl, F, etc.
  • low-k low dielectric constant
  • dielectric constant is desired because it reduces cross-talk between closely spaced signal
  • low-k dielectric films exhibit weaker adhesion properties than
  • fluorine-doped Si0 2 suffers from poor
  • a diffusion liner material is deposited into trenches and vias prior to copper seed layer
  • the diffusion layer serves to prevent copper diffusion and also acts as a
  • the integrated in-situ cleaning method should be able to clean and treat the bottoms and sidewalls of high aspect ratio vias and trenches without redeposition or significant change in feature profiles.
  • the present invention relates to an in-situ preclean apparatus and technique suitable for cleaning and treatment of high aspect ratio features prior to the deposition of conductive, semi-conductive, and non-conductive thin films.
  • Surface contaminants to be removed may be in the form of organic materials, physical particulate contamination, oxides, or any unwanted material deposited, grown, or otherwise formed on the surface of the substrate.
  • Surface treatment may be in the form of passivation (or removal) of weakly bonded surface species and unsatisfied surface bonding sites, and/ or modification in texture, crystallographic orientation, morphology, or adhesion properties of the underlying film relative to the to-be deposited overlying film(s).
  • the present invention employs a halogen containing, or preferably hydrogen containing, radical and argon ions to clean and treat the bottoms and sidewalls of high aspect ratio features without redeposition of the removed contaminants or adverse changes in feature profiles.
  • the method is in- situ, without air break, without substrate transfer under vacuum, and without significant change in hardware by utilizing the apparatus outlined in copending Application No. 09/812,352 titled “System and Method for Modulated Ion- induced Atomic Layer Deposition (MII-ALD)", filed March 19, 2001 (filed provisionally on December 6, 2000 as Application No. 60/254,280) referred to herein as the "MII-ALD application” and which is hereby incorporated by reference in its entirety.
  • MII-ALD System and Method for Modulated Ion- induced Atomic Layer Deposition
  • the cleaning and surface treatment step is performed with either a halogen or hydrogen containing radical alone or preferably with simultaneous halogen or hydrogen containing radical and low energy ion bombardment of the substrate.
  • An integrated in-situ, modulated ion-induced atomic layer deposition step (or series of deposition steps) can immediately follow with the application of a suitable precursor containing the principal element(s) desired in the end film (or tailored film stack of varying compositions or materials).
  • the cleaning /surface treatment step may be performed periodically in between individual layers of either the same film or of films of varying composition or materials to enhance overall stack performance.
  • Modulation of the cleaning/surface treatment and the deposition steps can be controlled via modulation of at least one of the following parameters: 1) the precursor flux, 2) the halogen or hydrogen containing radical flux, 3) the ion flux; or, 4) the ion energy.
  • the cleaning /surface treatment and subsequent deposition steps can be performed at low substrate temperatures (i.e., generally less than or
  • FIG. 1 is a deposition system suitable for modulated ion-induced atomic layer deposition (MII-ALD).
  • MII-ALD modulated ion-induced atomic layer deposition
  • FIG. 2A is a typical sequential modulated ion-induced atomic layer deposition (MII-ALD) process sequence.
  • FIG. 2B is an alternative typical sequential modulated ion-induced atomic layer deposition (MII-ALD) process sequence.
  • MII-ALD sequential modulated ion-induced atomic layer deposition
  • FIG. 2C is a typical non-sequential (continuous) modulated ion- induced atomic layer deposition (MII-ALD) process sequence.
  • FIG. 3A is a high aspect ratio via containing an oxidized copper underlayer prior to preclean.
  • FIG. 3B is a high aspect ratio via showing sidewall redeposition of the sputtered copper oxide and tapering of via corners.
  • FIG. 3C is a high aspect ratio via showing the present invention where the copper oxide has been removed without sidewall redeposition and without adverse tapering of the via corners.
  • FIG. 4A is a schematic of the present invention illustrating a wafer processing system comprised of integrated in-situ cleaning and deposition chamber(s).
  • FIG. 4B is a schematic of the prior art illustrating a wafer processing system with separate cleaning and deposition chambers. Detailed Description of the Preferred Embodiments
  • FIG. 1 illustrates an apparatus suitable for in-situ preclean/ surface
  • the MII-ALD process should be preceded by a
  • Radio frequency (RF) (e.g., 400 KHz, 2 MHz, 13.56 MHz, 20 MHz,
  • microwave power 160 e.g., 2.45 GHz or higher frequencies
  • microwave power 160 e.g., 2.45 GHz or higher frequencies
  • a plasma 172 to form argon ions 177 and halogen or hydrogen containing radicals 176 (i.e., second precursor or reactant) via dissociation of the feed gases 130, 110.
  • Atomic hydrogen or halogen-containing radicals 176 will react with surface contaminants such as oxides to form oxygen containing gaseous byproducts, which can then easily be pumped 184 away.
  • atomic hydrogen 176 can be used to remove carbonaceous impurities via the formation of gaseous CH X species, which can then easily be pumped 184 away.
  • microwave power 160 is coupled to the plasma source chamber 170 via a waveguide, coaxial cable, or other suitable means with a suitable impedance matching device 150.
  • Microwave energy can be more efficiently transferred to ionizing electrons, leading to improved ion 177 and radical 176 densities. This is especially true for atomic hydrogen 176 generation.
  • Both the argon ions 177 and the H atoms 176 are delivered into the deposition chamber 180 and directed at the surface of the substrate 181 through the series of apertures 175 defined in the distribution showerhead 171, as is shown in FIG. 1.
  • the deposition chamber 180 process pressure can be maintained in the range of 10 2 - 10 "7 torr, more preferably in the range from 10 1 - 10 "4 torr.
  • the distribution showerhead 171 contains a series or array of apertures 175 through which ions 177 and radicals 176 are delivered to the substrate 181 and isolates the main process chamber 180 from the plasma source chamber 170 as is explained in the MII-ALD application.
  • the argon ions 177 aid in the removal of oxides, carbonaceous impurities, and other contaminants. Low energy ion 177 bombardment promotes surface reactions between the atomic hydrogen and oxides (and other contaminants) to form gaseous byproducts, which can then easily be pumped 184 away.
  • the substrate 181 is biased to define the energy of the impinging ions 177. Direct current (DC) or RF (e.g., 400 KHz, 2 MHz, 13.56 MHz, etc.) power is used to bias the substrate pedestal 182.
  • the substrate pedestal 182 is an electrostatic chuck (ESC) to provide efficient coupling of bias voltage to the substrate 181.
  • Typical substrate 181 bias voltages V in FIGs.
  • 2A and 2C, 242, 282) can range from -10 V to -250 V, but preferably from -10 N to -100 V, and more preferably from -10 N to -50 N. Additionally, the magnitude and duty- cycle of any bias voltage may be modulated.
  • the argon 130 to hydrogen 110 feed gas ratio is typically chosen to be less than or equal to 1, preferably less than or equal to 0.5. Both lower argon ion 177 fluxes and lower argon ion 177 energies reduce undesired faceting 315 of feature corners due to sputtering (see FIG. 3B).
  • the substrate 181 is preferably maintained at less than or equal to 350 °C, but is
  • Low energy ion 177 bombardment enables effective cleaning/surface treatment at low substrate 181 temperatures.
  • the cleaning/surface treatment can also be performed in the absence of argon ions 177 by not flowing the argon feed gas 130. However, this may result in a lower dissociation fraction and hence reduced efficiency of atomic hydrogen 176 generation. In addition, the kinetics and hence efficiency of oxide and other contaminant removal may be decreased due to the absence of low energy ion 177 bombardment.
  • the first precursor 100 is introduced and subsequent film deposition is performed using, for example, the teachings of the MII-ALD application.
  • FIG. 2A shows a typical sequential modulated ion induced atomic layer deposition (MII-ALD) process sequence including an integrated in-situ cleaning step performed in the absence of a first precursor 100.
  • the cleaning step terminates at t 0 206 after which deposition begins.
  • the dotted line 243, 245 indicates that the bias voltage doesn't necessarily have to be synchronized with the second precursor exposure 212, 214, but rather can remain at a constant value 242 until it needs to be changed to a different value, N, 244.
  • the in-situ preclean is followed by sequential MII-ALD.
  • the first and second precursors 202, 214 are sequentially introduced during each deposition cycle.
  • the second precursor 214 (e.g., H atoms 176) exposure coincides with ion exposure 234 (e.g., Ar + 177) and the application of a substrate bias N 2 244.
  • the magnitude of the substrate bias I N j I 242 during cleaning is typically chosen to be lower than the magnitude of the substrate bias
  • the argon ion 177 energies are determined by the applied substrate
  • Typical substrate voltages N 2 244 during deposition can range from -20
  • a modulated DC or RF bias 185 is preferably in the -25 N to -500 N range, and more preferably in the -50 V to -350 N range during deposition.
  • cleaning pulse T 1 212 is typically less than or equal to 180 seconds, preferably
  • the desired film thickness is obtained by repeating the deposition cycle the required number of times.
  • T j 212 may or may not be equal to T j 212.
  • FIG. 2B A variant of the method shown in FIG. 2A is illustrated in FIG. 2B
  • FIG. 2B depicts a sequence for an improved ALD method incorporating periodic
  • FIG. 2C illustrates a preferred embodiment of the present invention
  • first 252 and second 262 reactant i.e., precursors
  • the ion flux 270 during cleaning, F t 272, is typically chosen to be lower than the ion flux during
  • modulation can vary generally from 0.1 Hz to 20 MHz. Additionally, a
  • the ion flux 270 can be
  • a lower argon feed-gas 130 flow rate into the plasma source chamber 170 will result in a lower argon ion flux 270 at a
  • the plasma source 160 power can also be increased or decreased to vary the ion flux 270, with higher source powers resulting in greater ion fluxes 270.
  • the plasma source 160 power may be further varied in frequency, magnitude, duty-cycle, or any combination thereof.
  • the in-situ cleaning cycle is performed once, prior to the first deposition cycle.
  • multiple cleaning cycles can also be used, each preceding one or more deposition cycle(s).
  • One example is during the deposition of multilayer (e.g., TaN x /Ta or Ti/TiN, etc.) or multifilm (e.g., TaN x /Ta/Cu or Ti/TiN/W, etc.) stacks.
  • a deposition sequence can contain any number of interspersed cleaning steps, even for the deposition of a single film material.
  • the in-situ preclean process can be used to remove copper oxide from the bottoms of high aspect ratio single or dual damascene structures (e.g., trenches, vias, or stacked trenches over vias, etc.) used in copper metallization. Simultaneous exposure of the substrate to atomic hydrogen 176 and low energy argon ions 177 will lead to the removal of speed-limiting copper oxide via the formation of OH byproducts which can then easily be pumped 184 away.
  • high aspect ratio single or dual damascene structures e.g., trenches, vias, or stacked trenches over vias, etc.
  • FIG. 3A illustrates a high aspect ratio via containing an oxidized 300 copper underlayer 305 prior to preclean.
  • FIG. 3B shows a high aspect ratio via showing sidewall redeposition 320 of the sputtered copper oxide 300 removed from the bottom of the via and tapering of the via corners 315 due to excessive argon ion 177 sputtering (illustrating the issues associated with problems in the prior art).
  • FIG. 3C shows a high aspect ratio via showing the
  • the copper oxide 300 has been removed without sidewall redeposition 320 and without adverse tapering of the via corners 315.
  • This same process can also remove carbonaceous impurities left behind during
  • barrier layer e.g.,.Ta, TaN x , etc.
  • tantalum containing precursor e.g., TaCl 5 , TaBr 5 , etc.
  • MII- ALD the same atomic hydrogen 176 is now used as the reducing agent to form
  • the in-situ preclean step can be repeated during the deposition of a barrier stack (e.g., TaN x /Ta) using the following sequence:
  • steps 1 and 2 can be carried out in the first integrated cleaning/deposition chamber 400 and steps 3 and 4 can be carried out
  • chamber 475 is separate from both the barrier 450 and the seed layer 455
  • a similar integrated in-situ cleaning process can be used to remove any contaminants, such as aluminum and silicon oxides, from the bottoms of high aspect ratio features followed by the in-situ deposition of liner materials such as titanium, titanium nitride, etc. using a suitable titanium containing precursor (e.g., TiCl 4 , etc.), atomic hydrogen 176, and modulated ion 177 exposure via MII- ALD (see FIG. 1).
  • a suitable titanium containing precursor e.g., TiCl 4 , etc.
  • atomic hydrogen 176 atomic hydrogen
  • modulated ion 177 exposure via MII- ALD (see FIG. 1).
  • Atomic hydrogen 176 is effective at removing weakly bonded species and passivating unsatisfied surface bonding sites. Halogens are not used in this instance. As an example, atomic hydrogen 176 will getter loose interfacial fluorine to form HF, which can then be easily pumped 184 away. Fluorine can attack most metals, especially Ta containing metal or metal compounds. This is useful in the case of fluorinated low-k materials such as FSG.
  • organosilicates (carbon-doped Si0 2 ) low-k materials use methyl (e.g., CH 3 ) groups to reduce the dielectric constant of standard Si0 2 .
  • surface CH X groups may be weakly bonded and/or possess unsatisfied bonds.
  • Atomic hydrogen 176 can satisfy these bonding sites through the formation of hydrogen bonds, or remove weakly bonded species by forming CH 4 , which can then easily be pumped 184 away.
  • Weakly bonded interfacial species degrade the adhesion strength of the to-be deposited overlying film (e.g., a barrier layer(s)) to the dielectric, which can lead to barrier cracking, copper voiding during electroplating, and delamination during CMP.
  • Atomic hydrogen 176 can remove weakly bonded surface species and/or passivate unsatisfied surface bonding sites of other types of low-k films containing carbon, fluorine, and hydrogen (e.g., hydrogenated carbon, fluorinated carbon, PTFE, or Teflon).
  • hydrogen e.g., hydrogenated carbon, fluorinated carbon, PTFE, or Teflon.
  • low-k materials absorb moisture (i.e., OH species) easily which deleteriously increases their effective dielectric constant, and, hence, the capacitance between conductive features surrounded by the dielectric material.
  • surface OH groups lead to poor interfacial adhesion.
  • Atomic hydrogen 176 can improve adhesion by reacting with OH surface species to form water (H 2 0) vapor, which can then be pumped 184 away.
  • an appropriate precursor e.g., TaCl 5 for a Ta containing barrier material. Since the surface treatment of the patterned low-k dielectric feature was performed in-situ, the subsequently deposited barrier material will have superior interfacial properties (e.g., adhesion, conductivity, reliability, etc.) as compared to prior art.

Abstract

A system and sequential method for integrated, in-situ modification of a substrate (181) in and subsequent atomic layer deposition of a thin film onto the substrate (181) in an evacutated chamber comprising introducing at least one feed gas (130) into the chamber; generating a plasma (172) from the feed gas; exposing the substrate to ions (177) and/or radicals (176) formed by the plasma; modulating any ions; reacting the substrate with the modulated ions and/or radicals to remove any contaminants for the substate and producing a modified substrate. These steps are followed, in-situ, by performing an atomic layer deposition of a thin film onto the modified substrate in the chamber.

Description

Method for Integrated In-Situ Cleaning and Subsequent Atomic Layer Deposition
Within A Single Processing Chamber
Cross-Reference to Related Applications
[0001] This application claims the benefit of U.S. Provisional Application No. 60/254,280, filed December 6, 2000, U.S. Provisional Application No. 60/255,812, filed
December 15, 2000, and U.S. Application No. 09/812,352, filed March 19, 2001.
Background of the Invention
[0002] The present invention relates generally to the field of advanced thin film deposition methods commonly used in the semiconductor, data storage, flat panel
display, as well as allied or other industries. More particularly, the present invention relates to an in-situ preclean apparatus and technique suitable for cleaning and
treatment of high aspect ratio features prior to the deposition of conductive, semi-
conductive, and non-conductive thin films via modulated ion-induced atomic layer deposition (MII-ALD).
Brief Description of the Prior Art
[0003] Semiconductor integrated circuit (IC) manufacturing is a layer-by-layer process utilizing a series of deposition and etching steps. Lines ("trenches") and/ or
holes ("vias") are used to connect one portion of a circuit to another portion, or one layer to another layer. In alurninum (Al) metallization, a blanket Al film is patterned by means of etch removal processes into metal lines and subsequently isolated using dielectric gap fill processes. The dielectric serves as an insulator. In order to electrically
connect an underlying Al layer with an overlying Al layer, vias are patterned into the
dielectric and are subsequently filled with a metal conductor (e.g., tungsten). In copper
(Cu) metallization, both trenches and vias are patterned into the dielectric and
subsequently filled with a metal conductor (e.g., copper). The trenches and vias can be
patterned and filled with metal separately (referred to as "single damascene") or concurrently (referred to as "dual damascene").
[0004] In both aluminum and copper metallization schemes, vias are used to
connect an overlying metal layer to an underlying metal layer. However, a critical
cleaning step must be performed prior to metal via fill in order to ensure a reliable, low
resistance electrical connection between the overlying and underlying metal layers. This cleaning is necessary because, prior to via fill, the underlying metal conductor is
exposed to byproducts resulting from the etching process used to define the vias. In
addition, oxidation of the exposed underlying metal occurs due to exposure to
atmosphere or to any oxygen-containing environment. If the via is used to "contact"
the device silicon, then oxidation of the silicon surface can occur. These oxides (e.g.,
oxides of Al, Cu, or Si) and residue (e.g., carbonaceous residue from the resist mask used during the etching process) cause poor electrical connection (i.e., high via or
contact resistance) and poor reliability (e.g., early electromigration failure).
[0005] Wet chemical etching such as buffered oxide etch (BOE) or hydrofluoric acid dip processes performed at atmospheric pressure have been used to clean the patterned dielectric features prior to metal fill. However, as device geometries have
decreased to 0.25 μm and below, the aspect ratio (defined as the via depth to diameter
ratio) of vias has increased. This makes wet etching inadequate and unattractive due to its inability to clean the bottoms of deep narrow vias and the possibility of contamination from particulates in the cleaning solution. As a result, argon (Ar) plasma etching performed in vacuum has been used more recently to clean the bottoms of vias prior to metal fill.
[0006] Argon plasma etching is essentially a physical sputtering process whereby energetic argon ions (Ar+) are used to sputter (i.e., physically knock-off) unwanted material such as oxides, carbonaceous residue, and other impurities. Argon sputtering is more efficient at cleaning the bottoms of vias than wet chemical etching. However, when aspect ratios are high (e.g., greater than 4:1), unwanted material sputtered from the bottoms of vias can redeposit onto the via sidewalls. This effect will lead to poor mechanical adhesion between subsequently deposited metal film(s) and the contaminated dielectric via sidewalls. In copper metallization, these redeposited sidewall contaminants can lead to sidewall voiding during the copper electroplating fill process, thus reducing the effective via cross-sectional area. A decrease in the copper cross-sectional area will reduce its current carrying capacity. In addition, sidewall contaminants that are not removed are incorporated as undesirable material inclusions, poisoning the via fill-metal. Both of these effects serve to increase the overall via resistance, degrade device performance, and reduce interconnect reliability. A further drawback with argon physical sputtering is that the shapes of patterned, features can be altered which may impact device design rules. As an example, the tops of the vias are preferentially etched and can become grossly rounded (see FIG. 3B).
[0007] A reactive preclean (U.S. Patent No. 6,110,836) has been proposed to solve the aforementioned issues with pure argon sputtering. A halogen or hydrogen containing plasma is used to create a process dominated by chemical etching instead of physical sputtering. The halogen or hydrogen containing species reacts readily with oxides to form oxygen-containing byproducts, which can then be pumped away.
[0008] Following the cleaning process, whether it be wet chemical, argon sputtering, or reactive preclean, the substrate must not be exposed to any oxygen or impurity-containing ambients (e.g., C, Cl, F, etc.). If such exposure does occur, then the undesired native oxide and /or contamination will again form on the freshly cleaned substrate interfaces thus defeating the purpose of the preceding step as a preparation for subsequent deposition. Yet this is often the case when such cleaning methods and deposition processes are employed on separate machines or tools (e.g., in the case of wet cleaning) or at separate stations on the same cluster tool (e.g., in the case of argon sputtering or reactive preclean) with wafer transfers occurring between steps.
[0009] In order to resolve this issue, it is highly desirable to have an in-situ cleaning process within the same processing chamber as the subsequent deposition step. This is preferable to having a separate cleaning chamber connected via a vacuum transfer apparatus to a separate deposition chamber. Separate cleaning chambers can have materials present in the background ambient environment, even at reduced pressures, which can adversely influence interfacial properties (e.g., adhesion to and/ or crystal orientation of the subsequent film(s) to be deposited) of both the patterned dielectric and the exposed underlying conductor. Background ambient environments
still contain outgassed impurities and/or contaminants (e.g., water vapor, fluorine,
carbonaceous residue, etc.) removed during the previous processing steps (e.g., degas
or preclean). This is especially important to low dielectric constant ("low-k") insulator integration with copper metallization. Low-k materials are moisture sensitive in that
they absorb water easily, which increases their effective dielectric constant (a low
dielectric constant is desired because it reduces cross-talk between closely spaced signal
carrying metal lines and leads to faster signal propagation).
[00010] In addition, low-k dielectric films exhibit weaker adhesion properties than
traditional silicon dioxide. As an example, fluorine-doped Si02 (FSG) suffers from poor
adhesion properties due to weakly bonded interfacial fluorine (F). Fluorine will attack
tantalum (Ta) based materials commonly used as diffusion barriers for copper. A diffusion liner material is deposited into trenches and vias prior to copper seed layer
deposition. The diffusion layer serves to prevent copper diffusion and also acts as a
glue layer to promote adhesion of the copper seed layer to the dielectric. Fluorine attack will compromise the effectiveness of the diffusion layer, leading to poor barrier
performance, high via resistance, Cu void formation during electroplating, and
delamination (peeling) during chemical mechanical planarization (CMP). It is highly
desirable to have a means of performing an in-situ preclean/surface treatment step immediately prior to the barrier deposition within the same processing chamber. The
method should be effective at low substrate temperatures due to the comparably lower thermal stability of low-k materials (many low-k materials with k less than 2.5 are only
stable at temperatures no higher than 200 °C to 300 °C) as compared to traditional silicon based oxides.
[0010] In addition, it is desirable that such an integrated in-situ preclean process does not introduce any added complexity to the overall process or compromise the throughput of the deposition chamber. Moreover, the integrated in-situ cleaning method should be able to clean and treat the bottoms and sidewalls of high aspect ratio vias and trenches without redeposition or significant change in feature profiles.
Summary of the Invention
[0011] The present invention relates to an in-situ preclean apparatus and technique suitable for cleaning and treatment of high aspect ratio features prior to the deposition of conductive, semi-conductive, and non-conductive thin films. Surface contaminants to be removed may be in the form of organic materials, physical particulate contamination, oxides, or any unwanted material deposited, grown, or otherwise formed on the surface of the substrate. Surface treatment may be in the form of passivation (or removal) of weakly bonded surface species and unsatisfied surface bonding sites, and/ or modification in texture, crystallographic orientation, morphology, or adhesion properties of the underlying film relative to the to-be deposited overlying film(s).
[0012] In particular, the present invention employs a halogen containing, or preferably hydrogen containing, radical and argon ions to clean and treat the bottoms and sidewalls of high aspect ratio features without redeposition of the removed contaminants or adverse changes in feature profiles. The method is in- situ, without air break, without substrate transfer under vacuum, and without significant change in hardware by utilizing the apparatus outlined in copending Application No. 09/812,352 titled "System and Method for Modulated Ion- induced Atomic Layer Deposition (MII-ALD)", filed March 19, 2001 (filed provisionally on December 6, 2000 as Application No. 60/254,280) referred to herein as the "MII-ALD application" and which is hereby incorporated by reference in its entirety. The cleaning and surface treatment step is performed with either a halogen or hydrogen containing radical alone or preferably with simultaneous halogen or hydrogen containing radical and low energy ion bombardment of the substrate. An integrated in-situ, modulated ion-induced atomic layer deposition step (or series of deposition steps) can immediately follow with the application of a suitable precursor containing the principal element(s) desired in the end film (or tailored film stack of varying compositions or materials). The cleaning /surface treatment step may be performed periodically in between individual layers of either the same film or of films of varying composition or materials to enhance overall stack performance. Modulation of the cleaning/surface treatment and the deposition steps can be controlled via modulation of at least one of the following parameters: 1) the precursor flux, 2) the halogen or hydrogen containing radical flux, 3) the ion flux; or, 4) the ion energy. The cleaning /surface treatment and subsequent deposition steps can be performed at low substrate temperatures (i.e., generally less than or
equal to 200 °C), making it compatible with low-k materials.
Brief Description of The Drawings
[0013] FIG. 1 is a deposition system suitable for modulated ion-induced atomic layer deposition (MII-ALD).
[0014] FIG. 2A is a typical sequential modulated ion-induced atomic layer deposition (MII-ALD) process sequence.
[0015] FIG. 2B is an alternative typical sequential modulated ion-induced atomic layer deposition (MII-ALD) process sequence.
[0016] FIG. 2C is a typical non-sequential (continuous) modulated ion- induced atomic layer deposition (MII-ALD) process sequence.
[0017] FIG. 3A is a high aspect ratio via containing an oxidized copper underlayer prior to preclean.
[0018] FIG. 3B is a high aspect ratio via showing sidewall redeposition of the sputtered copper oxide and tapering of via corners.
[0019] FIG. 3C is a high aspect ratio via showing the present invention where the copper oxide has been removed without sidewall redeposition and without adverse tapering of the via corners.
[0020] FIG. 4A is a schematic of the present invention illustrating a wafer processing system comprised of integrated in-situ cleaning and deposition chamber(s).
[0021] FIG. 4B is a schematic of the prior art illustrating a wafer processing system with separate cleaning and deposition chambers. Detailed Description of the Preferred Embodiments
[0022] FIG. 1 illustrates an apparatus suitable for in-situ preclean/ surface
treatment followed by MII-ALD deposition. A description of the MII-ALD
process and apparatus is described in the MII-ALD application and will not be
repeated in detail here for brevity. Although the MII-ALD process can be
sequential or continuous in nature, both processes involve the reaction of a first
and second precursor subject to ion exposure such that the ions deliver the necessary activation energy required for deposition to the near surface atoms and
adsorbed reactant(s) via collision cascades.
[0023] As discussed previously, the quality of a deposited film is significantly affected by the cleanliness of the substrate surface prior to
deposition. Therefore, in practice, the MII-ALD process should be preceded by a
surface cleaning step, most preferably in-situ. The MII-ALD process and
associated apparatus, invoked in the absence of a first precursor, is an effective in-situ cleaning process and will be described infra. Deposition can then follow
by introduction of the first precursor.
[0024] The integrated in-situ cleaning/ surface treatment step is performed
as follows. Invoking MII-ALD in the absence of a first precursor gas 100, argon
130 (Ar) and a suitable halogen containing feed gas 110 (e.g., Cl2, F2, NF3, etc.), or preferably hydrogen feed gas 110, is introduced into the plasma generation chamber 170. Radio frequency (RF), (e.g., 400 KHz, 2 MHz, 13.56 MHz, 20 MHz,
etc.) or preferably microwave power 160 (e.g., 2.45 GHz or higher frequencies) is applied to generate a plasma 172 to form argon ions 177 and halogen or hydrogen containing radicals 176 (i.e., second precursor or reactant) via dissociation of the feed gases 130, 110. Atomic hydrogen or halogen-containing radicals 176 will react with surface contaminants such as oxides to form oxygen containing gaseous byproducts, which can then easily be pumped 184 away. It is preferable to use hydrogen (H.) as the radical feed gas 110 to form atomic hydrogen (H) radicals 176 since atomic hydrogen 176 can also serve as the reducing agent required during subsequent deposition of metal containing films via MII-ALD. In addition, atomic hydrogen 176 can be used to remove carbonaceous impurities via the formation of gaseous CHX species, which can then easily be pumped 184 away.
[0025] Preferably microwave power 160 is coupled to the plasma source chamber 170 via a waveguide, coaxial cable, or other suitable means with a suitable impedance matching device 150. Microwave energy can be more efficiently transferred to ionizing electrons, leading to improved ion 177 and radical 176 densities. This is especially true for atomic hydrogen 176 generation. Both the argon ions 177 and the H atoms 176 are delivered into the deposition chamber 180 and directed at the surface of the substrate 181 through the series of apertures 175 defined in the distribution showerhead 171, as is shown in FIG. 1. The deposition chamber 180 process pressure can be maintained in the range of 102 - 10"7 torr, more preferably in the range from 101 - 10"4 torr. The distribution showerhead 171 contains a series or array of apertures 175 through which ions 177 and radicals 176 are delivered to the substrate 181 and isolates the main process chamber 180 from the plasma source chamber 170 as is explained in the MII-ALD application.
[0026] The argon ions 177 aid in the removal of oxides, carbonaceous impurities, and other contaminants. Low energy ion 177 bombardment promotes surface reactions between the atomic hydrogen and oxides (and other contaminants) to form gaseous byproducts, which can then easily be pumped 184 away. The substrate 181 is biased to define the energy of the impinging ions 177. Direct current (DC) or RF (e.g., 400 KHz, 2 MHz, 13.56 MHz, etc.) power is used to bias the substrate pedestal 182. Preferably, the substrate pedestal 182 is an electrostatic chuck (ESC) to provide efficient coupling of bias voltage to the substrate 181. Typical substrate 181 bias voltages V, in FIGs. 2A and 2C, 242, 282) can range from -10 V to -250 V, but preferably from -10 N to -100 V, and more preferably from -10 N to -50 N. Additionally, the magnitude and duty- cycle of any bias voltage may be modulated. The argon 130 to hydrogen 110 feed gas ratio is typically chosen to be less than or equal to 1, preferably less than or equal to 0.5. Both lower argon ion 177 fluxes and lower argon ion 177 energies reduce undesired faceting 315 of feature corners due to sputtering (see FIG. 3B).
The substrate 181 is preferably maintained at less than or equal to 350 °C, but is
more preferably maintained at less than or equal to 200 °C, making it compatible with extreme low-k materials. Low energy ion 177 bombardment enables effective cleaning/surface treatment at low substrate 181 temperatures. [0027] The cleaning/surface treatment can also be performed in the absence of argon ions 177 by not flowing the argon feed gas 130. However, this may result in a lower dissociation fraction and hence reduced efficiency of atomic hydrogen 176 generation. In addition, the kinetics and hence efficiency of oxide and other contaminant removal may be decreased due to the absence of low energy ion 177 bombardment.
[0028] Once the oxide, carbonaceous impurities, or other surface contaminants have been removed, the first precursor 100 is introduced and subsequent film deposition is performed using, for example, the teachings of the MII-ALD application.
[0029] FIG. 2A shows a typical sequential modulated ion induced atomic layer deposition (MII-ALD) process sequence including an integrated in-situ cleaning step performed in the absence of a first precursor 100. The cleaning step terminates at t0206 after which deposition begins. The dotted line 243, 245 indicates that the bias voltage doesn't necessarily have to be synchronized with the second precursor exposure 212, 214, but rather can remain at a constant value 242 until it needs to be changed to a different value, N, 244. The in-situ preclean is followed by sequential MII-ALD. The first and second precursors 202, 214 are sequentially introduced during each deposition cycle. The second precursor 214 (e.g., H atoms 176) exposure coincides with ion exposure 234 (e.g., Ar+ 177) and the application of a substrate bias N2244. The magnitude of the substrate bias I Nj I 242 during cleaning is typically chosen to be lower than the magnitude of the substrate bias | N21 244 during deposition in order to prevent faceting 315 of the features due to argon ion 177 sputtering during the cleaning cycle as shown
in FIG. 3B. The argon ion 177 energies are determined by the applied substrate
181 bias. Typical substrate voltages N2244 during deposition can range from -20
N to -1000 N, but preferably in the -25 N to -500 N range, and more preferably in the -50 V to -350 N range during deposition. A modulated DC or RF bias 185
(e.g., 400 KHz, 2 MHz, 13.56 MHz, etc.) can be used. The duration of the
cleaning pulse T1 212 is typically less than or equal to 180 seconds, preferably
from 5 seconds less than or equal to Tj 212 less than or equal to 90 seconds, more
preferably from 15 seconds less than or equal to Tx 212 less than or equal to 60
seconds. The desired film thickness is obtained by repeating the deposition cycle the required number of times. The second precursor exposure duration, T2214,
may or may not be equal to Tj 212.
[0030] A variant of the method shown in FIG. 2A is illustrated in FIG. 2B
where ion exposure is initiated after the second precursor exposure. FIG. 2B depicts a sequence for an improved ALD method incorporating periodic
exposure of the substrate 181 to ions 177.
[0031] FIG. 2C illustrates a preferred embodiment of the present invention
whereby, an integrated in-situ cleaning or in-situ treatment step performed in the
absence of a first precursor 100 is followed by subsequent non-sequential or continuous MII-ALD. The cleaning step terminates at t0254 after which deposition begins. During the deposition cycle, instead of sequentially introducing precursors 100, 110, the substrate 181 is simultaneously exposed to a
first 252 and second 262 reactant (i.e., precursors), and subjected to modulated
ion 177 exposure. In FIG. 2C, the deposition is toggled "on" and "off" via
modulation of the impinging ion 177 energy (preferably controlled via the
applied substrate 181 bias) at a fixed plasma source 160 power. The desired film
thickness is obtained via exposure of the substrate 181 to the suitable number of
modulated ion energy pulse cycles. The magnitude of the substrate bias | Nj |
282 during cleaning is typically chosen to be lower than the magnitude of the
substrate bias | N21 284 during deposition as explained earlier. The ion flux 270 during cleaning, Ft 272, is typically chosen to be lower than the ion flux during
deposition, F2274, in order to prevent faceting 315 of the features due to
sputtering (e.g., argon ion 177) during the cleaning cycle. Ion flux or energy
modulation can vary generally from 0.1 Hz to 20 MHz. Additionally, a
mechanical shutter may be used to periodically occlude the ion source, providing yet another way to vary the ion flux to the substrate. The ion flux 270 can be
adjusted by increasing or decreasing the flow rate of the feed gas 130 (e.g., Ar)
used for ion 177 generation. For example, a lower argon feed-gas 130 flow rate into the plasma source chamber 170 will result in a lower argon ion flux 270 at a
given plasma source 160 power. In addition, the plasma source 160 power can also be increased or decreased to vary the ion flux 270, with higher source powers resulting in greater ion fluxes 270. The plasma source 160 power may be further varied in frequency, magnitude, duty-cycle, or any combination thereof. [0032] Other variants and embodiments of the subsequent deposition are discussed in the copending MII-ALD application and will not be repeated here in detail for brevity.
[0033] Typically, the in-situ cleaning cycle is performed once, prior to the first deposition cycle. However, multiple cleaning cycles can also be used, each preceding one or more deposition cycle(s). One example is during the deposition of multilayer (e.g., TaNx/Ta or Ti/TiN, etc.) or multifilm (e.g., TaNx/Ta/Cu or Ti/TiN/W, etc.) stacks. Similarly, a deposition sequence can contain any number of interspersed cleaning steps, even for the deposition of a single film material.
[0034] In one embodiment of the present invention, the in-situ preclean process can be used to remove copper oxide from the bottoms of high aspect ratio single or dual damascene structures (e.g., trenches, vias, or stacked trenches over vias, etc.) used in copper metallization. Simultaneous exposure of the substrate to atomic hydrogen 176 and low energy argon ions 177 will lead to the removal of speed-limiting copper oxide via the formation of OH byproducts which can then easily be pumped 184 away.
[0035] FIG. 3A illustrates a high aspect ratio via containing an oxidized 300 copper underlayer 305 prior to preclean. FIG. 3B shows a high aspect ratio via showing sidewall redeposition 320 of the sputtered copper oxide 300 removed from the bottom of the via and tapering of the via corners 315 due to excessive argon ion 177 sputtering (illustrating the issues associated with problems in the prior art). FIG. 3C shows a high aspect ratio via showing the
present invention whereby the copper oxide 300 has been removed without sidewall redeposition 320 and without adverse tapering of the via corners 315.
This same process can also remove carbonaceous impurities left behind during
the etching of the vias (either along the sides or bottoms of the vias). Subsequent
deposition of a barrier layer (e.g.,.Ta, TaNx, etc.) via the introduction of a suitable
tantalum containing precursor (e.g., TaCl5, TaBr5, etc.) can be performed via MII- ALD. The same atomic hydrogen 176 is now used as the reducing agent to form
metallic Ta and byproducts (e.g., HCl or HBr), which can be readily pumped 184
away.
[0036] The in-situ preclean step can be repeated during the deposition of a barrier stack (e.g., TaNx/Ta) using the following sequence:
1) Reactive preclean; followed by
2) TaNx deposition; followed by
3) Reactive preclean; followed by
4) Ta deposition; or prior to deposition of a subsequent material such as the copper seed layer
using the following sequence:
1) Reactive preclean; followed by
2) Barrier deposition; followed by
3) Reactive preclean; followed by
4) Copper seed layer deposition. [0037] In both examples above, the clean and deposition sequence can be
carried out within the same processing chamber 180. However, in the second example, the reactive preclean step immediately preceding the copper seed layer
deposition, as well as the copper seed layer deposition step, can be performed in
a separate MII-ALD chamber integrated in an apparatus enabling vacuum
transfer of the substrates such that steps 1 and 2 can be carried out in the first integrated cleaning/deposition chamber 400 and steps 3 and 4 can be carried out
in the second integrated cleaning /deposition chamber 410 using the integrated
apparatus as shown in FIG. 4A. Since the deposition step immediately follows
the in-situ reactive preclean step, no oxide or other impurities can form on the
freshly cleaned substrate interfaces. This leads to improved electrical performance, reliability, adhesion, morphology, texture, and crystallographic orientation of the deposited films. These benefits cannot be achieved using the
integrated apparatus used in the prior art as shown in FIG. 4B where the cleaning
chamber 475 is separate from both the barrier 450 and the seed layer 455
chambers. Each substrate transfer leads to exposure of the substrate to background ambient gases (even at reduced atmosphere), which will adversely
impact subsequent film deposition and hence, adversely affect resulting device
performance. In addition, the overall system throughput is reduced by the
added substrate transfers required. Additionally, system complexity is commensurately increased by the requirement for a separate preclean chamber(s)
475, 480. [0038] Although the example given above relates to copper metallization, a similar integrated in-situ cleaning process can be used to remove any contaminants, such as aluminum and silicon oxides, from the bottoms of high aspect ratio features followed by the in-situ deposition of liner materials such as titanium, titanium nitride, etc. using a suitable titanium containing precursor (e.g., TiCl4, etc.), atomic hydrogen 176, and modulated ion 177 exposure via MII- ALD (see FIG. 1).
[0039] Another embodiment of the present invention relates to in-situ surface treatment of patterned low-k features (e.g., high aspect ratio vias and trenches) prior to the subsequent deposition of overlying thin films (e.g., a barrier layer(s)). Atomic hydrogen 176 is effective at removing weakly bonded species and passivating unsatisfied surface bonding sites. Halogens are not used in this instance. As an example, atomic hydrogen 176 will getter loose interfacial fluorine to form HF, which can then be easily pumped 184 away. Fluorine can attack most metals, especially Ta containing metal or metal compounds. This is useful in the case of fluorinated low-k materials such as FSG. As another example, organosilicates (carbon-doped Si02) low-k materials use methyl (e.g., CH3) groups to reduce the dielectric constant of standard Si02. However, surface CHX groups may be weakly bonded and/or possess unsatisfied bonds. Atomic hydrogen 176 can satisfy these bonding sites through the formation of hydrogen bonds, or remove weakly bonded species by forming CH4, which can then easily be pumped 184 away. Weakly bonded interfacial species degrade the adhesion strength of the to-be deposited overlying film (e.g., a barrier layer(s)) to the dielectric, which can lead to barrier cracking, copper voiding during electroplating, and delamination during CMP. Atomic hydrogen 176 can remove weakly bonded surface species and/or passivate unsatisfied surface bonding sites of other types of low-k films containing carbon, fluorine, and hydrogen (e.g., hydrogenated carbon, fluorinated carbon, PTFE, or Teflon).
[0040] In addition, low-k materials absorb moisture (i.e., OH species) easily which deleteriously increases their effective dielectric constant, and, hence, the capacitance between conductive features surrounded by the dielectric material. Moreover, surface OH groups lead to poor interfacial adhesion. Atomic hydrogen 176 can improve adhesion by reacting with OH surface species to form water (H20) vapor, which can then be pumped 184 away. Once the low- k film has been treated, in-situ deposition within the same processing chamber 180, 00 can immediately follow via MII-ALD and the use of an appropriate precursor (e.g., TaCl5 for a Ta containing barrier material). Since the surface treatment of the patterned low-k dielectric feature was performed in-situ, the subsequently deposited barrier material will have superior interfacial properties (e.g., adhesion, conductivity, reliability, etc.) as compared to prior art.
[0041] This description is illustrative and not limiting; further modifications will be apparent to one of ordinary skill in the art in the light of this disclosure and the appended claims.

Claims

What is claimed is: 1. A sequential method for integrated, in-situ modification of a substrate and subsequent atomic layer deposition of a thin film onto said substrate in an evacuated chamber beginning with initial modification steps, comprising: introducing at least one first ion generating feed gas into said chamber; generating a plasma from said ion generating feed gas to form ions; exposing said substrate to said ions; modulating said ions; reacting said substrate with said modulated ions to remove any contaminants from said substrate and producing a modified substrate; and following said initial modification steps, performing an atomic layer deposition of a thin film onto said modified substrate in said chamber including: introducing a first reactant gas into said chamber; adsorbing at least one monolayer of said first reactant gas onto said modified substrate; evacuating any excess said first reactant gas from said chamber; introducing at least one additional ion generating feed gas into said chamber, said additional ion generating feed gas may be the same feed gas as said first ion generating feed gas; generating a second plasma from said additional ion generating feed gas to form additional ions; exposing said modified substrate to said additional ions; modulating said additional ions; and reacting said adsorbed monolayer of said first reactant gas with said modulated additional ions to deposit said thin film.
2. The sequential method of claim 1 wherein said initial modification steps are cleaning steps.
3. The sequential method of claim 1 wherein said initial modification steps are surface treatment steps.
4. The sequential method of claim 1 wherein said initial modification steps additionally include introducing at least one radical generating feed gas into said chamber and generating a plasma from said radical generating feed gas to form radicals.
5. The sequential method of claim 1 wherein said atomic layer deposition steps additionally include introducing at least one radical generating feed gas into said chamber and generating a plasma from said radical generating feed gas to form radicals.
6. The sequential method of claim 1 wherein said contaminants comprise native oxides, metal oxides, particulate contamination, and carbon- containing impurities.
7. The sequential method of claim 1, wherein said ion modulation is modulated in a way selected from the group consisting of modulating an ion flux and modulating an ion energy.
8. The sequential method of claim 1, further comprising electrically biasing said substrate to a negative potential.
9. The sequential method of claim 8, wherein said electrical bias is induced by a radio frequency power supply.
10. The sequential method of claim 8, wherein a magnitude of said electrical bias during said initial cleaning steps is lower than a magnitude of said electrical bias during said atomic layer deposition steps.
11. The sequential method of claim 1 wherein said method is repeated for each film deposition layer.
12. The sequential method of claim 1 wherein a barrier material film is deposited following said initial modification steps.
13. The sequential method of claim 1 wherein a copper seed layer is deposited following said initial modification steps.
14. A sequential method for integrated, in-situ modification of a substrate and subsequent atomic layer deposition of a thin film onto said substrate in an evacuated chamber beginning with initial modification steps, comprising: introducing at least one first radical generating feed gas into said chamber; generating a plasma from said radical generating feed gas to form radicals; exposing said substrate to said radicals; reacting said substrate with said radicals to remove any contaminants from said substrate and producing a modified substrate; and following said initial modification steps, performing an atomic layer deposition of a thin film onto said modified substrate in said chamber including: introducing a first reactant gas into said chamber; adsorbing at least one monolayer of said first reactant gas onto said modified substrate; evacuating any excess said first reactant gas from said chamber introducing at least one additional radical generating feed gas into said chamber, said additional radical generating feed gas may be the same feed gas as said first radical generating feed gas; generating a second plasma from said additional radical generating feed gas to form additional radicals; exposing said modified substrate to said additional radicals; and reacting said adsorbed monolayer of said first reactant gas with said additional radicals to deposit said thin film.
15. The sequential method of claim 14 wherein said initial modification steps are cleaning steps.
16. The sequential method of claim 14 wherein said initial modification steps are surface treatment steps.
17. The sequential method of claim 14 wherein said atomic layer deposition steps additionally include introducing at least one ion generating feed gas into said chamber and generating a plasma from said ion generating feed gas to form ions.
18. The sequential method of claim 14 wherein said contaminants comprise native oxides, metal oxides, particulate contamination, and carbon- containing impurities.
19. The sequential method of claim 14 wherein said method is repeated for each film deposition layer.
20. The sequential method of claim 14 wherein a barrier material film is deposited following said initial modification steps.
21. The sequential method of claim 14 wherein a copper seed layer is deposited following said initial modification steps.
22. A single-module system for atomic layer deposition of a film onto a substrate, comprising: a main chamber containing a plasma generation chamber for generating a plasma, said main chamber also containing an integrated cleaning and deposition chamber for cleaning said substrate and depositing said film on said substrate; said plasma generation chamber coupled to receive at least one feed gas to form said plasma; and said integrated cleaning and deposition chamber coupled to receive at least one precursor gas.
PCT/US2001/044300 2000-12-06 2001-11-26 Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber WO2002046489A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
AU2002226982A AU2002226982A1 (en) 2000-12-06 2001-11-26 Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US25428000P 2000-12-06 2000-12-06
US60/254,280 2000-12-06
US25581200P 2000-12-15 2000-12-15
US60/255,812 2000-12-15
US09/812,352 2001-03-19
US09/812,352 US20020104481A1 (en) 2000-12-06 2001-03-19 System and method for modulated ion-induced atomic layer deposition (MII-ALD)

Publications (1)

Publication Number Publication Date
WO2002046489A1 true WO2002046489A1 (en) 2002-06-13

Family

ID=27400767

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2001/044300 WO2002046489A1 (en) 2000-12-06 2001-11-26 Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber

Country Status (3)

Country Link
AU (1) AU2002226982A1 (en)
TW (1) TW511135B (en)
WO (1) WO2002046489A1 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004059708A2 (en) * 2002-12-20 2004-07-15 Agere Systems Inc. Structure and method for bonding to copper interconnect structures
WO2004113585A2 (en) * 2003-06-18 2004-12-29 Applied Materials, Inc. Atomic layer deposition of barrier materials
WO2006105467A1 (en) * 2005-03-31 2006-10-05 Caterpillar Inc. System and method for surface treatment
US9032906B2 (en) 2005-11-04 2015-05-19 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US9418890B2 (en) 2008-09-08 2016-08-16 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101522725B1 (en) * 2006-01-19 2015-05-26 에이에스엠 아메리카, 인코포레이티드 High Temperature ALD Inlet Manifold
US9682398B2 (en) * 2012-03-30 2017-06-20 Applied Materials, Inc. Substrate processing system having susceptorless substrate support with enhanced substrate heating control

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5702530A (en) * 1995-06-23 1997-12-30 Applied Materials, Inc. Distributed microwave plasma reactor for semiconductor processing
US5834371A (en) * 1997-01-31 1998-11-10 Tokyo Electron Limited Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6110836A (en) * 1999-04-22 2000-08-29 Applied Materials, Inc. Reactive plasma etch cleaning of high aspect ratio openings

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5702530A (en) * 1995-06-23 1997-12-30 Applied Materials, Inc. Distributed microwave plasma reactor for semiconductor processing
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5834371A (en) * 1997-01-31 1998-11-10 Tokyo Electron Limited Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
US6110836A (en) * 1999-04-22 2000-08-29 Applied Materials, Inc. Reactive plasma etch cleaning of high aspect ratio openings

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US9209074B2 (en) 2001-07-25 2015-12-08 Applied Materials, Inc. Cobalt deposition on barrier surfaces
WO2004059708A2 (en) * 2002-12-20 2004-07-15 Agere Systems Inc. Structure and method for bonding to copper interconnect structures
WO2004059708A3 (en) * 2002-12-20 2004-09-30 Agere Systems Inc Structure and method for bonding to copper interconnect structures
GB2411767A (en) * 2002-12-20 2005-09-07 Agere Systems Inc Structure and method for bonding to copper interconnect structures
GB2411767B (en) * 2002-12-20 2006-11-01 Agere Systems Inc Structure and method for bonding to copper interconnect structures
US7328830B2 (en) 2002-12-20 2008-02-12 Agere Systems Inc. Structure and method for bonding to copper interconnect structures
WO2004113585A2 (en) * 2003-06-18 2004-12-29 Applied Materials, Inc. Atomic layer deposition of barrier materials
WO2004113585A3 (en) * 2003-06-18 2005-05-06 Applied Materials Inc Atomic layer deposition of barrier materials
WO2006105467A1 (en) * 2005-03-31 2006-10-05 Caterpillar Inc. System and method for surface treatment
US9032906B2 (en) 2005-11-04 2015-05-19 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US9418890B2 (en) 2008-09-08 2016-08-16 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process

Also Published As

Publication number Publication date
TW511135B (en) 2002-11-21
AU2002226982A1 (en) 2002-06-18

Similar Documents

Publication Publication Date Title
US6949450B2 (en) Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6734102B2 (en) Plasma treatment for copper oxide reduction
US6946401B2 (en) Plasma treatment for copper oxide reduction
US7799693B2 (en) Method for manufacturing a semiconductor device
US6949461B2 (en) Method for depositing a metal layer on a semiconductor interconnect structure
JP4681117B2 (en) Pre-cleaning method prior to metallization for sub-quarter micron applications
EP1081751A2 (en) Methods of pre-cleaning dielectric layers of substrates
KR20020072996A (en) Method for forming a metal plug
US5918150A (en) Method for a chemical vapor deposition of copper on an ion prepared conductive surface
US20040166676A1 (en) Method and apparatus for forming damascene structure, and damascene structure
US7014887B1 (en) Sequential sputter and reactive precleans of vias and contacts
WO2002046489A1 (en) Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
JP3737366B2 (en) Semiconductor device and manufacturing method thereof
KR100670618B1 (en) Sequential sputter and reactive precleans of vias and contacts
US7517802B2 (en) Method for reducing foreign material concentrations in etch chambers
JP3780204B2 (en) Barrier metal film or adhesion layer forming method and wiring forming method
TWI505360B (en) Method of forming metal carbide barrier layers for fluorocarbon films
JP2003179133A5 (en)
JPH05315458A (en) Manufacture of semiconductor device
KR19980045921A (en) Manufacturing process for liner and intermetallic connections without elemental titanium

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ PH PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase

Ref country code: JP

WWW Wipo information: withdrawn in national office

Country of ref document: JP