USRE45124E1 - Methods of atomic layer deposition using titanium-based precursors - Google Patents

Methods of atomic layer deposition using titanium-based precursors Download PDF

Info

Publication number
USRE45124E1
USRE45124E1 US13/842,164 US201313842164A USRE45124E US RE45124 E1 USRE45124 E1 US RE45124E1 US 201313842164 A US201313842164 A US 201313842164A US RE45124 E USRE45124 E US RE45124E
Authority
US
United States
Prior art keywords
methylcyclopentadienyl
precursor
atomic layer
layer deposition
titanium
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active, expires
Application number
US13/842,164
Inventor
Peter Nicholas Heys
Andrew Kingsley
Fuquan Song
Paul Williams
Thomas Leese
Hywel Owen Davies
Rajesh Odedra
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sigma Aldrich Co LLC
Original Assignee
Sigma Aldrich Co LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sigma Aldrich Co LLC filed Critical Sigma Aldrich Co LLC
Priority to US13/842,164 priority Critical patent/USRE45124E1/en
Assigned to SIGMA-ALDRICH CO. reassignment SIGMA-ALDRICH CO. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HEYS, PETER NICHOLAS, LEESE, THOMAS, DAVIES, HYWEL OWEN, KINGSLEY, ANDREW, ODEDRA, RAJESH, SONG, FUQUAN, WILLIAMS, PAUL
Assigned to SIGMA-ALDRICH CO. NEWCO INC. reassignment SIGMA-ALDRICH CO. NEWCO INC. MERGER (SEE DOCUMENT FOR DETAILS). Assignors: SIGMA-ALDRICH CO.
Assigned to SIGMA-ALDRICH CO. LLC reassignment SIGMA-ALDRICH CO. LLC CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: SIGMA-ALDRICH CO. NEWCO INC.
Application granted granted Critical
Publication of USRE45124E1 publication Critical patent/USRE45124E1/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Definitions

  • the present invention relates to methods of preparing thin films by atomic layer deposition (ALD) using titanium-based precursors.
  • ALD atomic layer deposition
  • ALD is a known method for the deposition of thin films. It is a self-limiting, sequential unique film growth technique based on surface reactions that can provide atomic layer control and deposit conformal thin films of materials provided by precursors onto substrates of varying compositions.
  • the precursors are separated during the reaction. The first precursor is passed over the substrate producing a monolayer on the substrate. Any excess unreacted precursor is pumped out of the reaction chamber. A second precursor is then passed over the substrate and reacts with the first precursor, forming a monolayer of film on the substrate surface. This cycle is repeated to create a film of desired thickness.
  • ALD processes have applications in nanotechnology and fabrication of semiconductor devices such as capacitor electrodes, gate electrodes, adhesive diffusion barriers and integrated circuits. Further, dielectric thin films having high dielectric constants (permittivities) are necessary in many sub-areas of microelectronics and optelectronics. The continual decrease in the size of microelectronics components has increased the need for the use of such dielectric films.
  • Japanese Patent Application No. P2005-171291 reports titanium-based precursors for use in chemical vapor deposition.
  • the methods comprise delivering at least one precursor to a substrate, wherein the at least one precursor corresponds in structure to Formula I:
  • R is C 1 -C 6 -alkyl
  • n is zero, 1, 2, 3, 4 or 5
  • L is C 1 -C 6 -alkoxy or amino, wherein the amino is optionally independently substituted 1 or 2 times with C 1 -C 6 -alkyl.
  • FIG. 1 is a graphical representation of a vapor pressure curve of (MeCp)Ti(OiPr) 3 .
  • FIG. 2 is a graphical representation of a vapor pressure curve of (MeCp)Ti(NMe 2 ) 3 .
  • FIG. 3 is a table of vapor pressure equations for (MeCp)Ti(OiPr) 3 and (MeCp)Ti(NMe 2 ) 3 .
  • FIG. 3A is a graphical representations of the vapor pressure curves of (MeCp)Ti(OiPr) 3 , (MeCp)Ti(NMe 2 ) 3 in comparison to Ti(OiPr) 4 standard precursor.
  • FIG. 4 is a graphical representation of thermogravimetric analysis (TGA) data demonstrating % weight loss vs. temperature of (MeCp)Ti(OiPr) 3 .
  • FIG. 5 is a graphical representation of TGA data demonstrating % weight loss vs. temperature of (MeCp)Ti(OMe) 3 .
  • FIG. 6 is a table of viscosity measurements for (MeCp)Ti(OiPr) 3 and (MeCp)Ti(NMe 2 ) 3
  • FIG. 7 is a graphical representation comparing ALD growth data of (MeCp)Ti(OiPr) 3 and two standard precursors.
  • FIG. 8 is a graphical representation demonstrating increase in thickness with number of cycles which demonstrates the ALD behavior of (MeCp)Ti(OiPr) 3 at 200° C.
  • FIG. 8A is a graphical representation demonstrating growth rate versus deposition temperature for ALD of (MeCp)Ti(OiPr) 3 .
  • FIG. 9 is a graphical representation of TGA data demonstrating mg vs. temperature/time of (MeCp)Ti(NMe 2 ) 3 .
  • FIG. 10 is a graphical representation of TGA data demonstrating mg vs. temperature/time of (MeCp)Ti(OtBu) 3 .
  • FIG. 11 represents 1 H NMR results of (MeCp)Ti(NMe 2 ) 3 at 150° C.
  • FIG. 12 represents 1 H NMR results of (MeCp)Ti(OMe) 3 at 150° C.
  • FIG. 13 is a graphical representation a TGA comparison of (MeCp)Ti(OiPr) 3 , (MeCp)Ti(OMe) 3 , (MeCp)Ti(NMe 2 ) 3 and (MeCp)Ti(OtBu) 3 to Ti(OiPr) 4 standard precursor.
  • FIG. 14 is a graphical representation of growth rate versus deposition temperature for ALD of (MeCp)Ti(NMe 2 ) 3 .
  • FIG. 15 is a graphical representation of the dependence of TiO 2 thickness on number of cycles at 200° C. for (MeCp)Ti(OiPr) 3 (represented by the line with triangular points) and (MeCp)Ti(NMe 2 ) 3 (represented by the line with circular points) in comparison to Ti(OiPr) 4 standard precursor (represented by the line with square points).
  • FIG. 16A is a graphical representation of Secondary-Ion Mass Spectrometry (SIMS) analysis performed of a layer of TiO 2 grown at 200° C. from (MeCp)Ti(OiPr) 3 .
  • SIMS Secondary-Ion Mass Spectrometry
  • FIG. 16B is a graphical representation of SIMS analysis performed of a layer of TiO 2 grown at 300° C. from (MeCp)Ti(OiPr) 3 .
  • FIG. 17A is a graphical representation of SIMS analysis performed of a layer of TiO 2 grown at 200° C. from (MeCp)Ti(NMe 2 ) 3 .
  • FIG. 17B is a graphical representation of SIMS analysis performed of a layer of TiO 2 grown at 300° C. from (MeCp)Ti(NMe 2 ) 3 .
  • methods are provided which utilize titanium-based precursors to form titanium-containing films by ALD.
  • a dielectric thin film as used herein refers to a thin film having a high permittivity.
  • the films created herein by ALD are dielectric thin films.
  • precursor refers to an organometallic molecule, complex and/or compound which is delivered to a substrate for deposition to form a thin film by ALD.
  • the organometallic precursor of the invention has at least one metallic center comprising a transition metal (“M”).
  • M transition metal
  • Cp refers to a cyclopentadienyl (C 5 H 5 ) ligand which is bound to a transition metal. As used herein, all five carbon atoms of the Cp ligand are bound to the metal center in ⁇ 5 -coordination by ⁇ bonding, therefore the precursors of the invention are ⁇ complexes.
  • alkyl refers to a saturated hydrocarbon chain of 1 to about 6 carbon atoms in length, such as, but not limited to, methyl, ethyl, propyl and butyl.
  • the alkyl group may be straight-chain or branched-chain.
  • propyl encompasses both n-propyl and iso-propyl; butyl encompasses n-butyl, sec-butyl, iso-butyl and tert-butyl.
  • Me refers to methyl
  • Et refers to ethyl
  • iPr refers to iso-propyl
  • tBu refers to tert-butyl.
  • amino herein refers to an optionally substituted monovalent nitrogen atom (i.e., —NR 1 R 2 , where R 1 and R 2 can be the same or different).
  • examples of amino groups encompassed by the invention include but are not limited to
  • the nitrogen atom of this amino group is covalently bonded to the metal center which together may be referred to as an “amide” group (i.e.
  • a method of forming a titanium-containing film by atomic layer deposition comprises delivering at least one precursor to a substrate, wherein the at least one precursor corresponds in structure to Formula I:
  • R is C 1 -C 6 -alkyl
  • n zero, 1, 2, 3, 4 or 5;
  • L is C 1 -C 6 -alkoxy or amino, wherein the amino is optionally independently substituted 1 or 2 times with C 1 -C 6 -alkyl.
  • the at least one precursor corresponds in structure to Formula I, wherein
  • R is methyl, ethyl or propyl
  • n is zero, 1 or 2;
  • L is selected from the group consisting of methoxy, ethoxy, propoxy, butoxy, dimethylamino, ethylmethylamino, and diethylamino.
  • the at least one precursor corresponds in structure to Formula I, wherein
  • R is methyl or ethyl
  • n 1 or 2;
  • L is selected from the group consisting of methoxy, ethoxy, propoxy, butoxy, dimethylamino, ethylmethylamino, and diethylamino.
  • the at least one precursor corresponds in structure to Formula I, wherein
  • R is methyl or ethyl
  • n 1 or 2;
  • L is selected from the group consisting of methoxy, ethoxy, propoxy, and butoxy.
  • the at least one precursor corresponds in structure to Formula I, wherein
  • R is methyl or ethyl
  • n 1;
  • L is selected from the group consisting of methoxy, ethoxy, propoxy and butoxy.
  • the at least one precursor corresponds in structure to Formula I, wherein
  • R is methyl or ethyl
  • n 1 or 2;
  • L is selected from the group consisting of dimethylamino, ethylmethylamino, and diethylamino.
  • a method of forming a titanium-containing film by atomic layer deposition comprises delivering at least one precursor to a substrate, wherein the at least one precursor corresponds in structure to Formula II:
  • R is C 1 -C 6 -alkyl
  • n is zero, 1, 2, 3, 4 or 5
  • L is C 1 -C 6 -alkoxy.
  • the at least one precursor corresponds in structure to Formula II wherein
  • R is methyl, ethyl or propyl
  • n is zero, 1 or 2;
  • L is selected from the group consisting of methoxy, ethoxy, propoxy and butoxy.
  • the at least one precursor corresponds in structure to Formula II wherein
  • R is methyl or ethyl
  • n 1 or 2;
  • L is selected from the group consisting of methoxy, ethoxy, propoxy and butoxy.
  • the at least one precursor corresponds in structure to Formula II wherein
  • R is methyl or ethyl
  • n 1;
  • L is selected from the group consisting of methoxy, ethoxy, propoxy, and butoxy.
  • a method of forming a titanium-containing film by atomic layer deposition comprises delivering at least one precursor to a substrate, wherein the at least one precursor corresponds in structure to Formula III:
  • R is C 1 -C 6 -alkyl
  • n is zero, 1, 2, 3, 4 or 5
  • L is amino, wherein the amino is optionally independently substituted 1 or 2 times with C 1 -C 6 -alkyl.
  • the at least one precursor corresponds in structure to Formula III, wherein
  • R is C 1 -C 6 -alkyl
  • n zero, 1 or 2;
  • L is amino, wherein the amino is optionally substituted 1 or 2 times with C 1 -C 6 -alkyl.
  • the at least one precursor corresponds in structure to Formula III, wherein
  • R is C 1 -C 6 -alkyl
  • n 3, 4 or 5;
  • L is amino, wherein the amino is optionally substituted 1 or 2 times with C 1 -C 6 -alkyl.
  • the at least one precursor corresponds in structure to Formula I, II or III, wherein butyl is selected from the group consisting of n-butyl, sec-butyl, iso-butyl and tert-butyl. In a particular embodiment, butyl is tert-butyl.
  • the at least one precursor corresponds in structure to Formula I, II or III, wherein propyl is selected from the group consisting of n-propyl and iso-propyl. In a particular embodiment, propyl is iso-propyl.
  • the at least one precursor corresponding in structure to Formula I, II or III is selected from the group consisting of:
  • the at least one precursor corresponding in structure to Formula I, II or III is selected from the group consisting of:
  • the methods of the invention can be used to form a variety of titanium-containing films using at least one organometallic precursor according to Formula I-III.
  • a titanium, titanium oxide or titanium nitride film is formed by ALD.
  • a titanium oxide film is deposited onto a substrate.
  • the at least one precursor according to Formula I-III may be delivered for deposition to a substrate in pulses alternating with pulses of an appropriate oxygen source, such as H 2 O, O 2 and/or ozone.
  • two or more precursors according to Formula I-III can be used to form a titanium-containing film.
  • a titanium-containing film can be formed by delivering for deposition at least one precursor according to Formula I-III, independently or in combination with a co-reactant.
  • co-reactants include, but are not limited to hydrogen, hydrogen plasma, oxygen, air, water, H 2 O 2 , ammonia, hydrazines, allylhydrazines, boranes, silanes, ozone or any combination thereof.
  • a method for forming a “mixed” metal film by ALD by delivering for deposition at least one precursor according to Formula I-III and at least one non-titanium precursor.
  • at least one titanium precursor according to Formula I-III and at least one appropriate non-titanium precursor such as a lead, hafnium, zirconium, strontium and/or barium precursor may be delivered for deposition to a substrate to create a mixed metal film.
  • at least one precursor according to Formula I-III can be used to form a metal titanate film, such as a strontium titanate, barium titanate film or lead zirconate titanate (PZT).
  • At least one precursor according to Formula I-III can be used to dope a metal oxide film, such as but not limited to a hafnium-containing oxide film, a zirconium-containing oxide film, a lanthanide-containing oxide film or any combination thereof.
  • a metal oxide film such as but not limited to a hafnium-containing oxide film, a zirconium-containing oxide film, a lanthanide-containing oxide film or any combination thereof.
  • the titanium may be substitutional or interstitial on the film-forming lattice.
  • the at least one precursor according to Formula I-III can be used to form a ferroelectric, lead zirconate titanate (PZT) film.
  • PZT lead zirconate titanate
  • a thin film created by a method of the invention can have a permittivity of between 10 and 250, preferably at least 25 to 40 and more preferably at least 40 to 100. Further, an ultra high permittivity can be considered to be a value higher than 100. It is understood by one of ordinary skill in the art that the resulting permittivity of the film depends on a number of factors, such as the metal(s) used for deposition, the thickness of the film created, the parameters and substrate employed during growth and subsequent processing.
  • the at least one precursor according to Formula I-III can be used to form a metal-titanate film with an ultra high permittivity (high- ⁇ ) of over 100.
  • the precursors according to Formula I-III may be delivered for deposition on substrates such as, but not limited to, silicon, silicon oxide, silicon nitride, tantalum, tantalum nitride, or copper.
  • the ALD methods of the invention encompass various types of ALD processes.
  • conventional ALD is used to form a titanium-containing film of the invention.
  • pulsed injection ALD process see for example, George S. M., et. al. J. Phys. Chem. 1996. 100:13121-13131.
  • liquid injection ALD is used to form a titanium-containing film, wherein a liquid precursor is delivered to the reaction chamber by direct liquid injection as opposed to vapor draw by a bubbler (conventional).
  • a liquid precursor is delivered to the reaction chamber by direct liquid injection as opposed to vapor draw by a bubbler (conventional).
  • a bubbler for liquid injection ALD process see, for example, Potter R. J., et. al. Chem. Vap. Deposition. 2005. 11(3):159.
  • At least one precursor corresponding in structure to Formula I is used to form a titanium-containing film by liquid injection ALD.
  • At least one precursor corresponding in structure to Formula II is used to form a titanium-containing film by liquid injection ALD.
  • At least one precursor corresponding in structure to Formula III is used to form a titanium-containing film by liquid injection ALD.
  • liquid injection ALD growth conditions include, but are not limited to:
  • At least one precursor corresponding in structure to Formula I-III is used to form a titanium-containing film by liquid injection ALD, wherein the at least one precursor corresponding in structure to Formula I-III is dissolved in a solvent prior to delivery to the substrate.
  • the precursor may be dissolved in an appropriate hydrocarbon or amine solvent.
  • Appropriate hydrocarbon solvents include, but are not limited to aliphatic hydrocarbons, such as hexane, heptane and nonane; aromatic hydrocarbons, such as toluene and xylene; aliphatic and cyclic ethers, such as diglyme, triglyme and tetraglyme.
  • appropriate amine solvents include, without limitation, octylamine and N,N-dimethyldodecylamine.
  • the precursor may be dissolved in toluene to yield a 0.05 to 1M solution.
  • At least one precursor corresponding in structure to Formula I-III may be delivered “neat” (undiluted by a carrier gas) to the substrate.
  • photo-assisted ALD is used to form a titanium-containing film.
  • photo-assisted ALD processes see, for example, U.S. Pat. No. 4,581,249.
  • At least one precursor corresponding in structure to Formula I is used to form a titanium-containing film by photo-assisted ALD.
  • At least one precursor corresponding in structure to Formula II is used to form a titanium-containing film by photo-assisted ALD.
  • At least one precursor corresponding in structure to Formula III is used to form a titanium-containing film by photo-assisted ALD.
  • both liquid injection and photo-assisted ALD may be used to form a titanium-containing film using at least one precursor corresponding in structure to Formula I-III.
  • the organometallic precursors according to Formula I-III utilized in these methods may be liquid, solid, or gaseous.
  • the precursors are liquid at ambient temperatures with high vapor pressure for consistent transport of the vapor to the process chamber.
  • ALD relies substantially on chemical reactivity and not thermal decomposition. Therefore, there are fundamental differences in the characteristics desirable for a suitable precursor.
  • the precursor must be thermally stable at the temperatures employed and should be sufficiently volatile to allow deposition onto the substrate.
  • a fast and complete chemical reaction is necessary between the metal precursor and the oxide or nitride source. However, the reaction should only take place at the substrate surface so as not to damage the underlying structure and by-products, such as carbon and hydrogen, should be removed readily from the surface.
  • the precursors of Formula I-III provide an increased ability to deposit titanium-containing films, particularly metal oxide films, by ALD at growth rates approaching that for simple metal amides but can operate at higher temperatures due to increased thermal stability which leads to improved product quality.
  • FIG. 7 comparing ALD of (MeCp)Ti(OiPr) 3 to two known ALD precursors.
  • the ALD window of (MeCp)Ti(OiPr) 3 is about 280° C., therefore (MeCp)Ti(OiPr) 3 demonstrates about 50-80° C. temperature advantage over these two known ALD precursors.
  • the methods of the invention are utilized for applications such as dynamic random access memory (DRAM) and complementary metal oxide semiconductor (CMOS) for memory and logic applications, on substrates such as silicon chips.
  • DRAM dynamic random access memory
  • CMOS complementary metal oxide semiconductor
  • a neat precursor sample was sealed in a NMR tube under nitrogen. The sample was then heated for the required length of time with testing periodically.
  • FIG. 11 represents 1 H NMR results of (MeCp)Ti(NMe 2 ) 3 at 150° C.
  • FIG. 12 represents 1 H NMR results of (MeCp)Ti(OMe) 3 at 150° C.
  • MOCVD metal organic chemical vapor deposition
  • MOVPE metal organic vapor phase epitaxy
  • ALD ALD
  • FIG. 1 and FIG. 2 demonstrate vapor pressure versus temperature for (MeCp)Ti(OiPr) 3 and (MeCp)Ti(NMe 2 ) 3 , respectively.
  • FIG. 3 contains the vapor pressure equations for (MeCp)Ti(OiPr) 3 and (MeCp)Ti(NMe 2 ) 3 .
  • FIG. 3A represents the vapor pressure curves of the above recited precursors in comparison to Ti(OiPr) 4 .
  • the volatility of the precursors in the range suited for desired vapor delivery rates is shown to be very similar to Ti(OiPr) 4 a well established titanium precursor hence the new sources are capable of direct substitution in to existing process delivery technologies.
  • the high volatility is a distinct advantage for high volume manufacture to keep thermal budgets to a minimum to save energy and also limit the potential for deleterious pre-reactions and deposits.
  • FIG. 4 represents TGA data for (MeCp)Ti(OiPr) 3 .
  • FIG. 5 represents TGA data for (MeCp)Ti(OMe) 3 .
  • FIG. 9 represents TGA data for (MeCp)Ti(NMe 2 ) 3 .
  • FIG. 10 represents TGA data for (MeCp)Ti(OtBu) 3 .
  • FIG. 13 represents a TGA comparison of (MeCp)Ti(OiPr) 3 , (MeCp)Ti(OMe) 3 , (MeCp)Ti(NMe 2 ) 3 and (MeCp)Ti(OtBu) 3 to Ti(OiPr) 4 standard precursor.
  • the vaporization characteristics of the optimized new sources are clearly demonstrated as superior to the conventional titanium source with reduced residues at higher temperatures.
  • the ability to access higher growth temperatures without premature decomposition is of great benefit to ALD process, especially at larger batch sizes where uniformity of film thicknesses over large areas is critical.
  • Titanium oxide thin films were deposited in a custom-built ALD reactor. (MeCp)Ti(OiPr) 3 and ozone were used as precursors. The titanium oxide films were deposited on silicon wafer substrates. Prior to deposition, the wafer substrates were prepared by dicing the wafer (1 inch ⁇ 1 ⁇ 2 inch), and 1% HF polished.
  • the growth temperature was 200-350° C.
  • the growth pressure was 0.5-1.5 Torr.
  • the reactor was continuously purged with 30 sccm of dry nitrogen. All the computer controlled valves in the reactor were the air operated ALD VCR valves from Cajon.
  • Ozone was purged in excess.
  • the titanium was stored in a stainless steel ampoule. Attached directly to the ampoule was an ALD valve. The output of this ALD valve was Tee'd with another ALD valve used for nitrogen injection. The Tee outlet leg was connected to a 500 cm 3 stainless steel reservoir. The outlet of the reservoir was attached to a third ALD valve, called the inject valve, whose outlet goes directly to the reactor. Nitrogen injection was used to build up the total pressure behind the titanium inject valve so that the pressure was higher than the reactor growth pressure. The injected nitrogen was accomplished using a 30 micron pin hole VCR gasket. All of the valves and ampoule were placed into an oven-like enclosure that allowed the ampoule, valves, and tubing to be heated uniformly to 50° C. to 250° C.
  • valves were sequenced in the following manner.
  • the titanium precursor was introduced to the activated silicon surface.
  • a nitrogen purge then took place which included evacuation to remove surplus reactant molecules not attached to the surface.
  • Ozone was then introduced as a co-reactant species, followed by an additional purge with nitrogen. The ozone was then injected to start the ALD cycle all over again.
  • the total amount of cycles was from 100 to 400, typically 300. Results showed that the deposition rate was independent of the titanium dose as varied through its vapor pressure, which in turn was varied through its evaporation temperature. This proves that the film growth proceeded in a self-limiting manner as is characteristic of ALD.
  • FIG. 7 demonstrates ALD growth data of (MeCp)Ti(OiPr) 3 in comparison with two standard precursors, i.e. Ti(OiPr) 4 and Ti(Me 2 ) 4 .
  • FIG. 8 demonstrates increase in thickness with number of cycles which demonstrates the ALD behavior of (MeCp)Ti(OiPr) 3 at 200° C.
  • FIG. 8A demonstrates growth rate versus deposition temperature for ALD of (MeCp)Ti(OiPr) 3 .
  • the growth rate at 200° C. was about 0.35 ⁇ /cycle.
  • Example 5 The procedure used in Example 5 was used to perform ALD using (MeCp)Ti(NMe 2 ) 3 .
  • FIG. 14 demonstrates growth rate versus deposition temperature for ALD of (MeCp)Ti(NMe 2 ) 3 .
  • the growth rate at 200° C. was about 0.87 ⁇ /cycle.
  • FIG. 15 demonstrates the dependence of TiO 2 thickness on number of cycles at 200° C. for (MeCp)Ti(OiPr) 3 and (MeCp)Ti(NMe 2 ) 3 in comparison to Ti(OiPr) 4 standard precursor.
  • FIGS. 16A and 16B represent SIMS analysis performed of TiO 2 from (MeCp)Ti(OiPr) 3 .
  • FIG. 16A represents a layer grown at 200° C.
  • FIG. 16B represents a layer grown at 300° C.
  • the Ti:O ratio in the deposited layer is stoichiometric.
  • the carbon background is high but at 300° C. this has been significantly reduced.
  • the carbon level at the same growth temperature for the conventional Ti(OiPr) 4 remains much higher (10 20 cf 10 19 ) highlighting the cleaner organic material removal from the surface reactions achieved using the new sources.
  • FIGS. 17A and 17B represent SIMS analysis performed of TiO 2 from (MeCp)Ti(NMe 2 ) 3 .
  • FIG. 17A represents a layer grown at 200° C.
  • FIG. 17B represents a layer grown at 300° C.

Abstract

Methods of forming titanium-containing films by atomic layer deposition are provided. The methods comprise delivering at least one precursor to a substrate, wherein the at least one precursor corresponds in structure to Formula I:
Figure USRE045124-20140909-C00001

wherein:
  • R is C1-C6-alkyl;
  • n is zero, 1, 2, 3, 4 or 5;
  • L is C1-C6-alkoxy or amino, wherein the amino is optionally independently substituted 1 or 2 times with C1-C6-alkyl.

Description

CROSS-REFERENCED APPLICATIONS
This application claims priority to U.S. provisional application Ser. No. 60/972,488 filed on Sep. 14, 2007, the disclosure of which is incorporated by reference in its entirety. Disclosure of copending U.S. provisional application Ser. No. 60/972,451 filed on Sep. 14, 2007, is incorporated herein by reference in its entirety without admission that such disclosure constitutes prior art to the present invention.
FIELD OF THE INVENTION
The present invention relates to methods of preparing thin films by atomic layer deposition (ALD) using titanium-based precursors.
BACKGROUND OF THE INVENTION
ALD is a known method for the deposition of thin films. It is a self-limiting, sequential unique film growth technique based on surface reactions that can provide atomic layer control and deposit conformal thin films of materials provided by precursors onto substrates of varying compositions. In ALD, the precursors are separated during the reaction. The first precursor is passed over the substrate producing a monolayer on the substrate. Any excess unreacted precursor is pumped out of the reaction chamber. A second precursor is then passed over the substrate and reacts with the first precursor, forming a monolayer of film on the substrate surface. This cycle is repeated to create a film of desired thickness.
ALD processes have applications in nanotechnology and fabrication of semiconductor devices such as capacitor electrodes, gate electrodes, adhesive diffusion barriers and integrated circuits. Further, dielectric thin films having high dielectric constants (permittivities) are necessary in many sub-areas of microelectronics and optelectronics. The continual decrease in the size of microelectronics components has increased the need for the use of such dielectric films.
Japanese Patent Application No. P2005-171291 reports titanium-based precursors for use in chemical vapor deposition.
Current precursors for use in ALD do not provide the required performance to implement new processes for fabrication of next generation devices, such as semi-conductors. For example, improved thermal stability, higher volatility or increased deposition rates are needed.
SUMMARY OF THE INVENTION
There are now provided methods of forming a titanium-containing film by atomic layer deposition. The methods comprise delivering at least one precursor to a substrate, wherein the at least one precursor corresponds in structure to Formula I:
Figure USRE045124-20140909-C00002

wherein:
R is C1-C6-alkyl;
n is zero, 1, 2, 3, 4 or 5;
L is C1-C6-alkoxy or amino, wherein the amino is optionally independently substituted 1 or 2 times with C1-C6-alkyl.
Other embodiments, including particular aspects of the embodiments summarized above, will be evident from the detailed description that follows.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 is a graphical representation of a vapor pressure curve of (MeCp)Ti(OiPr)3.
FIG. 2 is a graphical representation of a vapor pressure curve of (MeCp)Ti(NMe2)3.
FIG. 3 is a table of vapor pressure equations for (MeCp)Ti(OiPr)3 and (MeCp)Ti(NMe2)3.
FIG. 3A is a graphical representations of the vapor pressure curves of (MeCp)Ti(OiPr)3, (MeCp)Ti(NMe2)3 in comparison to Ti(OiPr)4 standard precursor.
FIG. 4 is a graphical representation of thermogravimetric analysis (TGA) data demonstrating % weight loss vs. temperature of (MeCp)Ti(OiPr)3.
FIG. 5 is a graphical representation of TGA data demonstrating % weight loss vs. temperature of (MeCp)Ti(OMe)3.
FIG. 6 is a table of viscosity measurements for (MeCp)Ti(OiPr)3 and (MeCp)Ti(NMe2)3
FIG. 7 is a graphical representation comparing ALD growth data of (MeCp)Ti(OiPr)3 and two standard precursors.
FIG. 8 is a graphical representation demonstrating increase in thickness with number of cycles which demonstrates the ALD behavior of (MeCp)Ti(OiPr)3 at 200° C.
FIG. 8A is a graphical representation demonstrating growth rate versus deposition temperature for ALD of (MeCp)Ti(OiPr)3.
FIG. 9 is a graphical representation of TGA data demonstrating mg vs. temperature/time of (MeCp)Ti(NMe2)3.
FIG. 10 is a graphical representation of TGA data demonstrating mg vs. temperature/time of (MeCp)Ti(OtBu)3.
FIG. 11 represents 1H NMR results of (MeCp)Ti(NMe2)3 at 150° C.
FIG. 12 represents 1H NMR results of (MeCp)Ti(OMe)3 at 150° C.
FIG. 13 is a graphical representation a TGA comparison of (MeCp)Ti(OiPr)3, (MeCp)Ti(OMe)3, (MeCp)Ti(NMe2)3 and (MeCp)Ti(OtBu)3 to Ti(OiPr)4 standard precursor.
FIG. 14 is a graphical representation of growth rate versus deposition temperature for ALD of (MeCp)Ti(NMe2)3.
FIG. 15 is a graphical representation of the dependence of TiO2 thickness on number of cycles at 200° C. for (MeCp)Ti(OiPr)3 (represented by the line with triangular points) and (MeCp)Ti(NMe2)3 (represented by the line with circular points) in comparison to Ti(OiPr)4 standard precursor (represented by the line with square points).
FIG. 16A is a graphical representation of Secondary-Ion Mass Spectrometry (SIMS) analysis performed of a layer of TiO2 grown at 200° C. from (MeCp)Ti(OiPr)3.
FIG. 16B is a graphical representation of SIMS analysis performed of a layer of TiO2 grown at 300° C. from (MeCp)Ti(OiPr)3.
FIG. 17A is a graphical representation of SIMS analysis performed of a layer of TiO2 grown at 200° C. from (MeCp)Ti(NMe2)3.
FIG. 17B is a graphical representation of SIMS analysis performed of a layer of TiO2 grown at 300° C. from (MeCp)Ti(NMe2)3.
DETAILED DESCRIPTION OF THE INVENTION
In various aspects of the invention, methods are provided which utilize titanium-based precursors to form titanium-containing films by ALD.
The methods of the invention are used to create or grow titanium-containing thin films which display high dielectric constants. A dielectric thin film as used herein refers to a thin film having a high permittivity. The films created herein by ALD are dielectric thin films.
A. Definitions
As used herein, the term “precursor” refers to an organometallic molecule, complex and/or compound which is delivered to a substrate for deposition to form a thin film by ALD.
The organometallic precursor of the invention has at least one metallic center comprising a transition metal (“M”). In particular, there is one metal center and M is Ti.
The term “Cp” refers to a cyclopentadienyl (C5H5) ligand which is bound to a transition metal. As used herein, all five carbon atoms of the Cp ligand are bound to the metal center in η5-coordination by π bonding, therefore the precursors of the invention are π complexes.
The term “alkyl” refers to a saturated hydrocarbon chain of 1 to about 6 carbon atoms in length, such as, but not limited to, methyl, ethyl, propyl and butyl. The alkyl group may be straight-chain or branched-chain. For example, as used herein, propyl encompasses both n-propyl and iso-propyl; butyl encompasses n-butyl, sec-butyl, iso-butyl and tert-butyl. Further, as used herein, “Me” refers to methyl, “Et” refers to ethyl, “iPr” refers to iso-propyl and “tBu” refers to tert-butyl.
The term “amino” herein refers to an optionally substituted monovalent nitrogen atom (i.e., —NR1R2, where R1 and R2 can be the same or different). Examples of amino groups encompassed by the invention include but are not limited to
Figure USRE045124-20140909-C00003

Further, the nitrogen atom of this amino group is covalently bonded to the metal center which together may be referred to as an “amide” group (i.e.
Figure USRE045124-20140909-C00004

This can be further referred to as an “ammono” group or inorganic amide, for example
Figure USRE045124-20140909-C00005
B. Methods of Use
In a first embodiment, a method of forming a titanium-containing film by atomic layer deposition is provided. The method comprises delivering at least one precursor to a substrate, wherein the at least one precursor corresponds in structure to Formula I:
Figure USRE045124-20140909-C00006
wherein:
R is C1-C6-alkyl;
n is zero, 1, 2, 3, 4 or 5;
L is C1-C6-alkoxy or amino, wherein the amino is optionally independently substituted 1 or 2 times with C1-C6-alkyl.
In one embodiment, the at least one precursor corresponds in structure to Formula I, wherein
R is methyl, ethyl or propyl;
n is zero, 1 or 2; and
L is selected from the group consisting of methoxy, ethoxy, propoxy, butoxy, dimethylamino, ethylmethylamino, and diethylamino.
In one embodiment, the at least one precursor corresponds in structure to Formula I, wherein
R is methyl or ethyl;
n is 1 or 2; and
L is selected from the group consisting of methoxy, ethoxy, propoxy, butoxy, dimethylamino, ethylmethylamino, and diethylamino.
In one embodiment, the at least one precursor corresponds in structure to Formula I, wherein
R is methyl or ethyl;
n is 1 or 2; and
L is selected from the group consisting of methoxy, ethoxy, propoxy, and butoxy.
In one embodiment, the at least one precursor corresponds in structure to Formula I, wherein
R is methyl or ethyl;
n is 1; and
L is selected from the group consisting of methoxy, ethoxy, propoxy and butoxy.
In one embodiment, the at least one precursor corresponds in structure to Formula I, wherein
R is methyl or ethyl;
n is 1 or 2; and
L is selected from the group consisting of dimethylamino, ethylmethylamino, and diethylamino.
In another embodiment, a method of forming a titanium-containing film by atomic layer deposition is provided, wherein the method comprises delivering at least one precursor to a substrate, wherein the at least one precursor corresponds in structure to Formula II:
Figure USRE045124-20140909-C00007

wherein:
R is C1-C6-alkyl;
n is zero, 1, 2, 3, 4 or 5;
L is C1-C6-alkoxy.
In one embodiment, the at least one precursor corresponds in structure to Formula II wherein
R is methyl, ethyl or propyl;
n is zero, 1 or 2; and
L is selected from the group consisting of methoxy, ethoxy, propoxy and butoxy.
In one embodiment, the at least one precursor corresponds in structure to Formula II wherein
R is methyl or ethyl;
n is 1 or 2; and
L is selected from the group consisting of methoxy, ethoxy, propoxy and butoxy.
In one embodiment, the at least one precursor corresponds in structure to Formula II wherein
R is methyl or ethyl;
n is 1; and
L is selected from the group consisting of methoxy, ethoxy, propoxy, and butoxy.
In another embodiment, a method of forming a titanium-containing film by atomic layer deposition is provided, wherein the method comprises delivering at least one precursor to a substrate, wherein the at least one precursor corresponds in structure to Formula III:
Figure USRE045124-20140909-C00008

wherein:
R is C1-C6-alkyl;
n is zero, 1, 2, 3, 4 or 5;
L is amino, wherein the amino is optionally independently substituted 1 or 2 times with C1-C6-alkyl.
In one embodiment, the at least one precursor corresponds in structure to Formula III, wherein
R is C1-C6-alkyl;
n is zero, 1 or 2;
L is amino, wherein the amino is optionally substituted 1 or 2 times with C1-C6-alkyl.
In another embodiment, the at least one precursor corresponds in structure to Formula III, wherein
R is C1-C6-alkyl;
n is 3, 4 or 5;
L is amino, wherein the amino is optionally substituted 1 or 2 times with C1-C6-alkyl.
In one embodiment, the at least one precursor corresponds in structure to Formula I, II or III, wherein butyl is selected from the group consisting of n-butyl, sec-butyl, iso-butyl and tert-butyl. In a particular embodiment, butyl is tert-butyl.
In one embodiment, the at least one precursor corresponds in structure to Formula I, II or III, wherein propyl is selected from the group consisting of n-propyl and iso-propyl. In a particular embodiment, propyl is iso-propyl.
In one embodiment, the at least one precursor corresponding in structure to Formula I, II or III is selected from the group consisting of:
(methylcyclopentadienyl)Ti(NMe2)3;
(ethylcyclopentadienyl)Ti(NMe2)3;
(propylcyclopentadienyl)Ti(NMe2)3;
(methylcyclopentadienyl)Ti(NEt2)3;
(ethylcyclopentadienyl)Ti(NEt2)3;
(propylcyclopentadienyl)Ti(NEt2)3;
(methylcyclopentadienyl)Ti(NMeEt)3;
(ethylcyclopentadienyl)Ti(NMeEt)3;
(propylcyclopentadienyl)Ti(NMeEt)3;
(methylcyclopentadienyl)Ti(OMe)3;
(ethylcyclopentadienyl)Ti(OMe)3;
(propylcyclopentadienyl)Ti(OMe)3;
(methylcyclopentadienyl)Ti(OEt)3;
(ethylcyclopentadienyl)Ti(OEt)3;
(propylcyclopentadienyl)Ti(OEt)3;
(methylcyclopentadienyl)Ti(OiPr)3;
(ethylcyclopentadienyl)Ti(OiPr)3;
(propylcyclopentadienyl)Ti(OiPr)3;
(methylcyclopentadienyl)Ti(OtBu)3;
(ethylcyclopentadienyl)Ti(OtBu)3; and
(propylcyclopentadienyl)Ti(OtBu)3.
In a particular embodiment, the at least one precursor corresponding in structure to Formula I, II or III is selected from the group consisting of:
Figure USRE045124-20140909-C00009

(methylcyclopentadienyl)Ti(NMe2)3; (methylcyclopentadienyl)Ti(OMe)3;
Figure USRE045124-20140909-C00010
(methylcyclopentadienyl)Ti(OiPr)3; and
Figure USRE045124-20140909-C00011
(methylcyclopentadienyl)Ti(OtBu)3. C. Types of Titanium-Containing Films
The methods of the invention can be used to form a variety of titanium-containing films using at least one organometallic precursor according to Formula I-III. In a particular embodiment, a titanium, titanium oxide or titanium nitride film is formed by ALD.
In a particular embodiment, a titanium oxide film is deposited onto a substrate. The at least one precursor according to Formula I-III may be delivered for deposition to a substrate in pulses alternating with pulses of an appropriate oxygen source, such as H2O, O2 and/or ozone.
In another embodiment, two or more precursors according to Formula I-III can be used to form a titanium-containing film.
In another embodiment a titanium-containing film can be formed by delivering for deposition at least one precursor according to Formula I-III, independently or in combination with a co-reactant. Examples of such co-reactants include, but are not limited to hydrogen, hydrogen plasma, oxygen, air, water, H2O2, ammonia, hydrazines, allylhydrazines, boranes, silanes, ozone or any combination thereof.
In another embodiment, a method is provided for forming a “mixed” metal film by ALD by delivering for deposition at least one precursor according to Formula I-III and at least one non-titanium precursor. For example, at least one titanium precursor according to Formula I-III and at least one appropriate non-titanium precursor, such as a lead, hafnium, zirconium, strontium and/or barium precursor may be delivered for deposition to a substrate to create a mixed metal film. For example, in a particular embodiment, at least one precursor according to Formula I-III can be used to form a metal titanate film, such as a strontium titanate, barium titanate film or lead zirconate titanate (PZT).
In a particular embodiment, at least one precursor according to Formula I-III can be used to dope a metal oxide film, such as but not limited to a hafnium-containing oxide film, a zirconium-containing oxide film, a lanthanide-containing oxide film or any combination thereof. As used herein, when at least one precursor according to Formula I-III is used to dope a metal oxide film, the titanium may be substitutional or interstitial on the film-forming lattice.
In another particular embodiment, the at least one precursor according to Formula I-III can be used to form a ferroelectric, lead zirconate titanate (PZT) film.
D. Permittivity
A thin film created by a method of the invention can have a permittivity of between 10 and 250, preferably at least 25 to 40 and more preferably at least 40 to 100. Further, an ultra high permittivity can be considered to be a value higher than 100. It is understood by one of ordinary skill in the art that the resulting permittivity of the film depends on a number of factors, such as the metal(s) used for deposition, the thickness of the film created, the parameters and substrate employed during growth and subsequent processing.
In a particular embodiment, the at least one precursor according to Formula I-III can be used to form a metal-titanate film with an ultra high permittivity (high-κ) of over 100.
E. Substrates
A variety of substrates can be used in the methods of the present invention. For example, the precursors according to Formula I-III may be delivered for deposition on substrates such as, but not limited to, silicon, silicon oxide, silicon nitride, tantalum, tantalum nitride, or copper.
F. ALD Types
The ALD methods of the invention encompass various types of ALD processes. For example, in one embodiment conventional ALD is used to form a titanium-containing film of the invention. For conventional and/or pulsed injection ALD process see for example, George S. M., et. al. J. Phys. Chem. 1996. 100:13121-13131.
In another embodiment, liquid injection ALD is used to form a titanium-containing film, wherein a liquid precursor is delivered to the reaction chamber by direct liquid injection as opposed to vapor draw by a bubbler (conventional). For liquid injection ALD process see, for example, Potter R. J., et. al. Chem. Vap. Deposition. 2005. 11(3):159.
In a particular embodiment, at least one precursor corresponding in structure to Formula I is used to form a titanium-containing film by liquid injection ALD.
In a particular embodiment, at least one precursor corresponding in structure to Formula II is used to form a titanium-containing film by liquid injection ALD.
In a particular embodiment, at least one precursor corresponding in structure to Formula III is used to form a titanium-containing film by liquid injection ALD.
Examples of liquid injection ALD growth conditions include, but are not limited to:
    • (1) Substrate temperature: 160-300° C. on Si(100)
    • (2) Evaporator temperature: about 175° C.
    • (3) Reactor pressure: about 5 mbar
    • (4) Solvent: toluene, or any solvent mentioned above
    • (5) Solution concentration: about 0.05 M
    • (6) Injection rate: about 2.5 μl pulse−1 (4 pulses cycle−1)
    • (7) Inert gas flow rate: about 200 cm3 min−1
    • (8) Pulse sequence (sec.) (precursor/purge/H2O/purge): will vary according to chamber size.
    • (9) Number of cycles: will vary according to desired film thickness.
In one embodiment, at least one precursor corresponding in structure to Formula I-III is used to form a titanium-containing film by liquid injection ALD, wherein the at least one precursor corresponding in structure to Formula I-III is dissolved in a solvent prior to delivery to the substrate. In a particular embodiment, the precursor may be dissolved in an appropriate hydrocarbon or amine solvent. Appropriate hydrocarbon solvents include, but are not limited to aliphatic hydrocarbons, such as hexane, heptane and nonane; aromatic hydrocarbons, such as toluene and xylene; aliphatic and cyclic ethers, such as diglyme, triglyme and tetraglyme. Examples of appropriate amine solvents include, without limitation, octylamine and N,N-dimethyldodecylamine. For example, the precursor may be dissolved in toluene to yield a 0.05 to 1M solution.
In another embodiment, at least one precursor corresponding in structure to Formula I-III may be delivered “neat” (undiluted by a carrier gas) to the substrate.
In another embodiment, photo-assisted ALD is used to form a titanium-containing film. For photo-assisted ALD processes see, for example, U.S. Pat. No. 4,581,249.
In a particular embodiment, at least one precursor corresponding in structure to Formula I is used to form a titanium-containing film by photo-assisted ALD.
In a particular embodiment, at least one precursor corresponding in structure to Formula II is used to form a titanium-containing film by photo-assisted ALD.
In a particular embodiment, at least one precursor corresponding in structure to Formula III is used to form a titanium-containing film by photo-assisted ALD.
In another embodiment, both liquid injection and photo-assisted ALD may be used to form a titanium-containing film using at least one precursor corresponding in structure to Formula I-III.
Thus, the organometallic precursors according to Formula I-III utilized in these methods may be liquid, solid, or gaseous. Particularly, the precursors are liquid at ambient temperatures with high vapor pressure for consistent transport of the vapor to the process chamber.
ALD relies substantially on chemical reactivity and not thermal decomposition. Therefore, there are fundamental differences in the characteristics desirable for a suitable precursor. The precursor must be thermally stable at the temperatures employed and should be sufficiently volatile to allow deposition onto the substrate. Further, when depositing a metal oxide or metal nitride film, a fast and complete chemical reaction is necessary between the metal precursor and the oxide or nitride source. However, the reaction should only take place at the substrate surface so as not to damage the underlying structure and by-products, such as carbon and hydrogen, should be removed readily from the surface.
It has been discovered that variation of the substitution of the Cp ring and three identical ligands attached to the metal center demonstrates useful and improved properties for ALD processes. The precursors of Formula I-III provide an increased ability to deposit titanium-containing films, particularly metal oxide films, by ALD at growth rates approaching that for simple metal amides but can operate at higher temperatures due to increased thermal stability which leads to improved product quality. For example, see FIG. 7 comparing ALD of (MeCp)Ti(OiPr)3 to two known ALD precursors. The ALD window of (MeCp)Ti(OiPr)3 is about 280° C., therefore (MeCp)Ti(OiPr)3 demonstrates about 50-80° C. temperature advantage over these two known ALD precursors.
In particular embodiments, the methods of the invention are utilized for applications such as dynamic random access memory (DRAM) and complementary metal oxide semiconductor (CMOS) for memory and logic applications, on substrates such as silicon chips.
EXAMPLES
The following examples are merely illustrative, and do not limit this disclosure in any way. All manipulations were carried out in an inert atmosphere using a glove box and Schlenk line techniques. NMR analysis was carried out using a Bruker 250 MHz machine.
Example 1 Synthesis of (MeCp)Ti(NMe2)3
Figure USRE045124-20140909-C00012
A Schlenk flask was charged with Ti(NMe2)4 (22.4 g, 0.1 mole) and anhydrous hexane (50 mls). Freshly cracked MeCpH (7.9 g, 0.1 mole) was then added over 10 minutes to the stirred solution at room temperature. A condenser was fitted and the mixture refluxed for 2 hours and the solvent then removed under reduced pressure. The crude material was distilled at 90° C., 0.001 Torr yielding pure (MeCp)Ti(NMe2)3 as a dark red solid/liquid in ˜90% yield.
NMR (C6D6): 5.9 (m, 2H, C5 H 4), 5.75 (m, 2H, C5 H 4), 3.1 (s, 18H, N(CH 3)2), 2.05 (s, 3H, CH 3Cp)
C, H, N: C H N
Expected 55.57 9.65 16.21
Actual 58.4 9.67 15.7
Example 2 Synthesis of (MeCp)Ti(OtBu)3
Figure USRE045124-20140909-C00013
A Schlenk flask was charged with (MeCp)Ti(NMe2)3 (25.9 g, 0.1 mole) and anhydrous hexane (50 mls). A second Schlenk flask was charged tBuOH (22.2 g, 0.3 mole) and dissolved in anhydrous hexane (20 mls). The anhydrous solutions were then added over 30 minutes to the stirred titanium solution at room temperature and the mixture stirred for 4 hours. The solvent was then removed under reduced pressure. The crude material was distilled at 50° C., 0.001 Torr yielding pure (MeCp)Ti(OtBu)3 as a pale yellow oil in ˜90% yield.
NMR (C6D6): 6.1 (m, 2H, C5 H 4), 5.95 (m, 2H, C5 H 4), 2.2 (s, 3H, CH 3Cp), 1.25 (s, 27H, C(CH 3)3.
C, H, N: C H N
Expected 62.4 9.82 0
Actual 61.8 9.78 0
Example 3 Synthesis of (MeCp)Ti(OiPr)3
Figure USRE045124-20140909-C00014
A 2 Lt round bottom flask fitted with a mechanical stirrer was charged with nBuLi (62.5 mls of 1.6M solution in hexane, 0.1 moles) and anhydrous diethyl ether (1.0 Lt). The solution was cooled to 0° C. and freshly cracked MeCpH (7.9 g, 0.1 moles) was added over 30 minutes and the suspension then stirred for 2 hours at room temperature. A Schlenk flask was charged with ClTi(OiPr)3 (26.0 g, 0.1 moles) and anhydrous diethyl ether (50 mls). The titanium solution was added to the 2 Lt flask cooled to ˜30° C. over 30 minutes, then stirred at room temperature for 12 hours. The solvent was removed under reduced pressure and the product extracted with anhydrous hexane (2×200 mls), filtered and the solvent removed under reduced pressure. The crude product was distilled at 50° C., 0.001 Torr yielding pure (MeCp)Ti(OiPr)3 as an orange oil in ˜90% yield.
NMR (C6D6): 6.05 (m, 2H C5 H 4), 5.9 (m, 2H, C5 H 4), 4.5 (septet, 3H, CHMe2), 2.2 (s, 3H, CH 3Cp), 1.15 (d, 18H, CHC(CH3)2).
C, H, N: C H N
Expected 59.16 9.2 0
Actual 58.0 9.3 0
Example 4 Synthesis of (MeCp)Ti(OMe)3
Figure USRE045124-20140909-C00015
A 2 Lt round bottom flask fitted with a mechanical stirrer was charged with (MeCp)Ti(OiPr)3 (30.4 g, 0.1 mole) and anhydrous diethyl ether (1 Lt). A Schlenk flask was charged with CH3C[O]Cl (34.0 g, 0.44 moles, ˜1.5× excess) and anhydrous diethyl ether (100 mls). The CH3C[O]Cl/anhydrous diethyl ether solution was then added to the titanium solution at room temperature over 1 hour and the solution then refluxed for 12 hours. A Schlenk flask was charged with anhydrous MeOH (11.5 g, 0.36 moles, 1.2 excess), anhydrous NEt3 (36.3 g, 0.36 moles, 1.2 excess) and anhydrous diethyl ether (200 mls). The MeOH/anhydrous Net3/anhydrous diethyl ether solution was added to the titanium solution cooled to −10° C. over 60 minutes and the thick suspension stirred at room temperature for 3 hours. The solvent was removed under reduced pressure and the product extracted with anhydrous hexane (2×300 mls), filtered and the solvent removed under reduced pressure. The crude product was distilled at 50° C., 0.001 Torr yielding pure (MeCp)Ti(OMe)3 as a yellow oil in ˜50% yield.
NMR (C6D6): 5.95 (m, 2H C5 H 4), 5.8 (m, 2H, C5 H 4), 3.9 (s, 9H, OCH 3), 2.0 (s, 3H, CH 3Cp).
C, H, N: C H N
Expected 41.08 6.85 0
Actual 51.7 7.5 0
Example 5 1H NMR Thermal Stability Study
A neat precursor sample was sealed in a NMR tube under nitrogen. The sample was then heated for the required length of time with testing periodically.
FIG. 11 represents 1H NMR results of (MeCp)Ti(NMe2)3 at 150° C.
FIG. 12 represents 1H NMR results of (MeCp)Ti(OMe)3 at 150° C.
The stability of these compounds at high temperatures is clearly sufficient for their use in standard delivery mechanisms used to introduce precursor vapors into deposition chambers for all methods of vapor phase deposition including but not limited to MOCVD (metal organic chemical vapor deposition), MOVPE (metal organic vapor phase epitaxy) and ALD.
Example 6 Vapor Pressure Study
FIG. 1 and FIG. 2 demonstrate vapor pressure versus temperature for (MeCp)Ti(OiPr)3 and (MeCp)Ti(NMe2)3, respectively.
FIG. 3 contains the vapor pressure equations for (MeCp)Ti(OiPr)3 and (MeCp)Ti(NMe2)3.
FIG. 3A represents the vapor pressure curves of the above recited precursors in comparison to Ti(OiPr)4.
The volatility of the precursors in the range suited for desired vapor delivery rates is shown to be very similar to Ti(OiPr)4 a well established titanium precursor hence the new sources are capable of direct substitution in to existing process delivery technologies. The high volatility is a distinct advantage for high volume manufacture to keep thermal budgets to a minimum to save energy and also limit the potential for deleterious pre-reactions and deposits.
Example 7 TGA Data
FIG. 4 represents TGA data for (MeCp)Ti(OiPr)3.
FIG. 5 represents TGA data for (MeCp)Ti(OMe)3.
FIG. 9 represents TGA data for (MeCp)Ti(NMe2)3.
FIG. 10 represents TGA data for (MeCp)Ti(OtBu)3.
FIG. 13 represents a TGA comparison of (MeCp)Ti(OiPr)3, (MeCp)Ti(OMe)3, (MeCp)Ti(NMe2)3 and (MeCp)Ti(OtBu)3 to Ti(OiPr)4 standard precursor.
The vaporization characteristics of the optimized new sources are clearly demonstrated as superior to the conventional titanium source with reduced residues at higher temperatures. The ability to access higher growth temperatures without premature decomposition is of great benefit to ALD process, especially at larger batch sizes where uniformity of film thicknesses over large areas is critical.
Example 8 ALD of (MeCp)Ti(OiPr)3
Titanium oxide thin films were deposited in a custom-built ALD reactor. (MeCp)Ti(OiPr)3 and ozone were used as precursors. The titanium oxide films were deposited on silicon wafer substrates. Prior to deposition, the wafer substrates were prepared by dicing the wafer (1 inch×½ inch), and 1% HF polished.
The growth temperature was 200-350° C. The growth pressure was 0.5-1.5 Torr. The reactor was continuously purged with 30 sccm of dry nitrogen. All the computer controlled valves in the reactor were the air operated ALD VCR valves from Cajon.
Ozone was purged in excess. The titanium was stored in a stainless steel ampoule. Attached directly to the ampoule was an ALD valve. The output of this ALD valve was Tee'd with another ALD valve used for nitrogen injection. The Tee outlet leg was connected to a 500 cm3 stainless steel reservoir. The outlet of the reservoir was attached to a third ALD valve, called the inject valve, whose outlet goes directly to the reactor. Nitrogen injection was used to build up the total pressure behind the titanium inject valve so that the pressure was higher than the reactor growth pressure. The injected nitrogen was accomplished using a 30 micron pin hole VCR gasket. All of the valves and ampoule were placed into an oven-like enclosure that allowed the ampoule, valves, and tubing to be heated uniformly to 50° C. to 250° C.
During the ALD growth operation, the valves were sequenced in the following manner. The titanium precursor was introduced to the activated silicon surface. A nitrogen purge then took place which included evacuation to remove surplus reactant molecules not attached to the surface. Ozone was then introduced as a co-reactant species, followed by an additional purge with nitrogen. The ozone was then injected to start the ALD cycle all over again.
The total amount of cycles was from 100 to 400, typically 300. Results showed that the deposition rate was independent of the titanium dose as varied through its vapor pressure, which in turn was varied through its evaporation temperature. This proves that the film growth proceeded in a self-limiting manner as is characteristic of ALD.
FIG. 7 demonstrates ALD growth data of (MeCp)Ti(OiPr)3 in comparison with two standard precursors, i.e. Ti(OiPr)4 and Ti(Me2)4.
FIG. 8 demonstrates increase in thickness with number of cycles which demonstrates the ALD behavior of (MeCp)Ti(OiPr)3 at 200° C.
FIG. 8A demonstrates growth rate versus deposition temperature for ALD of (MeCp)Ti(OiPr)3. The growth rate at 200° C. was about 0.35 Å/cycle.
Example 9 ALD of (MeCp)Ti(NMe2)3
The procedure used in Example 5 was used to perform ALD using (MeCp)Ti(NMe2)3.
FIG. 14 demonstrates growth rate versus deposition temperature for ALD of (MeCp)Ti(NMe2)3. The growth rate at 200° C. was about 0.87 Å/cycle.
FIG. 15 demonstrates the dependence of TiO2 thickness on number of cycles at 200° C. for (MeCp)Ti(OiPr)3 and (MeCp)Ti(NMe2)3 in comparison to Ti(OiPr)4 standard precursor.
Example 10 SIMS Analysis of TiO2 from (MeCp)Ti(OiPr)3
FIGS. 16A and 16B represent SIMS analysis performed of TiO2 from (MeCp)Ti(OiPr)3. FIG. 16A represents a layer grown at 200° C. and FIG. 16B represents a layer grown at 300° C.
In both cases the Ti:O ratio in the deposited layer is stoichiometric. At the lower growth temperature the carbon background is high but at 300° C. this has been significantly reduced. Indeed the carbon level at the same growth temperature for the conventional Ti(OiPr)4 remains much higher (1020 cf 1019) highlighting the cleaner organic material removal from the surface reactions achieved using the new sources.
Example 11 SIMS Analysis of TiO2 from (MeCp)Ti(NMe2)3
FIGS. 17A and 17B represent SIMS analysis performed of TiO2 from (MeCp)Ti(NMe2)3. FIG. 17A represents a layer grown at 200° C. and FIG. 17B represents a layer grown at 300° C.
As for the (MeCp)Ti(OiPr)3 the results highlight the much reduced carbon contamination of deposited films.
All patents and publications cited herein are incorporated by reference into this application in their entirety.
The words “comprise”, “comprises”, and “comprising” are to be interpreted inclusively rather than exclusively.

Claims (32)

What is claimed is:
1. A method of forming a titanium-containing film by atomic layer deposition, the method comprising delivering at least one precursor to a substrate, wherein the at least one precursor corresponds in structure to Formula I II:
Figure USRE045124-20140909-C00016
wherein:
R is C1-C6-alkyl;
n is 1, 2, 3, or 4 or 5; and
L is C1-C6-alkoxy or amino, wherein the amino is optionally independently substituted 1 or 2 times with C1-C6-alkyl.
2. The method of claim 1, wherein
R is methyl, ethyl or propyl;
n is 1 or 2; and
L is selected from the group consisting of methoxy, ethoxy, propoxy, and butoxy, dimethylamino, ethylmethylamino, and diethylamino.
3. The method of claim 1, wherein
R is methyl or ethyl;
n is 1 or 2; and
L is selected from the group consisting of methoxy, ethoxy, propoxy, and butoxy.
4. The method of claim 1, wherein
R is methyl or ethyl;
n is 1; and
L is selected from the group consisting of methoxy, ethoxy, propoxy and butoxy.
5. The method of claim 1, wherein
R is methyl or ethyl;
n is 1 or 2; and
L is selected from the group consisting of dimethylamino, ethylmethylamino, and diethylamino.
6. The method of claim 1, wherein the compound of Formula (I) II is selected from the group consisting of:
(methylcyclopentadienyl)Ti(NMe2)3;
(ethylcyclopentadienyl)Ti(NMe2)3;
(propylcyclopentadienyl)Ti(NMe2)3;
(methylcyclopentadienyl)Ti(NEt2)3;
(ethylcyclopentadienyl)Ti(NEt2)3;
(propylcyclopentadienyl)Ti(NEt2)3;
(methylcyclopentadienyl)Ti(NMeEt)3;
(ethylcyclopentadienyl)Ti(NMeEt)3;
(propylcyclopentadienyl)Ti(NMeEt)3;
(methylcyclopentadienyl)Ti(OMe)3;
(ethylcyclopentadienyl)Ti(OMe)3;
(propylcyclopentadienyl)Ti(OMe)3;
(methylcyclopentadienyl)Ti(OEt)3;
(ethylcyclopentadienyl)Ti(OEt)3;
(propylcyclopentadienyl)Ti(OEt)3;
(methylcyclopentadienyl)Ti(OiPr)3;
(ethylcyclopentadienyl)Ti(OiPr)3;
(propylcyclopentadienyl)Ti(OiPr)3;
(methylcyclopentadienyl)Ti(OtBu)3;
(ethylcyclopentadienyl)Ti(OtBu)3; and
(propylcyclopentadienyl)Ti(OtBu)3.
7. The method of claim 1, wherein the compound of Formula (I) II is selected from the group consisting of:
(methylcyclopentadienyl)Ti(NMe2)3;
(methylcyclopentadienyl)Ti(OMe)3;
(methylcyclopentadienyl)Ti(OiPr)3; and
(methylcyclopentadienyl)Ti(OtBu)3.
8. The method of claim 1, wherein the atomic layer deposition comprises photo-assisted atomic layer deposition.
9. The method of claim 1, wherein the atomic layer deposition comprises liquid injection atomic layer deposition.
10. The method of claim 1, wherein the at least one precursor is delivered to the substrate in pulses alternating with pulses of an oxygen source.
11. The method of claim 10, wherein the oxygen source is selected from H2O, O2 or ozone.
12. The method of claim 1, further comprising delivering to the substrate at least one co-reactant selected from the group consisting of hydrogen, hydrogen plasma, oxygen, air, water, ammonia, hydrazines, alkylhydrazines, boranes, silanes, ozone and a combination thereof.
13. The method of claim 1, wherein at least two precursors corresponding in structure to Formula I II are delivered to the substrate to form a titanium-containing film by atomic layer deposition.
14. The method of claim 1, further comprising delivering to the substrate at least one non-titanium precursor to form a mixed metal film by atomic layer deposition.
15. The method of claim 14, wherein the mixed metal film formed is selected from the group consisting of strontium titanate, barium titanate, hafnium titanate, zirconium titanate and lead zirconate titanate.
16. The method of claim 1, wherein the titanium-containing film is used for a memory and/or logic application.
17. The method of claim 1, wherein the substrate is silicon, silicon oxide, silicon nitride, tantalum, tantalum nitride, or copper.
18. A method of forming a titanium-containing film by atomic layer deposition, the method comprising delivering at least one precursor to a substrate, wherein the at least one precursor corresponds in structure to Formula III:
Figure USRE045124-20140909-C00017
wherein:
R is C1-C6-alkyl;
n is 1, 2, 3, 4 or 5; and
L is amino, wherein the amino is optionally independently substituted 1 or 2 times with C1-C6-alkyl.
19. The method of claim 18, wherein
R is methyl, ethyl or propyl;
n is 1 or 2; and
L is selected from the group consisting of dimethylamino, ethylmethylamino, and diethylamino.
20. The method of claim 18, wherein
R is methyl or ethyl;
n is 1 or 2; and
L is selected from the group consisting of dimethylamino, ethylmethylamino, and diethylamino.
21. The method of claim 18, wherein the compound of Formula III is selected from the group consisting of:
(methylcyclopentadienyl)Ti(NMe2)3;
(ethylcyclopentadienyl)Ti(NMe2)3;
(propylcyclopentadienyl)Ti(NMe2)3;
(methylcyclopentadienyl)Ti(NEt2)3;
(ethylcyclopentadienyl)Ti(NEt2)3;
(propylcyclopentadienyl)Ti(NEt2)3;
(methylcyclopentadienyl)Ti(NMeEt)3;
(ethylcyclopentadienyl)Ti(NMeEt)3; and
(propylcyclopentadienyl)Ti(NMeEt)3.
22. The method of claim 18, wherein the compound of Formula III is methylcyclopentadienyl)Ti(NMe2)3.
23. The method of claim 18, wherein the atomic layer deposition comprises photo-assisted atomic layer deposition.
24. The method of claim 18, wherein the atomic layer deposition comprises liquid injection atomic layer deposition.
25. The method of claim 18, wherein the at least one precursor is delivered to the substrate in pulses alternating with pulses of an oxygen source.
26. The method of claim 25, wherein the oxygen source is selected from H2O, O2 or ozone.
27. The method of claim 18, further comprising delivering to the substrate at least one co-reactant selected from the group consisting of hydrogen, hydrogen plasma, oxygen, air, water, ammonia, hydrazines, alkylhydrazines, boranes, silanes, ozone and a combination thereof.
28. The method of claim 18, wherein at least two precursors corresponding in structure to Formula III are delivered to the substrate to form a titanium-containing film by atomic layer deposition.
29. The method of claim 18, further comprising delivering to the substrate at least one non-titanium precursor to form a mixed metal film by atomic layer deposition.
30. The method of claim 29, wherein the mixed metal film formed is selected from the group consisting of strontium titanate, barium titanate, hafnium titanate, zirconium titanate and lead zirconate titanate.
31. The method of claim 18, wherein the titanium-containing film is used for a memory and/or logic application.
32. The method of claim 18, wherein the substrate is silicon, silicon oxide, silicon nitride, tantalum, tantalum nitride, or copper.
US13/842,164 2007-09-14 2013-03-15 Methods of atomic layer deposition using titanium-based precursors Active 2029-11-07 USRE45124E1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/842,164 USRE45124E1 (en) 2007-09-14 2013-03-15 Methods of atomic layer deposition using titanium-based precursors

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US97248807P 2007-09-14 2007-09-14
US12/207,973 US8221852B2 (en) 2007-09-14 2008-09-10 Methods of atomic layer deposition using titanium-based precursors
US13/842,164 USRE45124E1 (en) 2007-09-14 2013-03-15 Methods of atomic layer deposition using titanium-based precursors

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US12/207,973 Reissue US8221852B2 (en) 2007-09-14 2008-09-10 Methods of atomic layer deposition using titanium-based precursors

Publications (1)

Publication Number Publication Date
USRE45124E1 true USRE45124E1 (en) 2014-09-09

Family

ID=40020242

Family Applications (2)

Application Number Title Priority Date Filing Date
US12/207,973 Ceased US8221852B2 (en) 2007-09-14 2008-09-10 Methods of atomic layer deposition using titanium-based precursors
US13/842,164 Active 2029-11-07 USRE45124E1 (en) 2007-09-14 2013-03-15 Methods of atomic layer deposition using titanium-based precursors

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US12/207,973 Ceased US8221852B2 (en) 2007-09-14 2008-09-10 Methods of atomic layer deposition using titanium-based precursors

Country Status (7)

Country Link
US (2) US8221852B2 (en)
EP (2) EP2201149B1 (en)
JP (1) JP2010539709A (en)
KR (1) KR101560755B1 (en)
CN (1) CN101827956A (en)
TW (1) TWI464291B (en)
WO (1) WO2009036045A1 (en)

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2432363B (en) * 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
WO2007140813A1 (en) 2006-06-02 2007-12-13 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
TWI382987B (en) 2007-07-24 2013-01-21 Sigma Aldrich Co Organometallic precursors for use in chemical phase deposition processes
TWI425110B (en) * 2007-07-24 2014-02-01 Sigma Aldrich Co Methods of forming thin metal-containing films by chemical phase deposition
KR101560755B1 (en) 2007-09-14 2015-10-15 시그마 알드리치 컴퍼니 엘엘씨 Methods of preparing titanium containing thin films by atomic layer deposition using monocyclopentadienyl titanium-based precursors
KR20100072021A (en) 2007-09-14 2010-06-29 시그마-알드리치컴퍼니 Methods of preparing thin films by atomic layer deposition using monocyclopentadienyl trialkoxy hafnium and zirconium precursors
US7790531B2 (en) 2007-12-18 2010-09-07 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
EP2257561B1 (en) * 2008-02-27 2017-11-08 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method for forming a titanium-containing layer on a substrate using an atomic layer deposition (ald) process
TWI467045B (en) 2008-05-23 2015-01-01 Sigma Aldrich Co High-k dielectric films and methods of producing high-k dielectric films using cerium-based precursors
TW200949939A (en) * 2008-05-23 2009-12-01 Sigma Aldrich Co High-k dielectric films and methods of producing using titanium-based β -diketonate precursors
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
KR20120030370A (en) * 2009-04-16 2012-03-28 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Doped zro2 capacitor materials and structures
KR20120099577A (en) * 2009-07-01 2012-09-11 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Lithium precursors for lixmyoz materials for batteries
KR20120088652A (en) * 2009-07-21 2012-08-08 시그마-알드리치 컴퍼니., 엘엘씨 Compositions and methods of use for forming titanium-containing thin films
US20110020547A1 (en) * 2009-07-21 2011-01-27 Julien Gatineau High dielectric constant films deposited at high temperature by atomic layer deposition
KR101538982B1 (en) 2009-08-07 2015-07-23 시그마-알드리치 컴퍼니., 엘엘씨 High molecular weight alkyl-allyl cobalttricarbonyl complexes and use thereof for preparing dielectric thin films
CN102597310B (en) 2009-11-02 2015-02-04 西格玛-奥吉奇有限责任公司 Solid precursor delivery assembly and correlation method
US8592606B2 (en) 2009-12-07 2013-11-26 Air Products And Chemicals, Inc. Liquid precursor for depositing group 4 metal containing films
JP5873494B2 (en) * 2010-08-27 2016-03-01 シグマ−アルドリッチ・カンパニー、エルエルシー Molybdenum (IV) amide precursors and their use in atomic layer deposition methods
JP5583529B2 (en) * 2010-09-07 2014-09-03 株式会社Adeka Metal compounds and raw materials for thin film formation
JP5587716B2 (en) * 2010-09-27 2014-09-10 マイクロンメモリジャパン株式会社 Semiconductor device, manufacturing method thereof, and adsorption site blocking atomic layer deposition method
JP2012124322A (en) * 2010-12-08 2012-06-28 Elpida Memory Inc Method of manufacturing semiconductor storage
JP2012193445A (en) * 2011-02-28 2012-10-11 Tokyo Electron Ltd Method of forming titanium nitride film, apparatus for forming titanium nitride film, and program
JP5675458B2 (en) * 2011-03-25 2015-02-25 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
JP2012248813A (en) * 2011-05-31 2012-12-13 Elpida Memory Inc Formation method of titanium oxide film having rutile crystal structure
US8927748B2 (en) 2011-08-12 2015-01-06 Sigma-Aldrich Co. Llc Alkyl-substituted allyl carbonyl metal complexes and use thereof for preparing dielectric thin films
WO2013112383A1 (en) 2012-01-26 2013-08-01 Sigma-Aldrich Co. Llc Molybdenum allyl complexes and use thereof in thin film deposition
WO2013157901A1 (en) * 2012-04-20 2013-10-24 주식회사 유피케미칼 Precursor compound containing group 4 transition metal, preparation method thereof, precursor composition containing same, and method for depositing thin film using same
WO2013177326A1 (en) 2012-05-25 2013-11-28 Advanced Technology Materials, Inc. Silicon precursors for low temperature ald of silicon-based thin-films
US10186570B2 (en) 2013-02-08 2019-01-22 Entegris, Inc. ALD processes for low leakage current and low equivalent oxide thickness BiTaO films
TWI664185B (en) * 2013-12-22 2019-07-01 美商恩特葛瑞斯股份有限公司 Cyclopentadienyl titanium alkoxides with ozone activated ligands for ald of tio2
KR101785594B1 (en) * 2014-06-13 2017-10-17 주식회사 유진테크 머티리얼즈 Precusor compositions and Method for forming a thin film using thereof
KR102147190B1 (en) * 2015-03-20 2020-08-25 에스케이하이닉스 주식회사 Film-forming composition and method for fabricating film by using the same
KR101755465B1 (en) * 2015-11-16 2017-07-07 현대자동차 주식회사 Coating method of seperator for fuel cell and seperator for fuel cell
US9627615B1 (en) * 2016-01-26 2017-04-18 Arm Ltd. Fabrication of correlated electron material devices
US10797238B2 (en) 2016-01-26 2020-10-06 Arm Ltd. Fabricating correlated electron material (CEM) devices
KR101806987B1 (en) * 2016-02-03 2017-12-08 주식회사 유피케미칼 Group 4 metal element-containing compound, preparing method thereof, precursor composition including the same for layer deposition, and depositing method of layer using the same
JP6797068B2 (en) * 2017-04-28 2020-12-09 株式会社Adeka Method for manufacturing titanium carbide-containing thin film by atomic layer deposition method
JP6948159B2 (en) * 2017-05-31 2021-10-13 株式会社Adeka New compounds, raw materials for thin film formation, and thin film manufacturing methods
US10211398B2 (en) 2017-07-03 2019-02-19 Arm Ltd. Method for the manufacture of a correlated electron material device
WO2019156451A1 (en) * 2018-02-07 2019-08-15 주식회사 유피케미칼 Group iv metal element-containing compound, preparation method therefor, precursor composition comprising same compound for film formation, and film forming method using same composition
KR102471126B1 (en) * 2019-02-01 2022-11-28 주식회사 유피케미칼 Group 4 metal element-containing compound, preparing method thereof, precursor composition including the same for forming layer, and method for forming layer using the same
CN111501016A (en) * 2020-04-09 2020-08-07 中国科学院微电子研究所 High-uniformity atomic layer deposition method and application thereof
US11286556B2 (en) * 2020-04-14 2022-03-29 Applied Materials, Inc. Selective deposition of titanium films
US20220205099A1 (en) * 2020-12-29 2022-06-30 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Group iv element containing precursors and deposition of group iv element containing films
KR20220157741A (en) * 2021-05-21 2022-11-29 주식회사 아이켐스 Novel hafnium compound, precursor composition comprising the same, thin film using the same and deposition method of the same
KR102589267B1 (en) * 2021-07-16 2023-10-13 오션브릿지 주식회사 Metal precursor compound for and deposition method for preparing film using the same
WO2023219446A1 (en) * 2022-05-13 2023-11-16 주식회사 유피케미칼 Film depositing composition including group 4 metal element-containing precursor compound and method for forming film using same

Citations (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4581249A (en) 1984-03-30 1986-04-08 Canon Kabushiki Kaisha Photochemical vapor deposition method
JPH02167334A (en) 1988-09-05 1990-06-27 Idemitsu Kosan Co Ltd Production of polymer
JPH03109405A (en) 1989-09-21 1991-05-09 Idemitsu Kosan Co Ltd Arylstyrene-based polymer and production thereof
US5393564A (en) 1993-05-14 1995-02-28 Micron Semiconductor, Inc. High efficiency method for performing a chemical vapor deposition utilizing a nonvolatile precursor
US5625086A (en) 1995-07-12 1997-04-29 Witco Gmbh Process for the preparation of cyclopentadienyltrialkoxytitanium derivatives
US6015590A (en) 1994-11-28 2000-01-18 Neste Oy Method for growing thin films
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
WO2004017377A2 (en) 2002-08-18 2004-02-26 Aviza Technology, Inc. Atomic layer deposition of high k metal oxides
US6698728B1 (en) 1999-12-11 2004-03-02 Epichem Limited Method and apparatus for delivering precursors to a plurality of epitaxial reactor sites
US20040092096A1 (en) 2002-10-29 2004-05-13 Ivo Raaijmakers Oxygen bridge structures and methods to form oxygen bridge structures
JP2005171291A (en) 2003-12-09 2005-06-30 Tosoh Corp Titanium-containing thin film and production method therefor
TW200540291A (en) 2004-06-08 2005-12-16 Epichem Ltd Precursors for deposition of silicon nitride, silicon oxynitride and metal silicon oxynitrides
JP2006165537A (en) 2004-11-19 2006-06-22 Asm Internatl Nv Manufacturing method of metal oxide film at low temperature
US7091129B2 (en) 2003-12-30 2006-08-15 Intel Corporation Atomic layer deposition using photo-enhanced bond reconfiguration
WO2006131751A1 (en) 2005-11-16 2006-12-14 Sigma-Aldrich Co. Cyclopentadienyl type hafnium and zirconium precursors and use thereof in atomic layer deposition
US20070122947A1 (en) 2003-12-25 2007-05-31 Adeka Corporation Metal compound, material for thin film formation, and process of forming thin film
WO2007066546A1 (en) 2005-12-06 2007-06-14 Tri Chemical Laboratories Inc. Hafnium compound, hafnium thin film-forming material and method for forming hafnium thin film
US7282119B2 (en) 2000-07-22 2007-10-16 Sigma-Aldrich Co. Process and apparatus for the isolation of pure, or substantially pure, organometallic compounds
WO2007141059A2 (en) 2006-06-02 2007-12-13 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming dielectric films, new precursors and their use in the semi-conductor manufacturing
KR20080010104A (en) 2006-07-26 2008-01-30 굿모닝신한증권 주식회사 Method for providing account clinic service in home trading system
US7419698B2 (en) 2001-10-26 2008-09-02 Sigma-Aldrich Co. Precursors for chemical vapor deposition
US20080213940A1 (en) 2006-11-17 2008-09-04 Jung-Ho Lee Methods of forming metal oxide layers, methods of forming gate structures using the same, and methods of forming capacitors using the same
US20080274615A1 (en) 2007-05-02 2008-11-06 Vaartstra Brian A Atomic Layer Deposition Methods, Methods of Forming Dielectric Materials, Methods of Forming Capacitors, And Methods of Forming DRAM Unit Cells
KR20080101040A (en) 2007-05-15 2008-11-21 주식회사 유피케미칼 Organometallic precursors for deposition of metal or ceramic thin films, and deposition process of the thin films
US7476420B2 (en) 2000-10-23 2009-01-13 Asm International N.V. Process for producing metal oxide films at low temperatures
WO2009036046A1 (en) 2007-09-14 2009-03-19 Sigma-Aldrich Co. Methods of preparing thin films by atomic layer deposition using monocyclopentadienyl trialkoxy hafnium and zirconium precursors
US20090074983A1 (en) 2007-09-14 2009-03-19 Peter Nicholas Heys Methods of atomic layer deposition using titanium-based precursors
WO2009106433A1 (en) 2008-02-27 2009-09-03 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method for forming a titanium-containing layer on a substrate using an atomic layer deposition (ald) process
WO2009117583A2 (en) 2008-03-20 2009-09-24 Sigma-Aldrich, Co Purification and preparation of phosphorus-containing compounds
WO2009143452A1 (en) 2008-05-23 2009-11-26 Sigma-Aldrich Co. High-k dielectric films and methods of producing using cerium-based precursors
WO2009143458A1 (en) 2008-05-23 2009-11-26 Sigma-Aldrich Co. High-k dielectric films and methods of producing using titanium-based precursors
WO2009155507A1 (en) 2008-06-20 2009-12-23 Sigma-Aldrich Co. Titanium pyrrolyl-based organometallic precursors and use thereof for preparing dielectric thin films
US20100256406A1 (en) 2007-07-24 2010-10-07 Sigma-Aldrich Co. Organometallic precursors for use in chemical phase deposition processes
US20100261350A1 (en) 2007-07-24 2010-10-14 Sigma-Aldrich Co. Methods of forming thin metal-containing films by chemical phase deposition
US7927661B2 (en) 2003-03-17 2011-04-19 Sigma-Aldrich Co. Methods of depositing a metal oxide layer or film using a rare earth metal precursor
US20110151227A1 (en) 2008-05-23 2011-06-23 Sigma-Aldrich Co. High-k dielectric films and methods of producing using titanium-based b-diketonate precursors
US20110165401A1 (en) 2008-05-23 2011-07-07 Sigma-Aldrich Co. High-k dielectric films and methods of producing using cerium-based beta-diketonate precursors
US20110165780A1 (en) 2008-05-30 2011-07-07 Sigma-Aldrich Co. Methods of forming ruthenium-containing films by atomic layer deposition
WO2012027575A1 (en) 2010-08-27 2012-03-01 Sigma-Aldrich Co. Llc Molybdenum (iv) amide precursors and use thereof in atomic layer deposition
US20120178266A1 (en) 2009-07-21 2012-07-12 Sigma-Aidrich Co. Llc Compositions and methods of use for forming titanium-containing thin films
US20120177845A1 (en) 2009-08-07 2012-07-12 Sigma-Aldrich Co. Llc High molecular weight alkyl-allyl cobalttricarbonyl complexes and use thereof for preparing dielectric thin films
US20130041170A1 (en) 2011-08-12 2013-02-14 Sigma-Aldrich Co. Llc Alkyl-substituted allyl carbonyl metal complexes and use thereof for preparing dielectric thin films
US20130052368A1 (en) 2010-03-19 2013-02-28 Sigma-Aldrich Co. Llc Methods for preparing thin films by atomic layer deposition using hydrazines
WO2013112383A1 (en) 2012-01-26 2013-08-01 Sigma-Aldrich Co. Llc Molybdenum allyl complexes and use thereof in thin film deposition

Patent Citations (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4581249A (en) 1984-03-30 1986-04-08 Canon Kabushiki Kaisha Photochemical vapor deposition method
JPH02167334A (en) 1988-09-05 1990-06-27 Idemitsu Kosan Co Ltd Production of polymer
JPH03109405A (en) 1989-09-21 1991-05-09 Idemitsu Kosan Co Ltd Arylstyrene-based polymer and production thereof
US5393564A (en) 1993-05-14 1995-02-28 Micron Semiconductor, Inc. High efficiency method for performing a chemical vapor deposition utilizing a nonvolatile precursor
US6015590A (en) 1994-11-28 2000-01-18 Neste Oy Method for growing thin films
US5625086A (en) 1995-07-12 1997-04-29 Witco Gmbh Process for the preparation of cyclopentadienyltrialkoxytitanium derivatives
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6698728B1 (en) 1999-12-11 2004-03-02 Epichem Limited Method and apparatus for delivering precursors to a plurality of epitaxial reactor sites
US7282119B2 (en) 2000-07-22 2007-10-16 Sigma-Aldrich Co. Process and apparatus for the isolation of pure, or substantially pure, organometallic compounds
US7476420B2 (en) 2000-10-23 2009-01-13 Asm International N.V. Process for producing metal oxide films at low temperatures
US7419698B2 (en) 2001-10-26 2008-09-02 Sigma-Aldrich Co. Precursors for chemical vapor deposition
WO2004017377A2 (en) 2002-08-18 2004-02-26 Aviza Technology, Inc. Atomic layer deposition of high k metal oxides
US20040092096A1 (en) 2002-10-29 2004-05-13 Ivo Raaijmakers Oxygen bridge structures and methods to form oxygen bridge structures
US7927661B2 (en) 2003-03-17 2011-04-19 Sigma-Aldrich Co. Methods of depositing a metal oxide layer or film using a rare earth metal precursor
US20110184156A1 (en) 2003-03-17 2011-07-28 Sigma-Aldrich Co. Precursors for deposition of metal oxide layers or films
JP2005171291A (en) 2003-12-09 2005-06-30 Tosoh Corp Titanium-containing thin film and production method therefor
US20070122947A1 (en) 2003-12-25 2007-05-31 Adeka Corporation Metal compound, material for thin film formation, and process of forming thin film
US7091129B2 (en) 2003-12-30 2006-08-15 Intel Corporation Atomic layer deposition using photo-enhanced bond reconfiguration
TW200540291A (en) 2004-06-08 2005-12-16 Epichem Ltd Precursors for deposition of silicon nitride, silicon oxynitride and metal silicon oxynitrides
JP2006165537A (en) 2004-11-19 2006-06-22 Asm Internatl Nv Manufacturing method of metal oxide film at low temperature
US20080282970A1 (en) 2005-11-16 2008-11-20 Peter Nicholas Heys Cyclopentadienyl Type Hafnium and Zirconium Precursors and Use Thereof in Atomic Layer Deposition
WO2006131751A1 (en) 2005-11-16 2006-12-14 Sigma-Aldrich Co. Cyclopentadienyl type hafnium and zirconium precursors and use thereof in atomic layer deposition
WO2007066546A1 (en) 2005-12-06 2007-06-14 Tri Chemical Laboratories Inc. Hafnium compound, hafnium thin film-forming material and method for forming hafnium thin film
JP2009539237A (en) 2006-06-02 2009-11-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Method for forming high-k dielectric films based on novel titanium, zirconium and hafnium precursors and their use in semiconductor manufacturing
WO2007140813A1 (en) 2006-06-02 2007-12-13 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
WO2007141059A2 (en) 2006-06-02 2007-12-13 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming dielectric films, new precursors and their use in the semi-conductor manufacturing
JP2010506378A (en) 2006-06-02 2010-02-25 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Methods of forming dielectric films, novel precursors and their use in semiconductor manufacturing
KR20080010104A (en) 2006-07-26 2008-01-30 굿모닝신한증권 주식회사 Method for providing account clinic service in home trading system
US20080213940A1 (en) 2006-11-17 2008-09-04 Jung-Ho Lee Methods of forming metal oxide layers, methods of forming gate structures using the same, and methods of forming capacitors using the same
US20080274615A1 (en) 2007-05-02 2008-11-06 Vaartstra Brian A Atomic Layer Deposition Methods, Methods of Forming Dielectric Materials, Methods of Forming Capacitors, And Methods of Forming DRAM Unit Cells
KR20080101040A (en) 2007-05-15 2008-11-21 주식회사 유피케미칼 Organometallic precursors for deposition of metal or ceramic thin films, and deposition process of the thin films
US20100261350A1 (en) 2007-07-24 2010-10-14 Sigma-Aldrich Co. Methods of forming thin metal-containing films by chemical phase deposition
US20100256406A1 (en) 2007-07-24 2010-10-07 Sigma-Aldrich Co. Organometallic precursors for use in chemical phase deposition processes
WO2009036045A1 (en) 2007-09-14 2009-03-19 Sigma-Aldrich Co. Methods of preparing titanium containing thin films by atomic layer deposition using monocyclopentadienyl titanium-based precursors
US20090074983A1 (en) 2007-09-14 2009-03-19 Peter Nicholas Heys Methods of atomic layer deposition using titanium-based precursors
US20090081385A1 (en) 2007-09-14 2009-03-26 Peter Nicholas Heys Methods of atomic layer deposition using hafnium and zirconium-based precursors
US8039062B2 (en) 2007-09-14 2011-10-18 Sigma-Aldrich Co. Llc Methods of atomic layer deposition using hafnium and zirconium-based precursors
WO2009036046A1 (en) 2007-09-14 2009-03-19 Sigma-Aldrich Co. Methods of preparing thin films by atomic layer deposition using monocyclopentadienyl trialkoxy hafnium and zirconium precursors
WO2009106433A1 (en) 2008-02-27 2009-09-03 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method for forming a titanium-containing layer on a substrate using an atomic layer deposition (ald) process
US20110021803A1 (en) 2008-03-20 2011-01-27 Wiechang Jin Purification and preparation of phosphorus-containing compounds
WO2009117583A2 (en) 2008-03-20 2009-09-24 Sigma-Aldrich, Co Purification and preparation of phosphorus-containing compounds
WO2009143458A1 (en) 2008-05-23 2009-11-26 Sigma-Aldrich Co. High-k dielectric films and methods of producing using titanium-based precursors
WO2009143452A1 (en) 2008-05-23 2009-11-26 Sigma-Aldrich Co. High-k dielectric films and methods of producing using cerium-based precursors
US20110151227A1 (en) 2008-05-23 2011-06-23 Sigma-Aldrich Co. High-k dielectric films and methods of producing using titanium-based b-diketonate precursors
US20110165401A1 (en) 2008-05-23 2011-07-07 Sigma-Aldrich Co. High-k dielectric films and methods of producing using cerium-based beta-diketonate precursors
US20110165780A1 (en) 2008-05-30 2011-07-07 Sigma-Aldrich Co. Methods of forming ruthenium-containing films by atomic layer deposition
WO2009155520A1 (en) 2008-06-20 2009-12-23 Sigma-Aldrich Co. Hafnium and zirconium pyrrolyl-based organometallic precursors and use thereof for preparing dielectric thin films
WO2009155507A1 (en) 2008-06-20 2009-12-23 Sigma-Aldrich Co. Titanium pyrrolyl-based organometallic precursors and use thereof for preparing dielectric thin films
US20120178266A1 (en) 2009-07-21 2012-07-12 Sigma-Aidrich Co. Llc Compositions and methods of use for forming titanium-containing thin films
US20120177845A1 (en) 2009-08-07 2012-07-12 Sigma-Aldrich Co. Llc High molecular weight alkyl-allyl cobalttricarbonyl complexes and use thereof for preparing dielectric thin films
US20130052368A1 (en) 2010-03-19 2013-02-28 Sigma-Aldrich Co. Llc Methods for preparing thin films by atomic layer deposition using hydrazines
WO2012027575A1 (en) 2010-08-27 2012-03-01 Sigma-Aldrich Co. Llc Molybdenum (iv) amide precursors and use thereof in atomic layer deposition
US20130196065A1 (en) 2010-08-27 2013-08-01 Sigma-Aldrich Co Llc Molybdenum (iv) amide precursors and use thereof in atomic layer deposition
US20130041170A1 (en) 2011-08-12 2013-02-14 Sigma-Aldrich Co. Llc Alkyl-substituted allyl carbonyl metal complexes and use thereof for preparing dielectric thin films
WO2013112383A1 (en) 2012-01-26 2013-08-01 Sigma-Aldrich Co. Llc Molybdenum allyl complexes and use thereof in thin film deposition

Non-Patent Citations (38)

* Cited by examiner, † Cited by third party
Title
An, J., et. al. Piano stool complexes containing the bulky pentaphenylcyclopentadienyl (C5Ph5) ligand: Preparation, characterization and X-ray structure of C5Ph5Zr(N(CH3)2)3 (I). Journal of Organometallic Chemistry 690: 4376-4380 (2005).
An., J., et al. (2005), "Piano stool complexes containing the bulky pentaphenylcyclopentadienyl (C5Ph5) ligand: preparation, characterization and X-ray structure of C5Ph5Zr(N(CH3)2)3 (I)", Journal of Organometallic Chemistry, 690: 4376-4380.
Cho,Y., et al. (2003), "Atomic Layer Deposition (ALD) of bismuth titanium oxide thin films using direct liquid injection (DLI) method", Integrated Ferroelectrics, 59(1): 1483-1489.
George, S.M., et al. (1996), "Surface Chemistry for Atomic Layer Growth", J. Phys. Chem., 100: 13121-13131.
George, S.M., et. al. Surface Chemistry for Atomic Layer Growth. J. Phys. Chem. 100: 13121-13131 (1996).
International Preliminary Report on Patentability issued in PCT Application No. PCT/US2008/075830 dated Mar. 16, 2010.
International Preliminary Report on Patentability issued in PCT Application No. PCT/US2008/075831 dated Mar. 16, 2010.
International Search Report for PCT/US2008/075830 dated Feb. 11, 2009.
International Search Report for PCT/US2008/075831 dated Jan. 14, 2009.
International Search Report issued in PCT Application No. PCT/US2008/075830 dated Feb. 11, 2009.
International Search Report issued in PCT Application No. PCT/US2008/075831 dated Jan. 14, 2009.
Jones, A., et al. (2006), "MOCVD and ALD of high-k Dielectric Oxides Using Alkoxide Precursors", Chemical Vapor Deposition, 12: 83-98.
Jones, A.C., et. al. MOCVD and ALD of High-K Dielectric Oxides Using Alkoxide Precursors. Chem. Vap. Depostion 12: 83-98 (2006).
Niinisto, et. al. ALD of ZrO2 Thin Films Exploiting Novel Mixed Alkylamido-Cyclopentadienyl Precursors. E-MRS Fall Meeting 2007. Oral Presentation. Sep. 18, 2007. Warsaw, Poland.
Office Action dated Apr. 1, 2011 issued in European Application No. 08799401.8.
Office Action dated Apr. 19, 2012 issued in Chinese Application No. 200880106796.1 with English Translation.
Office Action dated Apr. 8, 2011 issued in Chinese Application No. 200880106859.3 with English Translation.
Office Action dated Aug. 12, 2011 issued in U.S. Appl. No. 12/207,973.
Office Action dated Feb. 4, 2013 issued in Japanese Application No. 2010-524954 with English Translation.
Office Action dated Feb. 4, 2013 issued in Japanese Application No. 2010-524955 with English Translation.
Office Action dated Jun. 25, 2012 issued in Japanese Application No. 2010-524954 with English Translation.
Office Action dated Jun. 25, 2012 issued in Japanese Application No. 2010-524955 with English Translation.
Office Action dated Mar. 25, 2011 issued in U.S. Appl. No. 12/207,973.
Office Action dated May 4, 2011 issued in Chinese Application No. 200880106796.1 with English Translation.
Office Action dated Nov. 15, 2010 in U.S. Appl. No. 12/207,968.
Office Action dated Nov. 15, 2010 issued in U.S. Appl. No. 12/207,968.
Office Action dated Nov. 17, 2010 issued in U.S. Appl. No. 12/207,973.
Office Action dated Oct. 11, 2013 issued in Taiwan Application No. 097134790.
Office Action dated Oct. 18, 2011 issued in Chinese Application No. 200880106859.3 with English Translation.
Office Action dated Oct. 7, 2013 issued in Taiwan Application No. 097134791.
Potter, R., et al. (2005), "Deposition of HfO2, Gd2O3 and PrOx by liquid injection ALD techniques", Chemical Vapor Deposition, 11(3): 159-169.
Potter, R.J., et. et. Deposition of IIfO2, Gd2O3 and PrOx by Liquid Injection ALD Techniques. Chem. Vap. Deposition 11: 159-169 (2005).
Rushworth S., et. al. Thermal Stability Studies for Advanced Hafnium and Zirconium ALD Precursors, Surface & Coating Technology, 201 (2007) 9060-9065.
Watanabe, T., et al. (2006), "Liquid-injection atomic layer deposition of TiOx and Pb-Ti-0 films", Journal of the Electrochemical Society, 153(9): F199-F204.
Wright, P.J., et al. (2002) "Metal Organic Chemical Vapor Deposition (MOCVD) of Oxides and Ferroelectric Materials" Journal of Materials Science: Materials in Electronics 13:671-678.
Wright, P.J., et al. (2002), "Metal Organic Chemical Vapor Deposition (MOCVD) of oxides and ferroelectric materials", Journal of Materials Science: Materials in Electronics, 13: 671-678.
Wu, et. al. Diphenyl substituted cyclopentadienyl titanium trichloride derivatives: Synthesis, crystal structure and properties as catalysts for styrene polymerzation. Polyhedron 25: 2565-2570 (2006).
Wu, Q., et al. (2006), "Diphenyl substituted Cyclopentadienyl titanium trichloride derivatives: synthesis, crystal structure and properties as catalysts for styrene polymerization", Polyhedron, 25: 2565-2570.

Also Published As

Publication number Publication date
KR20100083145A (en) 2010-07-21
EP2201149B1 (en) 2013-03-13
EP2201149A1 (en) 2010-06-30
JP2010539709A (en) 2010-12-16
EP2644741B1 (en) 2015-03-04
EP2644741A1 (en) 2013-10-02
TWI464291B (en) 2014-12-11
KR101560755B1 (en) 2015-10-15
CN101827956A (en) 2010-09-08
US20090074983A1 (en) 2009-03-19
TW200912030A (en) 2009-03-16
WO2009036045A1 (en) 2009-03-19
US8221852B2 (en) 2012-07-17

Similar Documents

Publication Publication Date Title
USRE45124E1 (en) Methods of atomic layer deposition using titanium-based precursors
US8039062B2 (en) Methods of atomic layer deposition using hafnium and zirconium-based precursors
US11732351B2 (en) Methods for depositing a conformal metal or metalloid silicon nitride film and resultant films
US9802220B2 (en) Molybdenum (IV) amide precursors and use thereof in atomic layer deposition
US10217629B2 (en) Method of forming dielectric films, new precursors and their use in semiconductor manufacturing
JP6437962B2 (en) Method for depositing nitride film of group 13 metal or metalloid
KR101659725B1 (en) Volatile dihydropyrazinyl and dihydropyrazine metal complexes
KR101656890B1 (en) Method for forming a titanium-containing layer on a substrate using an atomic layer deposition (ald) process
KR101602984B1 (en) Niobium and vanadium organometallic precursors for thin film deposition
US9663547B2 (en) Silicon- and Zirconium-containing compositions for vapor deposition of Zirconium-containing films
KR20220159490A (en) Metal complexes containing cyclopentadienyl ligands
KR20230102083A (en) Novel molybdenum precursor, deposition method of molybdenum-containing film and device comprising the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: SIGMA-ALDRICH CO. NEWCO INC., MISSOURI

Free format text: MERGER;ASSIGNOR:SIGMA-ALDRICH CO.;REEL/FRAME:030638/0017

Effective date: 20110623

Owner name: SIGMA-ALDRICH CO., MISSOURI

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:HEYS, PETER NICHOLAS;KINGSLEY, ANDREW;SONG, FUQUAN;AND OTHERS;SIGNING DATES FROM 20081003 TO 20081010;REEL/FRAME:030637/0905

Owner name: SIGMA-ALDRICH CO. LLC, MISSOURI

Free format text: CHANGE OF NAME;ASSIGNOR:SIGMA-ALDRICH CO. NEWCO INC.;REEL/FRAME:030652/0559

Effective date: 20110701

FPAY Fee payment

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 12