USRE41538E1 - Method for making integrated circuit including interconnects with enhanced electromigration resistance using doped seed layer and integrated circuits produced thereby - Google Patents

Method for making integrated circuit including interconnects with enhanced electromigration resistance using doped seed layer and integrated circuits produced thereby Download PDF

Info

Publication number
USRE41538E1
USRE41538E1 US11/113,454 US11345405A USRE41538E US RE41538 E1 USRE41538 E1 US RE41538E1 US 11345405 A US11345405 A US 11345405A US RE41538 E USRE41538 E US RE41538E
Authority
US
United States
Prior art keywords
forming
layer
copper
barrier layer
dopant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US11/113,454
Inventor
James A. Cunningham
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Liberty Patents LLC
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/619,587 external-priority patent/US6521532B1/en
Application filed by Individual filed Critical Individual
Priority to US11/113,454 priority Critical patent/USRE41538E1/en
Assigned to BECK SEMICONDUCTOR LLC reassignment BECK SEMICONDUCTOR LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CUNNINGHAM, JAMES A.
Application granted granted Critical
Publication of USRE41538E1 publication Critical patent/USRE41538E1/en
Assigned to INTELLECTUAL VENTURES I LLC reassignment INTELLECTUAL VENTURES I LLC MERGER (SEE DOCUMENT FOR DETAILS). Assignors: BECK SEMICONDUCTOR LLC
Assigned to BECK SEMICONDUCTOR LLC reassignment BECK SEMICONDUCTOR LLC CORRECTIVE ASSIGNMENT TO CORRECT THE THE ASSIGNOR NAME ON THE ASSIGNMENT DOCUMENT PREVIOUSLY RECORDED ON REEL 023617 FRAME 350. ASSIGNOR(S) HEREBY CONFIRMS THE ASSIGNMENT. Assignors: CUNNINGHAM, JAMES A.
Assigned to INTELLECTUAL VENTURES ASSETS 157 LLC reassignment INTELLECTUAL VENTURES ASSETS 157 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTELLECTUAL VENTURES I LLC
Assigned to LIBERTY PATENTS LLC reassignment LIBERTY PATENTS LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTELLECTUAL VENTURES ASSETS 157 LLC
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76858After-treatment introducing at least one additional element into the layer by diffusing alloying elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention relates to the field of integrated circuits and integrated circuit manufacturing, and more particularly, to making interconnection structures with enhanced electromigration resistance, and while not significantly increasing the resistivity of the metal.
  • a metal interconnect system in wide use in the later 1990's included an Al+Cu alloy interconnect line clad on each side with a barrier metal, and combined with planarized tungsten plugs for vias.
  • a via is the structure that provides the electrical connection from one vertical level of interconnects to the next.
  • the system saw wide acceptance in the industry, especially for high performance logic applications, such as microprocessor chips. The system was perceived as satisfactory, except that a severe degradation in electromigration resistance was noted on test patterns with multiple levels of interconnects and tungsten plug vias, versus test patterns using one interconnect level and no vias.
  • the phenomenon may be referred to as a flux divergence at a dissimilar material interface.
  • the widely-accepted dual Damascene copper systems does not use tungsten plugs between interconnect levels, but does employ a barrier metal.
  • This barrier layer lies, in general, between the upper surface of a copper interconnect and the bottom of an overlying copper via. Thus, some flux divergence may occur at this interface at high current density.
  • the location of the copper metal depletion depends on the direction of current flow. For example, if the current flows up into overlying metal, this is the area of voiding and damage.
  • Another object of the invention is to provide a thin, hardened alloy skin on selected copper surfaces to reduce electromigration resistance and/or provide for passivation.
  • a method for making an integrated circuit device comprising forming at least one interconnect structure adjacent a substrate by forming at least one barrier layer, forming a doped copper seed layer on the at least one barrier layer, and forming a copper layer on the doped copper seed layer.
  • the method may further comprise annealing the integrated circuit device after forming the copper layer to diffuse the dopant from the doped copper seed layer into grain boundaries of the copper layer.
  • the doped copper seed layer may include at least one of calcium, cadmium, zinc, neodymium, tellurium, and ytterbium as a dopant to provide the enhanced electromigration resistance.
  • Forming the copper layer may comprise plating the copper layer.
  • forming the copper layer may comprise forming the copper layer to include at least one of calcium, cadmium, zinc, neodymium, tellurium, and ytterbium as a dopant.
  • the dopant in the seed layer may be sufficient so that no additional dopant is needed in the copper layer.
  • the method may further comprise forming at least one dielectric layer adjacent the substrate, and forming at least one opening in the at least one dielectric layer for receiving the at least one interconnect structure therein.
  • Forming the at least one barrier layer may include forming at least one barrier layer comprising metal.
  • the barrier layer may comprise one of tantalum nitride and tantalum silicon nitride. Alternately, the barrier layer may include cobalt and phosphorous.
  • the method may also include forming a displacement plated copper layer on which the at least one barrier layer is formed.
  • the device may include a substrate, at least one dielectric layer adjacent the substrate and having at least one opening therein, and at least one interconnect structure in the at least one opening.
  • the interconnect structure may comprise at least one barrier layer adjacent the at least one opening, a doped copper seed layer on the at least one barrier layer, and a copper layer on the doped copper seed layer.
  • the copper layer may comprise grain boundaries adjacent the doped copper seed layer containing dopant therein. These grain boundaries may be filled during an annealing step during processing.
  • the doped copper seed layer may comprise at least one of calcium, cadmium, zinc, neodymium, tellurium, and ytterbium as a dopant. If desired, the copper layer may also comprise at least one of calcium, cadmium, zinc, neodymium, tellurium, and ytterbium as a dopant.
  • FIG. 1 is a schematic cross-sectional view of an integrated circuit device made in accordance with the present invention.
  • FIGS. 2 through 4 are schematic cross-sectional views of the integrated circuit device as shown in FIG. 1 during various processing steps.
  • FIG. 5 is a schematic cross-sectional view of an integrated circuit device in accordance with an alternate embodiment of the invention.
  • FIG. 6 is a schematic cross-sectional view of another embodiment of an integrated circuit device in accordance with the invention.
  • the device 10 includes a substrate 11 in which various doped regions (not shown) may be formed to define active devices, such as transistors, etc. as will be readily appreciated by those skilled in the art.
  • One or more dielectric layers 12 may be formed over the substrate 11 .
  • the dielectric layer 12 may be patterned and etched and filled with metal, such as copper or an alloy thereof, to define the copper interconnect line 15 .
  • metal such as copper or an alloy thereof
  • at least one barrier metal layer 13 , and a copper seed layer 14 may be formed prior to electrodeposition of the copper interconnect 15 .
  • etch stop layers may also be provided, however, these layers are not shown for clarity.
  • an opening 25 may be formed extending through the dielectric layer 16 using conventional techniques.
  • the device 10 is subjected to a plating bath 24 including a plating metal more noble than copper. This forms a thin plating layer 20 on the exposed surface portion of the copper interconnect 15 . This may be followed by an anneal which drives in the more noble metal a short distance into the copper, such that the electromigration resistance of the copper near the barrier layer 21 is greatly improved. This also passivates the exposed copper interconnect 15 improving its resistance to oxidation and staining. This process is readily implemented in a cost effective manner.
  • a barrier layer 21 and a copper seed layer 22 may be formed to line the opening 25 as will be appreciated by those skilled in the art.
  • the barrier layer 21 may be tantalum nitride, tantalum silicon nitride, or other similar materials as will be appreciated by those skilled in the art.
  • the resulting opening 25 may then be filled with copper, such as using copper electroplating techniques, for example, to form the structure shown in FIG. 1 .
  • the invention also tends to passivate the temporarily exposed surface of the copper until the next step in the process is underway. This reduces oxidation and staining of the copper.
  • the copper interconnect 15 may be displacement plated following its delineation by CMP as is normally used in the Damascene approach as will be appreciated by those skilled in the art.
  • the entire upper surface could be displacement plated as described herein.
  • Selected reduction potentials are as follows: Ag+ ⁇ Ag, 0.8 volts; Au+ ⁇ Au, 1.7 volts; Pd++ ⁇ Pd, 0.95 volts; Ir+++ ⁇ Ir, 1.2 volts; Rh++ ⁇ Rh, 0.6 volts; Hg++ ⁇ Hg, 0.8 volts; Pt++ ⁇ Pt, 1.2 volts, Copper itself exhibits a single electrode potential of Cu+ ⁇ Cu, 0.52 volts. Any metal in a simple ion solution which has a reduction potential more positive than copper will spontaneously oxidize the copper and plate itself onto the copper as the metal.
  • the displacement plating can be achieved using simple ion chemistries, such as sulfates or chlorides as will be appreciated by those skilled in the art. A monolayer or more will form depending on the porosity of the coating. A metal which is less noble than copper, such as cadmium, Cd+ ⁇ Cd, ⁇ 0.4 volts, will not undergo the displacement reaction with copper.
  • an anneal is preferably performed sometime in the wafer processing flow so that the metal is driven into the copper a few atomic layers downward.
  • the diffusion length ⁇ Dt for an anneal of one hour at 450° C. is about 100 ⁇ .
  • a zone of roughly 100 ⁇ of Cu+Pd alloy wold be characterized by a marked increase in electromigration resistance due primarily, in this case, to a reduced rate of material transport from lattice diffusion processes.
  • Palladium and other metals listed above may not have ideal metallurgical characteristics which lend themselves towards the reduction of material transport rates due to high current density effects. In this case, however, the dopants would exist in high concentration. This is a factor which would tend to offset negative factors and which might contribute to their efficacy.
  • the deposition method described is not an electroless plating process.
  • the coating or plating layer 20 thickness is self-limiting, and does not tend to coat the adjacent dielectric material 16 .
  • the concentration of the metal in the aqueous plating bath 24 and the plating time are not critical as will be readily appreciated by those skilled in the art.
  • Such a treatment will tend to form a more stable transition from the barrier metal to the undoped or lightly-doped copper of the seed layer 22 , reducing material transport rates during high current density periods.
  • the seed layer 22 upon which the copper is plated may be sputter deposited with dopants.
  • the seed layer 22 cold be 300-500 ⁇ thick, sputter deposited, and contain 0.2 to 3 at.% Cd or Zn.
  • the copper seed layer 22 could also include at least one of calcium, neodymium, tellurium, and ytterbium.
  • the seed layer 22 ′ could be undoped copper or one of the alloys mentioned above which is then displacement plated to form the plating layer 20 ′ on the seed layer along the lines as described above.
  • the main copper alloy layer 23 ′ may then be plated on the displacement plating layer 20 ′ as shown in the illustrated embodiment.
  • Electroless “Co(P)” did not interdiffuse with copper even with extended heating at 400° C.
  • This alloy may be plated to dielectric surfaces by activation with PdCl 2 , as will be readily appreciated by those skilled in the art.
  • a first copper interconnect line 35 is formed on a seed layer 34 , which is formed on a barrier layer 33 .
  • the barrier layer 33 is illustratively on a dielectric layer 32 adjacent the substrate 31 .
  • a platinum displacement plated layer 36 is illustratively formed on the upper surface of the underlying copper interconnect 35 . This helps spread the current emerging downward from the via and also helps to reduce surface (or interface) diffusion rates for the copper interconnect.
  • First and second nitride layers 37 and 41 are shown adjacent the top and bottom, respectively, of a second dielectric layer 42 which act as etch stops as will be appreciated by those skilled in the art.
  • a third dielectric layer 43 is provided on the upper etch stop layer 41 in the illustrated embodiment.
  • a second barrier layer 44 is also provided to line or coat the opening for the second interconnect line 45 as will also be appreciated by those of skill in the art of Damascene copper technology.
  • the upper surface portion of the copper interconnect layer 45 will be polished flush in a subsequent step as will be understood by those skilled in the art.
  • a doped copper seed layer 46 is provided on which the copper interconnect layer 45 is formed.
  • This doped copper seed layer may be deposited by sputtering.
  • an all electrochemically formed copper-based interconnect may be formed by first forming the electrolessly deposited barrier layer 44 as shown, and then electroplating the doped seed layer 46 on the activated barrier layer. The activation may be accomplished by displacement or electrolessly plating a very thin layer of a more noble metal, such as Pd, Pt, Ag or Au, for example, as discussed above.
  • the thick copper or copper alloy film 45 may be built up by electroplating, for example.
  • the doped copper or copper alloy seed layer 46 may have a higher dopant concentration than the bulk interconnect layer 45 increasing the process latitude.
  • the doped copper seed layer 46 may include a dopant comprising at least one of calcium, cadmium, zinc, neodymium, tellurium, and ytterbium. Such a system provides an electromigration resistant via in both current directions as will be appreciated by those skilled in the art.
  • the bulk copper interconnect layer 45 may also include the same or other such dopants to enhance electromigration resistance as described herein.

Abstract

A method for making an integrated circuit device includes forming at least one interconnect structure adjacent a substrate by forming at least one barrier layer, forming a doped copper seed layer on the at least one barrier layer, and forming a copper layer on the doped copper seed layer. The method may further include annealing the integrated circuit device after forming the copper layer to diffuse the dopant from the doped copper seed layer into grain boundaries of the copper layer. The doped copper seed layer may include at least one of calcium, cadmium, zinc, neodymium, tellurium, and ytterbium as a dopant to provide the enhanced electromigration resistance. Forming the copper layer may comprise plating the copper layer. In addition, forming the copper layer may comprise forming the copper layer to include at least one of calcium, cadmium, zinc, neodymium, tellurium, and ytterbium as a dopant. In some embodiments, the dopant in the seed layer may be sufficient so that no additional dopant is needed in the copper layer.

Description

RELATED APPLICATIONS
This application is a based upon provisional application Ser. No. 60/150,156 filed Aug. 20, 1999, now abandoned and is a continuation-in-part application of U.S. patent application Ser. No. 09/619,587 filed on Jul. 19, 2000 which, in turn, is based upon prior filed provisional application Ser. No. 60/145,036 filed Jul. 22, 1999, the entire disclosures of all of which are incorporated herein by reference.
FIELD OF THE INVENTION
The present invention relates to the field of integrated circuits and integrated circuit manufacturing, and more particularly, to making interconnection structures with enhanced electromigration resistance, and while not significantly increasing the resistivity of the metal.
BACKGROUND OF THE INVENTION
A metal interconnect system in wide use in the later 1990's included an Al+Cu alloy interconnect line clad on each side with a barrier metal, and combined with planarized tungsten plugs for vias. A via is the structure that provides the electrical connection from one vertical level of interconnects to the next. The system saw wide acceptance in the industry, especially for high performance logic applications, such as microprocessor chips. The system was perceived as satisfactory, except that a severe degradation in electromigration resistance was noted on test patterns with multiple levels of interconnects and tungsten plug vias, versus test patterns using one interconnect level and no vias.
As much as a 100 times reduction in median-time-to-failure (T50) values, or more, were noted. One technical paper covering this phenomenon in detail is by R. G. Filippi et al., entitled, “The Effect of Copper Concentration on the Electromigration of Layered Aluminum-Copper (Ti-AlCu-Ti) Metallurgy With Tungsten Diffusion Barriers.” The paper appears in the 1992 VMIC Conference Proceedings, on page 359. The researchers showed that the copper doping is swept away from the tungsten in the direction of current flow. The aluminum, then depleted of its copper, electromigrates rapidly and voids appear at or near the W/Al interface. Increasing the concentration of copper helps to a limited extent, but degrades the resistivity. Stripes with a close by “reservoir” of copper also showed improvement, but none of these measures completely solved the problem. In general, the phenomenon may be referred to as a flux divergence at a dissimilar material interface.
A similar phenomenon has been noted in a copper system with tungsten plugs. This was reported, for example, by Kazuhide Abe, et al., and coworkers in a paper entitled, “Cu Damascene Interconnects with Crystallographic Texture Control and Its Electromigration Performance,” and appears in the IEEE 1998 Reliability Physics Symposium Proceedings on page 342.
The widely-accepted dual Damascene copper systems does not use tungsten plugs between interconnect levels, but does employ a barrier metal. This barrier layer lies, in general, between the upper surface of a copper interconnect and the bottom of an overlying copper via. Thus, some flux divergence may occur at this interface at high current density. The location of the copper metal depletion depends on the direction of current flow. For example, if the current flows up into overlying metal, this is the area of voiding and damage.
SUMMARY OF INVENTION
In view of the foregoing background, it is therefore an object of the invention to provide a integrated circuit processing method which eliminates or significantly diminishes the flux divergence phenomenon such that little degradation of electromigration resistance occurs at the via structures relative to other regions in the interconnect system.
Another object of the invention is to provide a thin, hardened alloy skin on selected copper surfaces to reduce electromigration resistance and/or provide for passivation.
These and other objects, features and advantages in accordance with the present invention are provided by a method for making an integrated circuit device comprising forming at least one interconnect structure adjacent a substrate by forming at least one barrier layer, forming a doped copper seed layer on the at least one barrier layer, and forming a copper layer on the doped copper seed layer. The method may further comprise annealing the integrated circuit device after forming the copper layer to diffuse the dopant from the doped copper seed layer into grain boundaries of the copper layer. The doped copper seed layer may include at least one of calcium, cadmium, zinc, neodymium, tellurium, and ytterbium as a dopant to provide the enhanced electromigration resistance.
Forming the copper layer may comprise plating the copper layer. In addition, forming the copper layer may comprise forming the copper layer to include at least one of calcium, cadmium, zinc, neodymium, tellurium, and ytterbium as a dopant. In some embodiments, the dopant in the seed layer may be sufficient so that no additional dopant is needed in the copper layer.
The method may further comprise forming at least one dielectric layer adjacent the substrate, and forming at least one opening in the at least one dielectric layer for receiving the at least one interconnect structure therein. Forming the at least one barrier layer may include forming at least one barrier layer comprising metal. The barrier layer may comprise one of tantalum nitride and tantalum silicon nitride. Alternately, the barrier layer may include cobalt and phosphorous. The method may also include forming a displacement plated copper layer on which the at least one barrier layer is formed.
Another aspect of the invention relates to an integrated circuit device. More particularly, the device may include a substrate, at least one dielectric layer adjacent the substrate and having at least one opening therein, and at least one interconnect structure in the at least one opening. The interconnect structure may comprise at least one barrier layer adjacent the at least one opening, a doped copper seed layer on the at least one barrier layer, and a copper layer on the doped copper seed layer. The copper layer may comprise grain boundaries adjacent the doped copper seed layer containing dopant therein. These grain boundaries may be filled during an annealing step during processing. The doped copper seed layer may comprise at least one of calcium, cadmium, zinc, neodymium, tellurium, and ytterbium as a dopant. If desired, the copper layer may also comprise at least one of calcium, cadmium, zinc, neodymium, tellurium, and ytterbium as a dopant.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 is a schematic cross-sectional view of an integrated circuit device made in accordance with the present invention.
FIGS. 2 through 4 are schematic cross-sectional views of the integrated circuit device as shown in FIG. 1 during various processing steps.
FIG. 5 is a schematic cross-sectional view of an integrated circuit device in accordance with an alternate embodiment of the invention.
FIG. 6 is a schematic cross-sectional view of another embodiment of an integrated circuit device in accordance with the invention.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
The present invention will now be described more fully hereinafter with reference to the accompanying drawings, in which preferred embodiments of the invention are shown. This invention may, however, be embodied in many different forms and should not be construed as limited to the embodiments set forth herein. Rather, these embodiments are provided so that this disclosure will be thorough and complete, and will fully convey the scope of the invention to those skilled in the art. Like numbers refer to like elements throughout, and prime notation is used in an alternate embodiment to indicate similar elements.
Referring initially to FIGS. 1-4, the method for making an integrated circuit device 10 in accordance with the invention is first described. The device 10 includes a substrate 11 in which various doped regions (not shown) may be formed to define active devices, such as transistors, etc. as will be readily appreciated by those skilled in the art. One or more dielectric layers 12 may be formed over the substrate 11. The dielectric layer 12 may be patterned and etched and filled with metal, such as copper or an alloy thereof, to define the copper interconnect line 15. As will also be appreciated by those skilled in the art, at least one barrier metal layer 13, and a copper seed layer 14 may be formed prior to electrodeposition of the copper interconnect 15. Those of skill in the art will appreciate that various etch stop layers may also be provided, however, these layers are not shown for clarity.
As shown in FIG. 2, an opening 25 may be formed extending through the dielectric layer 16 using conventional techniques. As shown in FIG. 3, the device 10 is subjected to a plating bath 24 including a plating metal more noble than copper. This forms a thin plating layer 20 on the exposed surface portion of the copper interconnect 15. This may be followed by an anneal which drives in the more noble metal a short distance into the copper, such that the electromigration resistance of the copper near the barrier layer 21 is greatly improved. This also passivates the exposed copper interconnect 15 improving its resistance to oxidation and staining. This process is readily implemented in a cost effective manner.
As shown in FIG. 4, a barrier layer 21 and a copper seed layer 22 may be formed to line the opening 25 as will be appreciated by those skilled in the art. The barrier layer 21 may be tantalum nitride, tantalum silicon nitride, or other similar materials as will be appreciated by those skilled in the art. The resulting opening 25 may then be filled with copper, such as using copper electroplating techniques, for example, to form the structure shown in FIG. 1.
By selection of relatively inert metals, such as Pd or Pt, the invention also tends to passivate the temporarily exposed surface of the copper until the next step in the process is underway. This reduces oxidation and staining of the copper.
Alternatively, the copper interconnect 15 may be displacement plated following its delineation by CMP as is normally used in the Damascene approach as will be appreciated by those skilled in the art. In other words, in other embodiments, the entire upper surface could be displacement plated as described herein.
Several metals have higher reduction potentials than copper, that is, are more noble than copper. Selected reduction potentials are as follows: Ag+→Ag, 0.8 volts; Au+→Au, 1.7 volts; Pd++→Pd, 0.95 volts; Ir+++→Ir, 1.2 volts; Rh++→Rh, 0.6 volts; Hg++→Hg, 0.8 volts; Pt++→Pt, 1.2 volts, Copper itself exhibits a single electrode potential of Cu+→Cu, 0.52 volts. Any metal in a simple ion solution which has a reduction potential more positive than copper will spontaneously oxidize the copper and plate itself onto the copper as the metal. The displacement plating can be achieved using simple ion chemistries, such as sulfates or chlorides as will be appreciated by those skilled in the art. A monolayer or more will form depending on the porosity of the coating. A metal which is less noble than copper, such as cadmium, Cd+→Cd, −0.4 volts, will not undergo the displacement reaction with copper.
After the formation of the very thin metal coating or plating layer 20, an anneal is preferably performed sometime in the wafer processing flow so that the metal is driven into the copper a few atomic layers downward. For palladium, for example, considering the bulk diffusion constant of Pd through Cu, the diffusion length √ Dt for an anneal of one hour at 450° C. is about 100 Å. Thus a zone of roughly 100 Å of Cu+Pd alloy wold be characterized by a marked increase in electromigration resistance due primarily, in this case, to a reduced rate of material transport from lattice diffusion processes.
Palladium and other metals listed above may not have ideal metallurgical characteristics which lend themselves towards the reduction of material transport rates due to high current density effects. In this case, however, the dopants would exist in high concentration. This is a factor which would tend to offset negative factors and which might contribute to their efficacy.
The deposition method described is not an electroless plating process. Thus, the coating or plating layer 20 thickness is self-limiting, and does not tend to coat the adjacent dielectric material 16. For this reason, the concentration of the metal in the aqueous plating bath 24 and the plating time are not critical as will be readily appreciated by those skilled in the art.
The rise in resistivity in the narrow zone of copper near the surface would be large with the high concentration of dopant. But, since the thickness of the effected layer is so narrow, the added via resistance would be small.
Such a treatment will tend to form a more stable transition from the barrier metal to the undoped or lightly-doped copper of the seed layer 22, reducing material transport rates during high current density periods.
In order to similarly protect the copper metal 23 on the upper side of the barrier layer 21, the seed layer 22 upon which the copper is plated may be sputter deposited with dopants. For example, the seed layer 22 cold be 300-500 Å thick, sputter deposited, and contain 0.2 to 3 at.% Cd or Zn. The copper seed layer 22 could also include at least one of calcium, neodymium, tellurium, and ytterbium.
Alternatively, as explained with reference to FIG. 5, the seed layer 22′ could be undoped copper or one of the alloys mentioned above which is then displacement plated to form the plating layer 20′ on the seed layer along the lines as described above. The main copper alloy layer 23′ may then be plated on the displacement plating layer 20′ as shown in the illustrated embodiment.
As scaling down into submicron dimensions continues in the semiconductor industry, the deposition of a uniform and conformal barrier metal into contact and via openings becomes increasingly difficult. This holds true for coating methods, such as sputtering and to a somewhat lesser extent for CVD methods. But plating methods, such as electroless plating, offer improved conformality. Various metal barrier films deposited by electroless methods have been studied. For example, some of these results appear in E. J. O'Sullivan et al., “Electrolessly deposited diffusion barriers for microelectronics,” IBM J. Res. Dev. Vol. 42, No. 5, September 1998, p. 607; and Milan Paunovic et al., “Electrochemically Deposited Diffusion Barriers,” J. Electrochem. Soc., 141, No. 7, July 1994, p. 1843. This work showed that out of several candidates, a barrier of Co+P gave the best results. Electroless “Co(P)” did not interdiffuse with copper even with extended heating at 400° C. This alloy may be plated to dielectric surfaces by activation with PdCl2, as will be readily appreciated by those skilled in the art.
Referring now additionally to FIG. 6 it is described how such an electroless coating may be combined with displacement plating to give an improved electromigration resistant via in an integrated circuit device 30 which also enjoys a cost effective and more uniform copper barrier metal. In this embodiment, a first copper interconnect line 35 is formed on a seed layer 34, which is formed on a barrier layer 33. The barrier layer 33 is illustratively on a dielectric layer 32 adjacent the substrate 31. A platinum displacement plated layer 36 is illustratively formed on the upper surface of the underlying copper interconnect 35. This helps spread the current emerging downward from the via and also helps to reduce surface (or interface) diffusion rates for the copper interconnect.
First and second nitride layers 37 and 41 are shown adjacent the top and bottom, respectively, of a second dielectric layer 42 which act as etch stops as will be appreciated by those skilled in the art. A third dielectric layer 43 is provided on the upper etch stop layer 41 in the illustrated embodiment. A second barrier layer 44 is also provided to line or coat the opening for the second interconnect line 45 as will also be appreciated by those of skill in the art of Damascene copper technology. The upper surface portion of the copper interconnect layer 45 will be polished flush in a subsequent step as will be understood by those skilled in the art.
In accordance with another important aspect of the invention, a doped copper seed layer 46 is provided on which the copper interconnect layer 45 is formed. This doped copper seed layer may be deposited by sputtering. Alternately, an all electrochemically formed copper-based interconnect may be formed by first forming the electrolessly deposited barrier layer 44 as shown, and then electroplating the doped seed layer 46 on the activated barrier layer. The activation may be accomplished by displacement or electrolessly plating a very thin layer of a more noble metal, such as Pd, Pt, Ag or Au, for example, as discussed above.
Following the doped seed layer 46 deposition, the thick copper or copper alloy film 45 may be built up by electroplating, for example. The doped copper or copper alloy seed layer 46 may have a higher dopant concentration than the bulk interconnect layer 45 increasing the process latitude. The doped copper seed layer 46 may include a dopant comprising at least one of calcium, cadmium, zinc, neodymium, tellurium, and ytterbium. Such a system provides an electromigration resistant via in both current directions as will be appreciated by those skilled in the art. Of course, in some embodiments, the bulk copper interconnect layer 45 may also include the same or other such dopants to enhance electromigration resistance as described herein.
Other related concepts and discussions are provided in the following U.S. patent applications: Ser. No. 09/045,610, filed Mar. 20, 1998; Ser. No. 09/148,096 filed on Sep. 4, 1998; Ser. No. 09/271,179 filed on Mar. 17, 1999; Ser. No. 09/289,331 filed on Apr. 9, 1999; Ser. No. 09/619,587 filed on Jul. 19, 2000; Ser. No. 60/150,156 filed on Aug. 20, 1999; Ser. No. 60/153,400 filed on Sep. 10, 1999; and Ser. No. 60/159,068 filed on Oct. 12, 1999. The entire disclosure of each of these applications is incorporated herein by reference.
Many modifications and other embodiments of the invention will come to the mind of one skilled in the art having the benefit of the teachings presented in the foregoing descriptions and the associated drawings. Therefore, it is to be understood that the invention is not to be limited to the specific embodiments disclosed, and that other modifications and embodiments are intended to be included within the scope of the appended claims.

Claims (75)

1. A method for making an integrated circuit device, comprising:
forming at least one interconnect structure adjacent a substrate by , wherein said forming at least one interconnect structure comprises:
forming at least one barrier layer, ;
forming a doped copper seed layer on the at least one barrier layer, wherein the doped copper seed layer including includes a dopant comprising at least one of calcium, cadmium, neodymium, or tellurium, and ytterbium, ; and
forming a copper layer on the doped copper seed layer.
2. A method according to claim 1 further comprising annealing the integrated circuit device after forming the copper layer to diffuse the dopant from the doped copper seed layer into grain boundaries of the copper layer.
3. A method according to claim 1 wherein forming the copper layer comprises plating the copper layer.
4. A method according to claim 1 wherein forming the copper layer comprises forming the copper layer to include a dopant comprising at least one of calcium, cadmium, zinc, neodymium, tellurium, and or ytterbium.
5. A method according to claim 1, further comprising:
forming at least one dielectric layer adjacent the a substrate; and
forming at least one opening in the at least one dielectric layer for receiving the at least one interconnect structure therein.
6. A method according to claim 1 wherein forming the at least one barrier layer comprises forming at least one barrier layer comprising metal.
7. A method according to claim 1 wherein forming the at least one barrier layer comprises forming at least one barrier layer comprising at least one of tantalum nitride and or tantalum silicon nitride.
8. A method according to claim 1 wherein forming the at least one barrier layer comprises forming at least one barrier layer comprising cobalt and phosphorous.
9. A method according to claim 1 further comprising forming a displacement plated copper layer on which the at least one barrier layer is formed.
10. A method for making an integrated circuit device, comprising:
forming at least one interconnect structure adjacent a substrate by , wherein said forming at least one interconnect structure comprises:
forming at least one metal barrier layer, ;
forming a doped copper seed layer on the at least one metal barrier layer, wherein the doped copper seed layer including includes a dopant comprising at least one of calcium, cadmium, neodymium, or tellurium, and ytterbium, ; and
forming a copper layer on the doped copper seed layer.
11. A method according to claim 10 further comprising annealing the integrated circuit device after forming the copper layer to diffuse the dopant from the doped copper seed layer into grain boundaries of the copper layer.
12. A method according to claim 10 wherein forming the copper layer comprises plating the copper layer.
13. A method according to claim 10 wherein forming the copper layer comprises forming the copper layer to include a dopant comprising at least one of calcium, cadmium, zinc, neodymium, tellurium, and or ytterbium.
14. A method according to claim 10, further comprising:
forming at least one dielectric layer adjacent the a substrate; and
forming at least one opening in the at least one dielectric layer for receiving the at least one interconnect structure therein.
15. A method according to claim 10 wherein forming the at least one metal barrier layer comprises forming at least one metal barrier layer comprising at least one of tantalum nitride and or tantalum silicon nitride.
16. A method according to claim 10 wherein forming the at least one metal barrier layer comprises forming at least one metal barrier layer comprising cobalt and phosphorous.
17. A method according to claim 10 further comprising forming a displacement plated copper layer on which the at least one metal barrier layer is formed.
18. A method for making an integrated circuit device, comprising:
forming at least one interconnect structure adjacent a substrate by , wherein said forming at least one interconnect structure comprises:
forming at least one barrier layer, ;
forming a doped copper seed layer on the at least one barrier layer, wherein the doped copper seed layer including includes a dopant comprising at least one of calcium, cadmium, neodymium, tellurium, and or ytterbium, ;
plating a copper layer on the doped copper seed layer, wherein the doped copper seed layer has a higher dopant concentration than the copper layer; and
annealing the integrated circuit device after forming the copper layer to diffuse the dopant from the doped copper seed layer into the copper layer.
19. A method according to claim 18, further comprising doping the copper layer with at least one of calcium, cadmium, zinc, neodymium tellurium, and or ytterbium prior to annealing.
20. A method according to claim 18, further comprising:
forming at least one dielectric layer adjacent the a substrate; and
forming at least one opening in the at least one dielectric layer for receiving the at least one interconnect structure therein.
21. A method according to claim 18 wherein forming the at least one barrier layer comprises forming at least one barrier layer comprising metal.
22. A method according to claim 18 wherein forming the at least one barrier layer comprises forming at least one barrier layer comprising at least one of tantalum nitride and or tantalum silicon nitride.
23. A method according to claim 18 wherein forming the at least one barrier layer comprises forming at least one barrier layer comprising cobalt and phosphorous.
24. A method according to claim 18 further comprising forming a displacement plated copper layer on which the at least one barrier layer is formed.
25. A method for making an integrated circuit device, comprising:
forming at least one interconnect structure, wherein said forming at least one interconnect structure comprises:
forming a plating layer on a first copper-containing layer, wherein the plating layer includes a metal more noble than copper;
forming at least one barrier layer on the plating layer;
forming a doped copper seed layer including at least one dopant comprising calcium, cadmium, zinc, neodymium, tellurium, or ytterbium; and
forming a second copper-containing layer on the doped copper seed layer.
26. The method of claim 25, further comprising annealing the integrated circuit device after forming the second copper-containing layer to diffuse the dopant from the doped copper seed layer at least into grain boundaries of the copper-containing layer.
27. The method of claim 25 wherein said forming a second copper-containing layer comprises plating the second copper-containing layer.
28. The method of claim 25 wherein said forming a second copper-containing layer comprises forming the second copper-containing layer to include at least one dopant comprising calcium, cadmium, zinc, neodymium, tellurium, or ytterbium.
29. The method of claim 25 wherein said forming a second copper-containing layer comprises forming the second copper-containing layer without any calcium, cadmium, zinc, neodymium, tellurium, or ytterbium dopant therein.
30. The method of claim 25, further comprising:
forming at least one dielectric layer; and
forming at least one opening in the at least one dielectric layer for receiving at least part of the at least one interconnect structure therein.
31. The method of claim 25 wherein said forming at least one barrier layer comprises forming the at least one barrier layer comprising metal.
32. The method of claim 25 wherein said forming at least one barrier layer comprises forming the at least one barrier layer comprising at least one of tantalum nitride or tantalum silicon nitride.
33. The method of claim 25 wherein said forming at least one barrier layer comprises forming the at least one barrier layer comprising at least cobalt and phosphorous.
34. The method of claim 25, further comprising annealing the plating layer to drive the more noble metal into the first copper-containing layer.
35. The method of claim 25 wherein said forming at least one interconnect structure comprises forming the at least one interconnect structure adjacent to a substrate.
36. The method of claim 25 wherein the doped copper seed layer is formed on the at least one barrier layer.
37. The method of claim 25 wherein said forming a doped copper seed layer comprises forming the doped copper seed layer to include a dopant comprising calcium and at least one of cadmium, neodymium, tellurium, or ytterbium.
38. The method of claim 25 wherein said forming a doped copper seed layer comprises forming the doped copper seed layer to include a dopant comprising cadmium and at least one of calcium, neodymium, tellurium, or ytterbium.
39. The method of claim 25 wherein said forming a doped copper seed layer comprises forming the doped copper seed layer to include a dopant comprising neodymium and at least one of calcium, cadmium, tellurium, or ytterbium.
40. The method of claim 25 wherein said forming a doped copper seed layer comprises forming the doped copper seed layer to include a dopant comprising tellurium and at least one of calcium, cadmium, neodymium, or ytterbium.
41. The method of claim 25 wherein said forming a doped copper seed layer comprises forming the doped copper seed layer to include a dopant comprising ytterbium and at least one of calcium, cadmium, neodymium, or tellurium.
42. The method of claim 25 wherein said forming at least one barrier layer comprises forming the at least one barrier layer to include tantalum.
43. A method for making an integrated circuit device, comprising:
forming at least one interconnect structure, wherein said forming at least one interconnect structure comprises:
forming at least one barrier layer;
forming a doped copper seed layer including at least one dopant comprising calcium, cadmium, zinc, neodymium, tellurium, or ytterbium; and
forming a copper-containing layer over the doped copper seed layer, wherein at least a portion of the copper-containing layer is plated with a plating layer including a metal more noble than copper.
44. The method of claim 43, further comprising annealing the integrated circuit device after forming the copper-containing layer to diffuse the dopant from the doped copper seed layer at least into grain boundaries of the copper-containing layer.
45. The method of claim 43, further comprising annealing the plating layer to drive the more noble metal into the copper-containing layer.
46. The method of claim 43 wherein said forming a copper-containing layer comprises forming the copper-containing layer to include at least one dopant comprising calcium, cadmium, zinc, neodymium, tellurium, or ytterbium.
47. The method of claim 43, wherein said forming a copper-containing layer comprises forming the copper-containing layer without any calcium, cadmium, zinc, neodymium, tellurium, or ytterbium dopant therein.
48. The method of claim 43, further comprising:
forming at least one dielectric layer; and
forming at least one opening in the at least one dielectric layer for receiving the at least one interconnect structure therein.
49. The method of claim 43, wherein said forming at least one barrier layer comprises forming the at least one barrier layer comprising at least one of tantalum nitride or tantalum silicon nitride.
50. The method of claim 43, wherein forming at least one barrier layer comprises forming the at least one barrier layer comprising at least cobalt and phosphorous.
51. The method of claim 43, further comprising forming a displacement plated copper-containing layer on which the at least one barrier layer is formed.
52. The method of claim 43, wherein said forming at least one interconnect structure comprises forming the at least one interconnect structure adjacent to a substrate.
53. The method of claim 43, wherein the doped copper seed layer is formed on the at least one barrier layer.
54. A method for making an integrated circuit device, comprising:
forming at least one interconnect structure, wherein said forming at least one interconnect structure comprises:
forming at least one barrier layer;
forming a doped copper seed layer including at least one dopant configured to provide enhanced electromigration resistance;
forming a copper-containing layer on the doped copper seed layer, wherein the doped copper seed layer has a higher dopant concentration than the copper-containing layer; and
annealing the integrated circuit device after forming the copper-containing layer to diffuse the dopant from the doped copper seed layer at least into the copper-containing layer.
55. The method of claim 54, further comprising doping the copper-containing layer with at least one of calcium, cadmium, zinc, neodymium tellurium, or ytterbium prior to annealing.
56. The method of claim 54, wherein said forming a copper-containing layer comprises forming the copper-containing layer without any calcium, cadmium, zinc, neodymium, tellurium, or ytterbium dopant therein.
57. The method of claim 54, further comprising:
forming at least one dielectric layer; and
forming at least one opening in the at least one dielectric layer for receiving the at least one interconnect structure therein.
58. The method of claim 54, wherein said forming at least one barrier layer comprises forming the at least one barrier layer comprising metal.
59. The method of claim 54, wherein said forming at least one barrier layer comprises forming the at least one barrier layer comprising at least one of tantalum nitride or tantalum silicon nitride.
60. The method of claim 54, wherein said forming at least one barrier layer comprises forming the at least one barrier layer comprising at least cobalt and phosphorous.
61. The method of claim 54, further comprising forming a displacement plated copper-containing layer on which the at least one barrier layer is formed.
62. The method of claim 54, wherein said forming at least one interconnect structure comprises forming the at least one interconnect structure adjacent to a substrate.
63. The method of claim 54, wherein the doped copper seed layer is formed on the at least one barrier layer.
64. A method for making an integrated circuit device, comprising:
forming at least one interconnect structure, wherein said forming at least one interconnect structure comprises:
forming at least one barrier layer;
forming a doped copper seed layer including at least one dopant configured to provide enhanced electromigration resistance; and
forming a copper-containing layer over the doped copper seed layer, wherein at least a portion of the copper-containing layer is plated with a plating layer including a metal more noble than copper.
65. The method of claim 64, further comprising annealing the integrated circuit device after forming the copper-containing layer to diffuse the dopant from the doped copper seed layer at least into grain boundaries of the copper-containing layer.
66. The method of claim 64, wherein the dopant comprises at least one of calcium, cadmium, neodymium, tellurium, or ytterbium.
67. The method of claim 64, further comprising annealing the plating layer to drive the more noble metal into the copper-containing layer.
68. The method of claim 64, wherein said forming a copper-containing layer comprises forming the copper-containing layer to include a dopant comprising at least one of calcium, cadmium, zinc, neodymium, tellurium, or ytterbium.
69. The method of claim 64, further comprising:
forming at least one dielectric layer; and
forming at least one opening in the at least one dielectric layer for receiving the at least one interconnect structure therein.
70. The method of claim 64, wherein said forming at least one barrier layer comprises forming the at least one barrier layer comprising metal.
71. The method of claim 64, wherein said forming at least one barrier layer comprises forming the at least one barrier layer comprising at least one of tantalum nitride or tantalum silicon nitride.
72. The method of claim 64, wherein said forming at least one barrier layer comprises forming the at least one barrier layer comprising at least cobalt and phosphorous.
73. The method of claim 64, further comprising forming a displacement plated copper layer on which the at least one barrier layer is formed.
74. The method of claim 64, wherein said forming at least one interconnect structure comprises forming the at least one interconnect structure adjacent to a substrate.
75. The method of claim 64, wherein the doped copper seed layer is formed on the at least one barrier layer.
US11/113,454 1999-07-22 2005-04-22 Method for making integrated circuit including interconnects with enhanced electromigration resistance using doped seed layer and integrated circuits produced thereby Expired - Lifetime USRE41538E1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/113,454 USRE41538E1 (en) 1999-07-22 2005-04-22 Method for making integrated circuit including interconnects with enhanced electromigration resistance using doped seed layer and integrated circuits produced thereby

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US14503699P 1999-07-22 1999-07-22
US15015699P 1999-08-20 1999-08-20
US09/619,587 US6521532B1 (en) 1999-07-22 2000-07-19 Method for making integrated circuit including interconnects with enhanced electromigration resistance
US09/642,140 US6551872B1 (en) 1999-07-22 2000-08-18 Method for making integrated circuit including interconnects with enhanced electromigration resistance using doped seed layer and integrated circuits produced thereby
US11/113,454 USRE41538E1 (en) 1999-07-22 2005-04-22 Method for making integrated circuit including interconnects with enhanced electromigration resistance using doped seed layer and integrated circuits produced thereby

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/642,140 Reissue US6551872B1 (en) 1999-07-22 2000-08-18 Method for making integrated circuit including interconnects with enhanced electromigration resistance using doped seed layer and integrated circuits produced thereby

Publications (1)

Publication Number Publication Date
USRE41538E1 true USRE41538E1 (en) 2010-08-17

Family

ID=27386204

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/642,140 Ceased US6551872B1 (en) 1999-07-22 2000-08-18 Method for making integrated circuit including interconnects with enhanced electromigration resistance using doped seed layer and integrated circuits produced thereby
US11/113,454 Expired - Lifetime USRE41538E1 (en) 1999-07-22 2005-04-22 Method for making integrated circuit including interconnects with enhanced electromigration resistance using doped seed layer and integrated circuits produced thereby

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/642,140 Ceased US6551872B1 (en) 1999-07-22 2000-08-18 Method for making integrated circuit including interconnects with enhanced electromigration resistance using doped seed layer and integrated circuits produced thereby

Country Status (1)

Country Link
US (2) US6551872B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140352135A1 (en) * 2013-05-30 2014-12-04 Dyi-chung Hu Circuit board structure with embedded fine-pitch wires and fabrication method thereof

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6509262B1 (en) * 2000-11-30 2003-01-21 Advanced Micro Devices, Inc. Method of reducing electromigration in copper lines by calcium-doping copper surfaces in a chemical solution
JP2003045877A (en) * 2001-08-01 2003-02-14 Sharp Corp Semiconductor device and its manufacturing method
US6515368B1 (en) * 2001-12-07 2003-02-04 Advanced Micro Devices, Inc. Semiconductor device with copper-filled via includes a copper-zinc/alloy film for reduced electromigration of copper
US6693356B2 (en) * 2002-03-27 2004-02-17 Texas Instruments Incorporated Copper transition layer for improving copper interconnection reliability
US7279423B2 (en) * 2002-10-31 2007-10-09 Intel Corporation Forming a copper diffusion barrier
US7026244B2 (en) * 2003-08-08 2006-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Low resistance and reliable copper interconnects by variable doping
US7229922B2 (en) * 2003-10-27 2007-06-12 Intel Corporation Method for making a semiconductor device having increased conductive material reliability
US20050110142A1 (en) * 2003-11-26 2005-05-26 Lane Michael W. Diffusion barriers formed by low temperature deposition
KR100688055B1 (en) * 2004-05-10 2007-02-28 주식회사 하이닉스반도체 Method for manufacturing metal-interconnect using barrier metal formed low temperature
US8308053B2 (en) * 2005-08-31 2012-11-13 Micron Technology, Inc. Microfeature workpieces having alloyed conductive structures, and associated methods
US7473634B2 (en) * 2006-09-28 2009-01-06 Tokyo Electron Limited Method for integrated substrate processing in copper metallization
FR2913283A1 (en) * 2007-03-02 2008-09-05 St Microelectronics Crolles 2 Planar or U-shaped capacitive coupling device for dynamic RAM, has silicon regions forming roughness with respect to adjacent regions of same level in films, and electrodes and insulators forming conformal layer above silicon regions
US7843063B2 (en) * 2008-02-14 2010-11-30 International Business Machines Corporation Microstructure modification in copper interconnect structure
US8288276B2 (en) * 2008-12-30 2012-10-16 International Business Machines Corporation Method of forming an interconnect structure including a metallic interfacial layer located at a bottom via portion
CN102804341A (en) * 2009-06-12 2012-11-28 株式会社爱发科 Method for producing electronic device, electronic device, semiconductor device, and transistor
DE102010063299A1 (en) * 2010-12-16 2012-06-21 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Performance increase in metallization systems with microstructure devices by incorporation of a barrier interlayer
US8981564B2 (en) * 2013-05-20 2015-03-17 Invensas Corporation Metal PVD-free conducting structures
KR20180064751A (en) * 2016-12-06 2018-06-15 삼성전자주식회사 Semiconductor device and method for fabricating the same

Citations (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4233067A (en) 1978-01-19 1980-11-11 Sumitomo Electric Industries, Ltd. Soft copper alloy conductors
JPS60110868A (en) 1983-11-18 1985-06-17 Mitsubishi Metal Corp Surface hardened au alloy member
US4592891A (en) 1984-06-14 1986-06-03 Nippon Mining Co., Ltd. Corrosion-resistant copper alloy
JPS62127438A (en) 1985-11-26 1987-06-09 Nippon Mining Co Ltd Bonding wire for semiconductor device
JPS62133050A (en) 1985-12-03 1987-06-16 Nippon Mining Co Ltd Manufacture of high strength and high conductivity copper-base alloy
US4732731A (en) 1985-08-29 1988-03-22 The Furukawa Electric Co., Ltd. Copper alloy for electronic instruments and method of manufacturing the same
US4750029A (en) 1984-08-31 1988-06-07 Mitsubishi Shindoh Co., Ltd. Copper base lead material for leads of semiconductor devices
JPS6428337A (en) 1987-07-24 1989-01-30 Furukawa Electric Co Ltd High-strength and high-conductivity copper alloy
JPS6456842A (en) 1987-08-27 1989-03-03 Nippon Mining Co Copper alloy foil for flexible circuit board
US4908275A (en) 1987-03-04 1990-03-13 Nippon Mining Co., Ltd. Film carrier and method of manufacturing same
JPH02230756A (en) 1989-03-03 1990-09-13 Seiko Epson Corp Copper electrode wiring material
US4986856A (en) 1987-06-25 1991-01-22 The Furukawa Electric Co., Ltd. Fine copper wire for electronic instruments and method of manufacturing the same
US5130274A (en) 1991-04-05 1992-07-14 International Business Machines Corporation Copper alloy metallurgies for VLSI interconnection structures
US5143867A (en) 1991-02-13 1992-09-01 International Business Machines Corporation Method for depositing interconnection metallurgy using low temperature alloy processes
US5592024A (en) 1993-10-29 1997-01-07 Kabushiki Kaisha Toshiba Semiconductor device having a wiring layer with a barrier layer
US5624506A (en) 1993-09-30 1997-04-29 Kabushiki Kaisha Kobe Seiko Sho Copper alloy for use in electrical and electronic parts
JPH09157775A (en) 1995-09-27 1997-06-17 Nikko Kinzoku Kk Copper alloy for electronic equipment
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5694184A (en) 1995-08-01 1997-12-02 Kabushiki Kaisha Toshiba Liquid crystal display device
US5695810A (en) * 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
JPH108167A (en) 1996-06-18 1998-01-13 Mitsubishi Shindoh Co Ltd Copper alloy excellent in hot workability
US5719447A (en) 1993-06-03 1998-02-17 Intel Corporation Metal alloy interconnections for integrated circuits
US5789320A (en) 1996-04-23 1998-08-04 International Business Machines Corporation Plating of noble metal electrodes for DRAM and FRAM
US5830563A (en) 1995-11-29 1998-11-03 Nec Corporation Interconnection structures and method of making same
US6037257A (en) 1997-05-08 2000-03-14 Applied Materials, Inc. Sputter deposition and annealing of copper alloy metallization
US6037664A (en) 1997-08-20 2000-03-14 Sematech Inc Dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US6060892A (en) 1996-12-27 2000-05-09 Tokyo Electron Limited Probe card attaching mechanism
US6066892A (en) 1997-05-08 2000-05-23 Applied Materials, Inc. Copper alloy seed layer for copper metallization in an integrated circuit
US6077780A (en) 1997-12-03 2000-06-20 Advanced Micro Devices, Inc. Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure
US6100195A (en) 1998-12-28 2000-08-08 Chartered Semiconductor Manu. Ltd. Passivation of copper interconnect surfaces with a passivating metal layer
US6100194A (en) 1998-06-22 2000-08-08 Stmicroelectronics, Inc. Silver metallization by damascene method
US6136707A (en) 1999-10-02 2000-10-24 Cohen; Uri Seed layers for interconnects and methods for fabricating such seed layers
US6140234A (en) 1998-01-20 2000-10-31 International Business Machines Corporation Method to selectively fill recesses with conductive metal
US6143422A (en) 1996-06-06 2000-11-07 Sumitomo Metal Industries, Ltd. Surface-treated steel sheet having improved corrosion resistance after forming
US6147402A (en) 1992-02-26 2000-11-14 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US6157081A (en) 1999-03-10 2000-12-05 Advanced Micro Devices, Inc. High-reliability damascene interconnect formation for semiconductor fabrication
US6174799B1 (en) 1999-01-05 2001-01-16 Advanced Micro Devices, Inc. Graded compound seed layers for semiconductors
US6180523B1 (en) 1998-10-13 2001-01-30 Industrial Technology Research Institute Copper metallization of USLI by electroless process
US6181012B1 (en) * 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
US6197688B1 (en) 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US6214728B1 (en) 1998-11-20 2001-04-10 Chartered Semiconductor Manufacturing, Ltd. Method to encapsulate copper plug for interconnect metallization
US6249055B1 (en) 1998-02-03 2001-06-19 Advanced Micro Devices, Inc. Self-encapsulated copper metallization
US6268291B1 (en) * 1995-12-29 2001-07-31 International Business Machines Corporation Method for forming electromigration-resistant structures by doping
US6303498B1 (en) 1999-08-20 2001-10-16 Taiwan Semiconductor Manufacturing Company Method for preventing seed layer oxidation for high aspect gap fill

Patent Citations (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4233067A (en) 1978-01-19 1980-11-11 Sumitomo Electric Industries, Ltd. Soft copper alloy conductors
JPS60110868A (en) 1983-11-18 1985-06-17 Mitsubishi Metal Corp Surface hardened au alloy member
US4592891A (en) 1984-06-14 1986-06-03 Nippon Mining Co., Ltd. Corrosion-resistant copper alloy
US4750029A (en) 1984-08-31 1988-06-07 Mitsubishi Shindoh Co., Ltd. Copper base lead material for leads of semiconductor devices
US4732731A (en) 1985-08-29 1988-03-22 The Furukawa Electric Co., Ltd. Copper alloy for electronic instruments and method of manufacturing the same
JPS62127438A (en) 1985-11-26 1987-06-09 Nippon Mining Co Ltd Bonding wire for semiconductor device
JPS62133050A (en) 1985-12-03 1987-06-16 Nippon Mining Co Ltd Manufacture of high strength and high conductivity copper-base alloy
US4908275A (en) 1987-03-04 1990-03-13 Nippon Mining Co., Ltd. Film carrier and method of manufacturing same
US4986856A (en) 1987-06-25 1991-01-22 The Furukawa Electric Co., Ltd. Fine copper wire for electronic instruments and method of manufacturing the same
JPS6428337A (en) 1987-07-24 1989-01-30 Furukawa Electric Co Ltd High-strength and high-conductivity copper alloy
JPS6456842A (en) 1987-08-27 1989-03-03 Nippon Mining Co Copper alloy foil for flexible circuit board
JPH02230756A (en) 1989-03-03 1990-09-13 Seiko Epson Corp Copper electrode wiring material
US5143867A (en) 1991-02-13 1992-09-01 International Business Machines Corporation Method for depositing interconnection metallurgy using low temperature alloy processes
US5130274A (en) 1991-04-05 1992-07-14 International Business Machines Corporation Copper alloy metallurgies for VLSI interconnection structures
US6147402A (en) 1992-02-26 2000-11-14 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5719447A (en) 1993-06-03 1998-02-17 Intel Corporation Metal alloy interconnections for integrated circuits
US5624506A (en) 1993-09-30 1997-04-29 Kabushiki Kaisha Kobe Seiko Sho Copper alloy for use in electrical and electronic parts
US5592024A (en) 1993-10-29 1997-01-07 Kabushiki Kaisha Toshiba Semiconductor device having a wiring layer with a barrier layer
US5694184A (en) 1995-08-01 1997-12-02 Kabushiki Kaisha Toshiba Liquid crystal display device
JPH09157775A (en) 1995-09-27 1997-06-17 Nikko Kinzoku Kk Copper alloy for electronic equipment
US5830563A (en) 1995-11-29 1998-11-03 Nec Corporation Interconnection structures and method of making same
US6268291B1 (en) * 1995-12-29 2001-07-31 International Business Machines Corporation Method for forming electromigration-resistant structures by doping
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5789320A (en) 1996-04-23 1998-08-04 International Business Machines Corporation Plating of noble metal electrodes for DRAM and FRAM
US6143422A (en) 1996-06-06 2000-11-07 Sumitomo Metal Industries, Ltd. Surface-treated steel sheet having improved corrosion resistance after forming
JPH108167A (en) 1996-06-18 1998-01-13 Mitsubishi Shindoh Co Ltd Copper alloy excellent in hot workability
US5695810A (en) * 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
US6060892A (en) 1996-12-27 2000-05-09 Tokyo Electron Limited Probe card attaching mechanism
US6066892A (en) 1997-05-08 2000-05-23 Applied Materials, Inc. Copper alloy seed layer for copper metallization in an integrated circuit
US6037257A (en) 1997-05-08 2000-03-14 Applied Materials, Inc. Sputter deposition and annealing of copper alloy metallization
US6037664A (en) 1997-08-20 2000-03-14 Sematech Inc Dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US6077780A (en) 1997-12-03 2000-06-20 Advanced Micro Devices, Inc. Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure
US6140234A (en) 1998-01-20 2000-10-31 International Business Machines Corporation Method to selectively fill recesses with conductive metal
US6249055B1 (en) 1998-02-03 2001-06-19 Advanced Micro Devices, Inc. Self-encapsulated copper metallization
US6197688B1 (en) 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US6181012B1 (en) * 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
US6100194A (en) 1998-06-22 2000-08-08 Stmicroelectronics, Inc. Silver metallization by damascene method
US6180523B1 (en) 1998-10-13 2001-01-30 Industrial Technology Research Institute Copper metallization of USLI by electroless process
US6214728B1 (en) 1998-11-20 2001-04-10 Chartered Semiconductor Manufacturing, Ltd. Method to encapsulate copper plug for interconnect metallization
US6100195A (en) 1998-12-28 2000-08-08 Chartered Semiconductor Manu. Ltd. Passivation of copper interconnect surfaces with a passivating metal layer
US6174799B1 (en) 1999-01-05 2001-01-16 Advanced Micro Devices, Inc. Graded compound seed layers for semiconductors
US6157081A (en) 1999-03-10 2000-12-05 Advanced Micro Devices, Inc. High-reliability damascene interconnect formation for semiconductor fabrication
US6303498B1 (en) 1999-08-20 2001-10-16 Taiwan Semiconductor Manufacturing Company Method for preventing seed layer oxidation for high aspect gap fill
US6136707A (en) 1999-10-02 2000-10-24 Cohen; Uri Seed layers for interconnects and methods for fabricating such seed layers

Non-Patent Citations (4)

* Cited by examiner, † Cited by third party
Title
Abe et al., "Cu Damascene Interconnects with Crystallographic Texture Control and its Electromigration Performance", Jun. 1998, 36.sup.th Annual International Reliability Physics Symposium, pp. 342-347.
Filippi, et al. "The Effect of Copper Concentration on the Electromigration Lifetime of Layered Aluminum-Copper (Ti-AlCu-Ti) Metallurgy with Tungsten Diffusion Barriers", Jun. 1992, VMIC Conference, pp. 359-365.
O'Sullivan et al. "Electrolessly Deposited Diffusion Barriers for Microelectronics"; Sep. 1998, IBM J. Res. Develop vol. 42, No. 5, pp. 607-619.
Paunovic et al. "Electrochemically Deposited Diffusion Barriers"; Jul. 1994; J. Electrochem Soc., vol. 141, No. 7, pp. 1843-1850, The Electrochemical Society, Inc.

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140352135A1 (en) * 2013-05-30 2014-12-04 Dyi-chung Hu Circuit board structure with embedded fine-pitch wires and fabrication method thereof
US9295163B2 (en) * 2013-05-30 2016-03-22 Dyi-chung Hu Method of making a circuit board structure with embedded fine-pitch wires
US9788427B2 (en) 2013-05-30 2017-10-10 Dyi-chung Hu Circuit board structure with embedded fine-pitch wires and fabrication method thereof

Also Published As

Publication number Publication date
US6551872B1 (en) 2003-04-22

Similar Documents

Publication Publication Date Title
USRE41538E1 (en) Method for making integrated circuit including interconnects with enhanced electromigration resistance using doped seed layer and integrated circuits produced thereby
US6521532B1 (en) Method for making integrated circuit including interconnects with enhanced electromigration resistance
EP1346408B1 (en) Method of electroless introduction of interconnect structures
US7585766B2 (en) Methods of manufacturing copper interconnect systems
US6346479B1 (en) Method of manufacturing a semiconductor device having copper interconnects
US6197688B1 (en) Interconnect structure in a semiconductor device and method of formation
US6242349B1 (en) Method of forming copper/copper alloy interconnection with reduced electromigration
US6821879B2 (en) Copper interconnect by immersion/electroless plating in dual damascene process
US5969422A (en) Plated copper interconnect structure
US6933230B2 (en) Method for making interconnects and diffusion barriers in integrated circuits
US6420258B1 (en) Selective growth of copper for advanced metallization
US6441492B1 (en) Diffusion barriers for copper interconnect systems
JP3217319B2 (en) Method for manufacturing semiconductor device
US20120161320A1 (en) Cobalt metal barrier layers
US6630741B1 (en) Method of reducing electromigration by ordering zinc-doping in an electroplated copper-zinc interconnect and a semiconductor device thereby formed
US6479389B1 (en) Method of doping copper metallization
US20060091551A1 (en) Differentially metal doped copper damascenes
JP2002033323A (en) Method of manufacturing semiconductor device having copper interconnecting portion
US6146988A (en) Method of making a semiconductor device comprising copper interconnects with reduced in-line copper diffusion
US6329701B1 (en) Semiconductor device comprising copper interconnects with reduced in-line diffusion
US20060001170A1 (en) Conductive compound cap layer
US6335283B1 (en) Method of reducing in-line copper diffusion
US6472755B1 (en) Semiconductor device comprising copper interconnects with reduced in-line copper diffusion
US6261952B1 (en) Method of forming copper interconnects with reduced in-line diffusion
TW200301524A (en) Method for improving electromigration performance of metallization features through multiple depositions of binary alloys

Legal Events

Date Code Title Description
AS Assignment

Owner name: BECK SEMICONDUCTOR LLC, NEVADA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:CUNNINGHAM, JAMES A.;REEL/FRAME:023617/0350

Effective date: 20050304

FPAY Fee payment

Year of fee payment: 8

AS Assignment

Owner name: INTELLECTUAL VENTURES I LLC, DELAWARE

Free format text: MERGER;ASSIGNOR:BECK SEMICONDUCTOR LLC;REEL/FRAME:025466/0973

Effective date: 20101207

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 12

AS Assignment

Owner name: BECK SEMICONDUCTOR LLC, NEVADA

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE THE ASSIGNOR NAME ON THE ASSIGNMENT DOCUMENT PREVIOUSLY RECORDED ON REEL 023617 FRAME 350. ASSIGNOR(S) HEREBY CONFIRMS THE ASSIGNMENT;ASSIGNOR:CUNNINGHAM, JAMES A.;REEL/FRAME:044680/0472

Effective date: 20050304

AS Assignment

Owner name: INTELLECTUAL VENTURES ASSETS 157 LLC, DELAWARE

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTELLECTUAL VENTURES I LLC;REEL/FRAME:051411/0631

Effective date: 20191223

AS Assignment

Owner name: LIBERTY PATENTS LLC, TEXAS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTELLECTUAL VENTURES ASSETS 157 LLC;REEL/FRAME:051630/0115

Effective date: 20191230