USRE36957E - Method and apparatus for cold wall chemical vapor deposition - Google Patents

Method and apparatus for cold wall chemical vapor deposition Download PDF

Info

Publication number
USRE36957E
USRE36957E US09/148,153 US14815398A USRE36957E US RE36957 E USRE36957 E US RE36957E US 14815398 A US14815398 A US 14815398A US RE36957 E USRE36957 E US RE36957E
Authority
US
United States
Prior art keywords
gas
thermal
wafer
plate
window
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US09/148,153
Inventor
Daniel L. Brors
Robert C. Cook
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Torrex Equipment Corp
Original Assignee
Torrex Equipment Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Torrex Equipment Corp filed Critical Torrex Equipment Corp
Priority to US09/148,153 priority Critical patent/USRE36957E/en
Application granted granted Critical
Publication of USRE36957E publication Critical patent/USRE36957E/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45589Movable means, e.g. fans
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate

Definitions

  • This invention relates to a cold wall Chemical Vapor Deposition (CVD) process chamber. More particularly, this invention relates to maintaining a wafer at a constant and uniform temperature in a vacuum chamber and controlling the injection of reactant gases into the chamber so that a uniform layer of thin film may be deposited on the wafer.
  • CVD Chemical Vapor Deposition
  • Polysilicon is widely used in the manufacture of semiconductor devices. Typically, polysilicon is deposited on silicon wafers by injecting silane or dichlorosilane and hydrogen in a hot wall CVD reactor 10 as is depicted in FIG. 1 and described by T. Kamins, Polycrystalline Silicon for Integrated Circuit Applications, Kluwer Academic Publishers, 1988, pp. 12-14, herein incorporated by reference.
  • a hot wall chamber such as the chamber 10 illustrated in FIG. 1 is, however, problematic. For instance, during CVD processing of wafers 12, the surface of chamber 10 becomes hot enough that a thin layer of the reactant vapor forms on the walls 14 of chamber 10. As this layer becomes thicker, it may break off of walls 14 and contaminate wafers 12. Further, the formation of such a layer may deplete the reactant species such that little or no vapor deposition occurs on the wafers 12.
  • a "cold wall” process in which a silicon wafer is placed on a heating chuck and inserted into a vacuum chamber having induction coils provided on an outside surface thereof.
  • the heating chuck absorbs RF energy from the induction coils, indirectly heating the wafer.
  • the walls of the vacuum tube are transparent and non-obstructive to radiant heat energy such that the walls do not absorb RF energy emitted from the induction coils.
  • the walls of the vacuum tube are relatively cool and remain well below the reaction temperature (i.e., the temperature of the wafers). Accordingly, very little vapor deposition occurs on the walls of the vacuum chamber.
  • FIG. 2A shows a cross-sectional view of wafer 12 being heated uniformly by radiation emitted from a heat source 16 within a cold wall CVD reactor (not shown).
  • FIG. 2B shows the typical pattern of radiative heat loss from wafer 12. The heat loss at the edge of wafer 12 is greater than heat loss of the center of wafer 12, resulting in a temperature difference between the edge and center of wafer 12. This temperature difference is illustrated graphically in FIG. 2C, where line 18 represents the temperature of wafer 12 as a function of distance across the cross-section of wafer 12 shown in FIG. 2B.
  • the deposition rate of a reactant gas upon wafer 12 is proportional to the temperature of wafer 12.
  • temperature variations between the center and edge of wafer 12 will undesirably result in the deposition of a non-uniform layer of such material (i.e., a layer of non-uniform thickness).
  • the deposition rate of polysilicon may vary 2.0-2.5 percent per degree Centigrade (see R. S. Rosler, Low Pressure CVD Production Process for Poly, Nitride, and Oxide, Solid State Technology, April 1977, pp. 63-70).
  • a further problem of the cold wall CVD process is its limited processing speed.
  • the reactant gas is held at a low partial pressure, i.e., less than approximately 10 mTorr, its deposition rate is primarily a function of temperature.
  • the deposition rate may be increased by increasing the partial pressure of the reactant gas.
  • the deposition rate becomes a function of not only temperature but also of the distribution pattern of the gas over the surface of the wafer.
  • the high velocities at which the reactant gas enters the chamber, as well as the turbulence generated within the chamber due to reactant gases striking objects within the chamber make it nearly impossible to achieve a truly laminar gas flow within the chamber.
  • difficulties in accurately controlling the distribution pattern require the reactant gas to be held at low partial pressures in order to achieve a uniform deposition layer on wafer 12 which, in turn, undesirably limits the deposition rate.
  • the deposition of rate of polysilicon is typically on the order of 100-200 ⁇ /min at 10 mTorr.
  • a typical hot wall CVD process in which a hundred or more wafers may be simultaneously processed, such a deposition rate is acceptable.
  • a cold wall CVD process only one wafer may be processed at a time. Accordingly, in a cold wall CVD device, a deposition rate on the order of 100-200 ⁇ /min results in an unacceptably low wafer output.
  • a cold wall CVD device which exhibits an increased processing speed without any sacrifice in the uniformity of the deposited layer.
  • the CVD reactor includes a vacuum chamber having first and second thermal plates disposed therein and two independently-controlled multiple-zone heat sources disposed around the exterior thereof.
  • the first heat source has three independently controlled zones and the second heat source has two independently controlled zones.
  • a wafer which is to be processed is positioned below the first thermal plate and immediately above the second thermal plate.
  • the wafer is indirectly heated from above by the first heat source via the first thermal plate and is indirectly heated from below by the first zone of the second heat source via the second thermal plate.
  • a thermal ring plate which laterally surrounds the edge of the wafer absorbs heat energy emitted from the second portion of the second heat source and heats the outer edge of the wafer.
  • a reactant gas is injected into the chamber by a gas injector having a plurality of independently rotatable nozzles.
  • the orientation and flow of gas through each nozzle may be adjusted such that the reactant gas is distributed in a highly uniform manner across the surface of the wafer. This high uniformity allows the partial pressure of the reactant gas to be increased, thereby increasing its deposition rate, without sacrificing the uniformity of the layer deposited upon the wafer.
  • a first sensor embedded in the first thermal plate measures the temperature of the first thermal plate and provides this temperature to a computer which, in turn, adjusts power to the first zone of the first heat source to maintain the first thermal plate at a constant temperature.
  • the computer maintains the heat intensity of the second and third zones of the first heat source at a predetermined factor of heat intensity of the first zone such that a uniform temperature exists across the wafer.
  • a second sensor embedded in the thermal ring plate provides the temperature of the thermal ring plate to the computer.
  • the computer adjusts the intensity of the second zone of the second heat source to maintain the thermal ring plate at a constant temperature.
  • the computer maintains the intensity of the first zone of the second heat source at a predetermined factor of the intensity of the second zone such the wafer is held at a uniform temperature, i.e., to minimize temperature variations between the outer edge and the center of the wafer.
  • FIG. 1 illustrates a prior art hot wall CVD process chamber
  • FIGS. 2A-2C illustrate the heat loss pattern of a silicon wafer processed in a cold wall CVD chamber
  • FIG. 3 is a front view of a CVD cold wall process reactor in accordance with the present invention.
  • FIG. 4 is a front cross-sectional view of the CVD reactor of FIG. 4;
  • FIGS. 5A and 5B are a cross-sectional view of a vacuum chamber within the CVD reactor of FIGS. 3 and 4;
  • FIG. 6 is an exploded view of a portion of the vacuum chamber of FIGS. 5A and 5B showing also a multi-zone heating assembly in accordance with the present invention
  • FIG. 7 is a perspective view of a multi-gas injector in accordance with the present invention.
  • FIG. 8 is a cross-sectional view of the multi-gas injector of FIG. 7;
  • FIG. 9 illustrates a stacked gas injector in accordance with the present invention.
  • FIG. 10A is a cross-sectional view of a portion of the multi-zone heating assembly shown in FIG. 6;
  • FIG. 10B is a bottom view of the heating assembly of FIG. 10A.
  • FIG. 11 is a schematic view of a temperature control system in accordance with the present invention.
  • a chemical vapor deposition (CVD) reactor 30 includes a vacuum chamber 32 having a top plate 34 and a base plate 36. Top plate 34 and base plate 36 are both water cooled. Side walls 38 are attached and vacuum sealed to top plate 34 with an 0-ring 38a and to base plate 36 with an 0-ring 38b (FIG. 5).
  • a wafer 12 which is to be processed in accordance with reactor 30 is placed inside chamber 32.
  • Reactant gases are injected into chamber 32 via a gas injector 40 and are removed from chamber 32 using a suitable vacuum pump (not shown) via a hose 42.
  • Infrared heat energy is applied to chamber 32 from a first heat source positioned above the wafer and from a second heat source positioned below the wafer, as will be described below.
  • An upper lamp assembly 43 is disposed above top plate 34 (FIG. 3) and includes nineteen (19) two-kilowatt tungsten halogen lamps 44a-44c (FIG. 4).
  • An upper quartz window 45 through which infrared energy emitted from lamps 44a-44c may pass and heat a wafer 12 situated in chamber 32, is mounted within top plate 34 and attached thereto by clamps 46.
  • 0-rings 48, 49 form a vacuum seal between upper quartz window 45 and top plate 34 (FIG. 5).
  • a graphite gasket 50 forms a seal between upper quartz window 45 and chamber 32.
  • Clamps 46 apply a downward pressure on upper quartz window 45 to ensure a snug fit between upper quartz window 45 and graphite gasket 50.
  • Clamps 46 are secured to top plate 34 by bolts 52 (FIGS. 4-6).
  • a lower lamp assembly 62 is disposed beneath base plate 36 (FIG. 3) and includes eighteen (18) two-kilowatt lamps 64a and 64b.
  • a lower quartz window 66 is provided within base plate 36 and vacuum sealed to base plate 36 via clamps 68, O-rings 70, 71, and a graphite gasket 72 (FIG. 5).
  • the wafer 12 is positioned within chamber 32 such that an outer edge of wafer 12 rests on a circular graphite carrier 74 (FIGS. 5A-5B).
  • carrier 74 should be as thin as possible (i.e., approximately 0.1 inches) so as to minimize thermal mass. This low thermal mass allows for the rapid thermal cycling of carrier 74.
  • a heat block 78 is disposed immediately below an outer portion of ring 74. An outer edge of ring 74 overlaps an inner edge of heat block 78, such that the bottom surface of carrier 74 and the top surface of heat block 78 are separated by approximately 0.04 inches.
  • a quartz ring 80 provides thermal isolation between heat block 78 and base plate 36.
  • a thermocouple 86 (FIG. 5b) imbedded in heat block 78 is sealed from the exterior of chamber 32 by O-ring 88 within thermocouple clamp 90 which, in turn, is sealed to side wall 38 by O-ring 92.
  • Carrier 74 is supported by a quartz ring 93 which is attached to a thermal plate 94.
  • plate 94 is graphite and exhibits a low thermal mass to allow for rapid thermal cycling of plate 94.
  • Plate 94 is coupled to an upper end of a tube 96 which extends through chamber 32 via an opening in lower quartz window 66. Tube 96 passes through and may rotate freely within a ferrofluidic seal 98, which seals vacuum chamber 32 from exterior atmosphere (FIG. 4).
  • the lower end of tube 96 is-connected to and sealed to a shaft adapter 100.
  • a belt 102 is situated around a first pulley 104, which is coupled to tube 96, and a second pulley 106, which is coupled to a motor 108. When motor 108 engages pulley 106, tube 96 rotates and thereby causes carrier 74 and, thus wafer 12, to rotate.
  • a shaft 110 situated within tube 96 has a lower end attached the inner portion of bellows 112 which, in turn, is coupled to a lift block 114.
  • Lift block 114 is driven vertically by a motor 116 via an eccentric assembly 118.
  • the upper end of shaft 110 is attached, via a shaft adapter 122, to a quartz pin holder 120 having attached thereto lift pins 124 (FIGS. 5 and 6).
  • Lift pins 124 are used to raise wafer 12 above carrier 74 such that wafer 12 may be removed from chamber 32 via a robotic arm (not shown for simplicity).
  • gas injector 40 includes an assembly 150 having six (6) independently rotatable nozzles 152 disposed on a side thereof.
  • nozzles 152 may rotate in vertical and horizontal directions.
  • Nozzles 152 direct the flow of reactant gases towards the surface of wafer 12.
  • Each of nozzles 152 has an associated control screw 154 which controls the flow rate and velocity of gas exiting from each nozzle 152.
  • Gas injector 40 is secured to base plate 36 by clamp 162 (FIGS. 4 and 8).
  • An O-ring 164 seals gas injector 40 to base plate 36.
  • a Clamp 167 is also used to secure injector 40 in place.
  • Water fittings 168 provided on the underneath side of injector 40 are connected to a water line 170 within assembly 40. Water is circulated through line 170 to cool injector 40.
  • Reactant gas injected into a tube 172 flows through a gas line 174 and enters a chamber 176 within assembly 150 of injector 40 (FIG. 8).
  • Each of six gas lines 178 (only one is visible in FIG. 8 for simplicity) disposed within assembly 150 has a first end connected to chamber 176 and a second end connected to an associated one of nozzles 152.
  • gas flow through each of nozzles 152 may be controlled via an associated one of control screws 154 coupled to an associated one of gas lines 178.
  • gas injector 40 may be provided with two assemblies 150 stacked upon one another, as shown in FIG. 9. This arrangement allows two different reactant gases to be injected into chamber 32.
  • the gas injector 40 described above allows wafer 12 to be processed at rates much faster than those of conventional cold wall CVD devices without any resultant degradation in the uniformity of the polysilicon layer deposited on wafer 12.
  • the deposition rate of polysilicon may be increased by increasing the partial pressure of the reactant gas. As the partial pressure is increased above approximately 10 mTorr, variations in the distribution pattern of the reactant gas over the surface of wafer 12 result in increasingly significant variations in the uniformity of the polysilicon layer deposited on wafer 12.
  • wafer 12 is rotated while reactant gases are dispersed from injector 40 to chamber 32.
  • the rotation of wafer 12 results in an averaging of the amount of gas available for deposition over the entire surface of wafer 12.
  • non-uniformities in the distribution pattern of the reactant gas become have a much less significant impact upon the uniformity of the layer of polysilicon deposited on wafer 12.
  • the uniformity of the reactant gas distribution pattern may be optimized by manipulating the orientation and flow rate of gas through each of nozzles 152.
  • FIG. 10A is a cross-sectional view of water-cooled upper lamp assembly 43 (see also FIG. 4).
  • Lamp sockets 171 provided within lamp assembly 43 house the lamps 44a-44c described above.
  • Water pumped into lamp assembly 43 via water fittings 173 circulates within and cools lamp assembly 43.
  • Lamp sockets 171 are cooled by air entering lamp assembly 43 through a duct 179 and exiting lamp assembly 43 through a duct 175. Electrical power is provided to lamp assembly 40 through a socket 177.
  • FIG. 10B is a bottom view of upper lamp assembly 43 illustrating three independent concentric heat zones 178, 180, 182.
  • Outer zone 178 includes an outer ring comprising twelve lamps 44a
  • middle zone 180 includes an inner ring comprising six lamps 44b
  • inner zone 182 includes lamp 44c at the center of lamp assembly 43.
  • lower lamp assembly 62 has no central lamp comparable to lamp 44c in upper lamp assembly 43 and instead has an opening through which tube 96 extends (FIG. 4).
  • a computer 190 regulates the temperature of wafer 12 by controlling the intensity of lamps 44a-44c and 64a-64b.
  • Power to lamps 44a (outer zone 178), lamps 44b (middle zone 180), and lamp 44c (inner zone 182) of upper lamp assembly 43 is managed by silicon-controlled rectifier (SCR) controls 192, 194, and 196, respectively, while power to lamps 64a and 64b of lower lamp assembly 62 is managed by SCR controllers 198 and 200, respectively (see also FIGS. 9A and 9B).
  • SCR controllers 192-200 is controlled by a separate proportional integral derivative (PID) loops contained within the software of computer 190. These PIDs loops may be of conventional design.
  • Wafer 12 is placed on carrier 74.
  • Plate 94 should be positioned such that the top surface of plate 94 lies approximately 0.5 inches below the bottom surface of wafer 12.
  • Wafer 12 is rotated at a speed of approximately 5 RPM while reactant gases are emitted from nozzles 152 (FIG. 5A). As described above with reference to FIGS. 7 and 8, the gas is distributed in a uniform manner over the surface of rotating wafer 12 via injector 40. Rotational speeds higher than about 5 RPM may cause wafer 12 to slide off ring 74.
  • argon gas injected into through gas fittings 126 enters chamber 32 in a region between upper quartz window 45 and plate 54 to prevent reactant gas from coming into contact with and depositing on upper quartz window 45 (FIG. 5).
  • Wafer 12 is indirectly heated from above by independently controlled lamps 44a, 44b, 44c of upper lamp assembly 43 (FIGS. 4, 10).
  • Lamp 44c i.e., inner zone 182, of upper lamp assembly 43 heats a center portion of thermal plate 54.
  • the middle and outer portions of plate 54 are heated by lamps 44b (middle zone 180) and lamps 44a (outer zone 178) of upper lamp assembly 44, respectively.
  • Computer 190 monitors the temperature of plate 54 via thermocouple 60 and in response thereto adjusts the power provided to lamp 44c so as to maintain plate 54 at a constant temperature.
  • Computer 190 adjusts the power provided to lamps 44a and 44b based upon predetermined factors of the amount of power being provided to lamp 44c.
  • first and second predetermined percentages of the total power applied to lamps 44c is applied to lamps 44a and 44b, respectively.
  • These predetermined factors are empirically determined by measuring the uniformity of the deposited polysilicon layer as a function of power provided to, and thus the temperature of, lamps 44a, 44b, and 44c. Applicants have found that optimization of the uniformity of the polysilicon layer is achieved where temperature variations exist across thermal plate 54, i.e., where the center of plate 54 is at a temperature different from, for instance, an outer portion of plate 54.
  • Plate 54 acts as a thermal diffuser between lamps 44a, 44b, 44c and wafer 12 to prevent hot spots on wafer 12 and, accordingly, helps to ensure the deposition of a uniform layer of polysilicon on wafer 12 (since directly heating wafer 12 with lamps 44a, 44b, 44c would almost certainly result in local hot spots on wafer 12 immediately below each of lamps 44a, 44b, 44c).
  • Wafer 12 is indirectly heated primarily from below by the six inner lamps 64b of lower lamp assembly 62 (FIGS. 4 and 6).
  • Thermal plate 94 absorbs heat energy emitted from inner lamps 64b and, acting as a thermal diffuser in a manner similar to plate 54, heats wafer 12 from below.
  • Computer 190 controls the power provided to inner lamps 64b based upon a predetermined factor of the amount of power being provided to outer lamps 64a. As discussed above, this predetermined factor is empirically determined by measuring the uniformity of the deposited polysilicon layer as a function of power provided to lamps 64a and 64b.
  • the amount of power provided to lamps 64a and 64b, and thus the temperatures of heat block 78 and plate 94, respectively, are maintained as predetermined percentages of the power applied to upper lamps 44a.
  • Table 1 shows those predetermined percentages of power provided to each of lamps 44a, 44b, 44c, 64a, and 64b which Applicants have found to result in an optimization of the uniformity of the polysilicon layer at an operating temperature of 650 degrees Centigrade.
  • the reactor 30 described above with reference to FIGS. 3-11 minimizes temperature variations between the outer edge and center of a wafer.
  • wafer 12 exhibits a higher heat loss at its outer edge than at its inner portions. This higher heat loss at the outer edge is compensated by indirectly heating the outer edge of wafer 12 with independently controlled lamps 64a. Heat energy emitted by outer lamps 64a is absorbed by heat block 78 which, in turn, heats the outer edge of wafer 12.
  • heat block 78 laterally surrounds the outer edge of wafer 74, the magnitude of heat energy radiating from heat block 78 and provided to wafer 12 is proportional to the distance from the outer edge of wafer 12, i.e., more heat energy is provided to the edge of wafer 12 than to portions of wafer 12 1 mm away from the outer edge, and so on.
  • This feature along with the continuous adjustment of lamps 44a-44c and 64a-64b by computer 190, allows for the deposition of a highly uniform layer of polysilicon on wafer 12.
  • reactor 30 allows for the deposition of a polysilicon layer having less than 1% non-uniformity, as measured between the outer edge and a point 3 mm from the edge of a 200 mm diameter wafer, while achieving polysilicon deposition rates in excess of 2500 ⁇ /min.

Abstract

A CVD reactor includes a vacuum chamber having first and second thermal plates disposed therein and two independently-controlled multiple-zone heat sources disposed around the exterior thereof. The first heat source has three zones and the second heat source has two zones. A wafer to be processed is positioned below the first thermal plate and immediately above the second thermal plate, thereby being indirectly heated from above by the first heat source via the first thermal plate and indirectly heated from below by the first zone of the second heat source via the second thermal plate. A thermal ring plate which laterally surrounds the edge of the wafer absorbs heat energy emitted from the second zone of the second heat source and heats the outer edge of the wafer. First and second sensors embedded in the first thermal plate and the thermal ring plate, respectively, measure and provide the respective temperatures thereof to a computer which, in response thereto, adjusts power to the three zones of the first heat source and the two zones of the second heat source to maintain the first thermal plate and the thermal ring plate, respectively, at a constant temperature. In this manner, a uniform temperature is maintained across the wafer.

Description

.[.FIELD OF THE INVENTION.]. .Iadd.BACKGROUND OF THE INVENTION.Iaddend.
1. Field of the Invention
This invention-relates to a cold wall Chemical Vapor Deposition (CVD) process chamber. More particularly, this invention relates to maintaining a wafer at a constant and uniform temperature in a vacuum chamber and controlling the injection of reactant gases into the chamber so that a uniform layer of thin film may be deposited on the wafer.
.[.BACKGROUND OF THE INVENTION.].
.Iadd.2. Brief Description of the Prior Art .Iaddend.
Polysilicon is widely used in the manufacture of semiconductor devices. Typically, polysilicon is deposited on silicon wafers by injecting silane or dichlorosilane and hydrogen in a hot wall CVD reactor 10 as is depicted in FIG. 1 and described by T. Kamins, Polycrystalline Silicon for Integrated Circuit Applications, Kluwer Academic Publishers, 1988, pp. 12-14, herein incorporated by reference.
A hot wall chamber such as the chamber 10 illustrated in FIG. 1 is, however, problematic. For instance, during CVD processing of wafers 12, the surface of chamber 10 becomes hot enough that a thin layer of the reactant vapor forms on the walls 14 of chamber 10. As this layer becomes thicker, it may break off of walls 14 and contaminate wafers 12. Further, the formation of such a layer may deplete the reactant species such that little or no vapor deposition occurs on the wafers 12.
In response to this problem, a "cold wall" process has been developed in which a silicon wafer is placed on a heating chuck and inserted into a vacuum chamber having induction coils provided on an outside surface thereof. The heating chuck absorbs RF energy from the induction coils, indirectly heating the wafer. The walls of the vacuum tube are transparent and non-obstructive to radiant heat energy such that the walls do not absorb RF energy emitted from the induction coils. Thus, the walls of the vacuum tube are relatively cool and remain well below the reaction temperature (i.e., the temperature of the wafers). Accordingly, very little vapor deposition occurs on the walls of the vacuum chamber.
A significant limitation of the cold wall CVD reactor lies in its inability to uniformly heat each wafer. Simply applying heat in a uniform manner across a wafer results in significant temperature differences between the center and outer portions of the wafer. FIG. 2A shows a cross-sectional view of wafer 12 being heated uniformly by radiation emitted from a heat source 16 within a cold wall CVD reactor (not shown). FIG. 2B shows the typical pattern of radiative heat loss from wafer 12. The heat loss at the edge of wafer 12 is greater than heat loss of the center of wafer 12, resulting in a temperature difference between the edge and center of wafer 12. This temperature difference is illustrated graphically in FIG. 2C, where line 18 represents the temperature of wafer 12 as a function of distance across the cross-section of wafer 12 shown in FIG. 2B.
The deposition rate of a reactant gas upon wafer 12 is proportional to the temperature of wafer 12. Thus, temperature variations between the center and edge of wafer 12 will undesirably result in the deposition of a non-uniform layer of such material (i.e., a layer of non-uniform thickness). For instance, the deposition rate of polysilicon may vary 2.0-2.5 percent per degree Centigrade (see R. S. Rosler, Low Pressure CVD Production Process for Poly, Nitride, and Oxide, Solid State Technology, April 1977, pp. 63-70).
One approach to compensate for the higher heat loss at edge of a wafer is to apply more heat to the edge of the wafer by using a multi-zone heat source, as described by M. Moslehi et al, Texas Instruments Technical Journal, Vol. 9, No. 5, September, October. 1992, pp. 44-45. Unfortunately, such a technique is not completely effective. Since heat energy is not directional (i.e., it emits from a source in all directions), it is virtually impossible to direct this additional heat energy to only the edge of wafer 12. Some of the heat energy directed at the edge of wafer 12 will heat portions of wafer 12 as much as 5 mm away from the edge of wafer 12. Accordingly, there will still exist an undesirable variation in temperature between the edge and center of wafer 12.
A further problem of the cold wall CVD process is its limited processing speed. When the reactant gas is held at a low partial pressure, i.e., less than approximately 10 mTorr, its deposition rate is primarily a function of temperature. The deposition rate may be increased by increasing the partial pressure of the reactant gas. At higher partial pressures, however, the deposition rate becomes a function of not only temperature but also of the distribution pattern of the gas over the surface of the wafer. The high velocities at which the reactant gas enters the chamber, as well as the turbulence generated within the chamber due to reactant gases striking objects within the chamber, make it nearly impossible to achieve a truly laminar gas flow within the chamber. Thus, difficulties in accurately controlling the distribution pattern require the reactant gas to be held at low partial pressures in order to achieve a uniform deposition layer on wafer 12 which, in turn, undesirably limits the deposition rate.
The deposition of rate of polysilicon is typically on the order of 100-200 Å/min at 10 mTorr. In a typical hot wall CVD process, in which a hundred or more wafers may be simultaneously processed, such a deposition rate is acceptable. In a cold wall CVD process, however, only one wafer may be processed at a time. Accordingly, in a cold wall CVD device, a deposition rate on the order of 100-200 Å/min results in an unacceptably low wafer output. Thus, there is a need for a cold wall CVD device which exhibits an increased processing speed without any sacrifice in the uniformity of the deposited layer.
SUMMARY .Iadd.OF THE INVENTION .Iaddend.
An improved cold wall CVD reactor is disclosed which overcomes problems in the art described above. In accordance with the present invention, the CVD reactor includes a vacuum chamber having first and second thermal plates disposed therein and two independently-controlled multiple-zone heat sources disposed around the exterior thereof. The first heat source has three independently controlled zones and the second heat source has two independently controlled zones. A wafer which is to be processed is positioned below the first thermal plate and immediately above the second thermal plate. The wafer is indirectly heated from above by the first heat source via the first thermal plate and is indirectly heated from below by the first zone of the second heat source via the second thermal plate. A thermal ring plate which laterally surrounds the edge of the wafer absorbs heat energy emitted from the second portion of the second heat source and heats the outer edge of the wafer.
As the wafer is rotated at approximately 5 RPM, a reactant gas is injected into the chamber by a gas injector having a plurality of independently rotatable nozzles. The orientation and flow of gas through each nozzle may be adjusted such that the reactant gas is distributed in a highly uniform manner across the surface of the wafer. This high uniformity allows the partial pressure of the reactant gas to be increased, thereby increasing its deposition rate, without sacrificing the uniformity of the layer deposited upon the wafer.
A first sensor embedded in the first thermal plate measures the temperature of the first thermal plate and provides this temperature to a computer which, in turn, adjusts power to the first zone of the first heat source to maintain the first thermal plate at a constant temperature. The computer maintains the heat intensity of the second and third zones of the first heat source at a predetermined factor of heat intensity of the first zone such that a uniform temperature exists across the wafer.
A second sensor embedded in the thermal ring plate provides the temperature of the thermal ring plate to the computer. In response to this temperature, the computer adjusts the intensity of the second zone of the second heat source to maintain the thermal ring plate at a constant temperature. The computer maintains the intensity of the first zone of the second heat source at a predetermined factor of the intensity of the second zone such the wafer is held at a uniform temperature, i.e., to minimize temperature variations between the outer edge and the center of the wafer.
.[.BRIEF DESCRIPTION OF THE DRAWINGS.]. .Iadd.IN THE DRAWING .Iaddend.
FIG. 1 illustrates a prior art hot wall CVD process chamber;
FIGS. 2A-2C illustrate the heat loss pattern of a silicon wafer processed in a cold wall CVD chamber;
FIG. 3 is a front view of a CVD cold wall process reactor in accordance with the present invention;
FIG. 4 is a front cross-sectional view of the CVD reactor of FIG. 4;
FIGS. 5A and 5B are a cross-sectional view of a vacuum chamber within the CVD reactor of FIGS. 3 and 4;
FIG. 6 is an exploded view of a portion of the vacuum chamber of FIGS. 5A and 5B showing also a multi-zone heating assembly in accordance with the present invention;
FIG. 7 is a perspective view of a multi-gas injector in accordance with the present invention;
FIG. 8 is a cross-sectional view of the multi-gas injector of FIG. 7;
FIG. 9 illustrates a stacked gas injector in accordance with the present invention;
FIG. 10A is a cross-sectional view of a portion of the multi-zone heating assembly shown in FIG. 6;
FIG. 10B is a bottom view of the heating assembly of FIG. 10A; and
FIG. 11 is a schematic view of a temperature control system in accordance with the present invention.
.[.DETAILED.]. DESCRIPTION OF THE PREFERRED EMBODIMENT
The description of the preferred embodiment is made with reference to FIGS. 3-11, where common parts are denoted with the same numerals. The operation of the above-described preferred embodiment will be discussed below in the context of the deposition of polysilicon on wafer 12. It is to be understood, however, that embodiments in accordance with the present invention may be used to deposit any suitable material on a wafer while still realizing the advantages of the present invention.
Referring first to FIGS. 3-6, a chemical vapor deposition (CVD) reactor 30 includes a vacuum chamber 32 having a top plate 34 and a base plate 36. Top plate 34 and base plate 36 are both water cooled. Side walls 38 are attached and vacuum sealed to top plate 34 with an 0-ring 38a and to base plate 36 with an 0-ring 38b (FIG. 5).
A wafer 12 which is to be processed in accordance with reactor 30 is placed inside chamber 32. Reactant gases are injected into chamber 32 via a gas injector 40 and are removed from chamber 32 using a suitable vacuum pump (not shown) via a hose 42. Infrared heat energy is applied to chamber 32 from a first heat source positioned above the wafer and from a second heat source positioned below the wafer, as will be described below.
An upper lamp assembly 43 is disposed above top plate 34 (FIG. 3) and includes nineteen (19) two-kilowatt tungsten halogen lamps 44a-44c (FIG. 4). An upper quartz window 45, through which infrared energy emitted from lamps 44a-44c may pass and heat a wafer 12 situated in chamber 32, is mounted within top plate 34 and attached thereto by clamps 46. 0-rings 48, 49 form a vacuum seal between upper quartz window 45 and top plate 34 (FIG. 5). A graphite gasket 50 forms a seal between upper quartz window 45 and chamber 32. Clamps 46 apply a downward pressure on upper quartz window 45 to ensure a snug fit between upper quartz window 45 and graphite gasket 50. Clamps 46 are secured to top plate 34 by bolts 52 (FIGS. 4-6).
Infrared energy emitted from lamps 44a-44c in upper lamp assembly 43 heats a graphite thermal plate 54 positioned between approximately 0.5 and 0.75 inches above the top surface of wafer 12 (FIGS. 5 and 6). Thermal plate 54 preferably has a low thermal mass to allow for rapid thermal cycling of plate 54. A plurality of clips 56 secure thermal plate 54 against a thermal insulating quartz ring 58 (for simplicity, only one clip 56 is shown in FIG. 5). Quartz ring 58 reduces the thermal conduction between thermal plate 54 and top plate 34. The temperature of thermal plate 54 is measured by a thermocouple 60 imbedded therein (FIG. 5A).
A lower lamp assembly 62 is disposed beneath base plate 36 (FIG. 3) and includes eighteen (18) two-kilowatt lamps 64a and 64b. In the same manner that upper quartz window 45 is sealed to top plate 34, a lower quartz window 66 is provided within base plate 36 and vacuum sealed to base plate 36 via clamps 68, O-rings 70, 71, and a graphite gasket 72 (FIG. 5).
The wafer 12 is positioned within chamber 32 such that an outer edge of wafer 12 rests on a circular graphite carrier 74 (FIGS. 5A-5B). Preferably, carrier 74 should be as thin as possible (i.e., approximately 0.1 inches) so as to minimize thermal mass. This low thermal mass allows for the rapid thermal cycling of carrier 74.
A heat block 78 is disposed immediately below an outer portion of ring 74. An outer edge of ring 74 overlaps an inner edge of heat block 78, such that the bottom surface of carrier 74 and the top surface of heat block 78 are separated by approximately 0.04 inches. A quartz ring 80 provides thermal isolation between heat block 78 and base plate 36. A thermocouple 86 (FIG. 5b) imbedded in heat block 78 is sealed from the exterior of chamber 32 by O-ring 88 within thermocouple clamp 90 which, in turn, is sealed to side wall 38 by O-ring 92.
Carrier 74 is supported by a quartz ring 93 which is attached to a thermal plate 94. Preferably, plate 94 is graphite and exhibits a low thermal mass to allow for rapid thermal cycling of plate 94. Plate 94 is coupled to an upper end of a tube 96 which extends through chamber 32 via an opening in lower quartz window 66. Tube 96 passes through and may rotate freely within a ferrofluidic seal 98, which seals vacuum chamber 32 from exterior atmosphere (FIG. 4). The lower end of tube 96 is-connected to and sealed to a shaft adapter 100. A belt 102 is situated around a first pulley 104, which is coupled to tube 96, and a second pulley 106, which is coupled to a motor 108. When motor 108 engages pulley 106, tube 96 rotates and thereby causes carrier 74 and, thus wafer 12, to rotate.
A shaft 110 situated within tube 96 has a lower end attached the inner portion of bellows 112 which, in turn, is coupled to a lift block 114. Lift block 114 is driven vertically by a motor 116 via an eccentric assembly 118. The upper end of shaft 110 is attached, via a shaft adapter 122, to a quartz pin holder 120 having attached thereto lift pins 124 (FIGS. 5 and 6). Lift pins 124 are used to raise wafer 12 above carrier 74 such that wafer 12 may be removed from chamber 32 via a robotic arm (not shown for simplicity).
A plug 125 having a gas fitting 126 disposed therein is provided in top plate 34 and connected to a channel 128 (FIGS. 4 and 5). An O-ring 130 seals plug 124 from exterior atmosphere, while an O-ring 132 seals plug 124 from the interior of chamber 32. Argon injected into gas fitting 126 flows through channel 128 and enters chamber 32 in the region between thermal plate 54 and upper quartz window 45, as indicated by arrow 133 (FIG. 5).
Referring also to FIGS. 6 and 7, gas injector 40 includes an assembly 150 having six (6) independently rotatable nozzles 152 disposed on a side thereof. In some embodiments, nozzles 152 may rotate in vertical and horizontal directions. Nozzles 152 direct the flow of reactant gases towards the surface of wafer 12. Each of nozzles 152 has an associated control screw 154 which controls the flow rate and velocity of gas exiting from each nozzle 152.
Gas injector 40 is secured to base plate 36 by clamp 162 (FIGS. 4 and 8). An O-ring 164 seals gas injector 40 to base plate 36. A Clamp 167 is also used to secure injector 40 in place. Water fittings 168 provided on the underneath side of injector 40 are connected to a water line 170 within assembly 40. Water is circulated through line 170 to cool injector 40.
Reactant gas injected into a tube 172 flows through a gas line 174 and enters a chamber 176 within assembly 150 of injector 40 (FIG. 8). Each of six gas lines 178 (only one is visible in FIG. 8 for simplicity) disposed within assembly 150 has a first end connected to chamber 176 and a second end connected to an associated one of nozzles 152. As explained earlier, gas flow through each of nozzles 152 may be controlled via an associated one of control screws 154 coupled to an associated one of gas lines 178.
In another embodiment, gas injector 40 may be provided with two assemblies 150 stacked upon one another, as shown in FIG. 9. This arrangement allows two different reactant gases to be injected into chamber 32.
The gas injector 40 described above allows wafer 12 to be processed at rates much faster than those of conventional cold wall CVD devices without any resultant degradation in the uniformity of the polysilicon layer deposited on wafer 12. As discussed earlier, the deposition rate of polysilicon may be increased by increasing the partial pressure of the reactant gas. As the partial pressure is increased above approximately 10 mTorr, variations in the distribution pattern of the reactant gas over the surface of wafer 12 result in increasingly significant variations in the uniformity of the polysilicon layer deposited on wafer 12.
To solve this problem, wafer 12 is rotated while reactant gases are dispersed from injector 40 to chamber 32. The rotation of wafer 12 results in an averaging of the amount of gas available for deposition over the entire surface of wafer 12. Thus, non-uniformities in the distribution pattern of the reactant gas become have a much less significant impact upon the uniformity of the layer of polysilicon deposited on wafer 12. It is, nonetheless, desirable to maximize the uniformity of distribution pattern of the reactant gas so as to achieve the highest possible uniformity of the polysilicon layer. The uniformity of the reactant gas distribution pattern may be optimized by manipulating the orientation and flow rate of gas through each of nozzles 152.
Minimizing the significance of the distribution pattern of reactant gas allows the partial pressure of the reactant gas to be increased to approximately 200 mTorr which, in turn, allows for polysilicon deposition rates in excess of 2500 Å/min. This increased deposition rate is realized without sacrificing the uniformity of the polysilicon layer deposited on wafer 12. Thus, by reducing the gas boundary layer at the surface of wafer 12, gas injector 40 allows for higher deposition rates at a given partial pressure than do conventional cold wall CVD reactors.
FIG. 10A is a cross-sectional view of water-cooled upper lamp assembly 43 (see also FIG. 4). Lamp sockets 171 provided within lamp assembly 43 house the lamps 44a-44c described above. Water pumped into lamp assembly 43 via water fittings 173 circulates within and cools lamp assembly 43. Lamp sockets 171 are cooled by air entering lamp assembly 43 through a duct 179 and exiting lamp assembly 43 through a duct 175. Electrical power is provided to lamp assembly 40 through a socket 177.
FIG. 10B is a bottom view of upper lamp assembly 43 illustrating three independent concentric heat zones 178, 180, 182. Outer zone 178 includes an outer ring comprising twelve lamps 44a, middle zone 180 includes an inner ring comprising six lamps 44b, and inner zone 182 includes lamp 44c at the center of lamp assembly 43.
Referring also to FIGS. 3 and 4, the arrangement of lamps in lower lamp assembly 62 is similar to that of upper lamp assembly 43, with an outer ring comprising twelve lamps 64a and an inner ring comprising six lamps 64b. However, lower lamp assembly 62 has no central lamp comparable to lamp 44c in upper lamp assembly 43 and instead has an opening through which tube 96 extends (FIG. 4).
Referring now to FIG. 11, a computer 190 regulates the temperature of wafer 12 by controlling the intensity of lamps 44a-44c and 64a-64b. Power to lamps 44a (outer zone 178), lamps 44b (middle zone 180), and lamp 44c (inner zone 182) of upper lamp assembly 43 is managed by silicon-controlled rectifier (SCR) controls 192, 194, and 196, respectively, while power to lamps 64a and 64b of lower lamp assembly 62 is managed by SCR controllers 198 and 200, respectively (see also FIGS. 9A and 9B). Each of SCR controllers 192-200 is controlled by a separate proportional integral derivative (PID) loops contained within the software of computer 190. These PIDs loops may be of conventional design.
The deposition of polysilicon on wafer 12 in accordance with the present invention will be discussed below. Wafer 12 is placed on carrier 74. Plate 94 should be positioned such that the top surface of plate 94 lies approximately 0.5 inches below the bottom surface of wafer 12. Wafer 12 is rotated at a speed of approximately 5 RPM while reactant gases are emitted from nozzles 152 (FIG. 5A). As described above with reference to FIGS. 7 and 8, the gas is distributed in a uniform manner over the surface of rotating wafer 12 via injector 40. Rotational speeds higher than about 5 RPM may cause wafer 12 to slide off ring 74.
Argon gas injected into reactor 30 through gas fitting 204 flows through channel 205 (FIG. 4) and enters chamber 32 at a rate of approximately 100 cc/min in a region below heat block 78, as indicated by arrows 206 (FIG. 5). The argon, flowing through the 0.04 inch gap between heat block 78 and carrier 74, prevents the reactant gas from coming into contact with lower quartz window 66. If the reactant gas were allowed to accumulate over quartz window 66, polysilicon would deposit on quartz window 66 and thereby reduce the efficiency of lamps 64a-64b within lower lamp assembly 62.
In a similar manner, argon gas injected into through gas fittings 126 enters chamber 32 in a region between upper quartz window 45 and plate 54 to prevent reactant gas from coming into contact with and depositing on upper quartz window 45 (FIG. 5).
Wafer 12 is indirectly heated from above by independently controlled lamps 44a, 44b, 44c of upper lamp assembly 43 (FIGS. 4, 10). Lamp 44c, i.e., inner zone 182, of upper lamp assembly 43 heats a center portion of thermal plate 54. The middle and outer portions of plate 54 are heated by lamps 44b (middle zone 180) and lamps 44a (outer zone 178) of upper lamp assembly 44, respectively. Computer 190 monitors the temperature of plate 54 via thermocouple 60 and in response thereto adjusts the power provided to lamp 44c so as to maintain plate 54 at a constant temperature. Computer 190 adjusts the power provided to lamps 44a and 44b based upon predetermined factors of the amount of power being provided to lamp 44c. That is, first and second predetermined percentages of the total power applied to lamps 44c is applied to lamps 44a and 44b, respectively. These predetermined factors are empirically determined by measuring the uniformity of the deposited polysilicon layer as a function of power provided to, and thus the temperature of, lamps 44a, 44b, and 44c. Applicants have found that optimization of the uniformity of the polysilicon layer is achieved where temperature variations exist across thermal plate 54, i.e., where the center of plate 54 is at a temperature different from, for instance, an outer portion of plate 54.
Plate 54 acts as a thermal diffuser between lamps 44a, 44b, 44c and wafer 12 to prevent hot spots on wafer 12 and, accordingly, helps to ensure the deposition of a uniform layer of polysilicon on wafer 12 (since directly heating wafer 12 with lamps 44a, 44b, 44c would almost certainly result in local hot spots on wafer 12 immediately below each of lamps 44a, 44b, 44c).
The outer edge of wafer 12 is indirectly heated primarily by the twelve outer lamps 64a of lower lamp assembly 62. Heat energy emitted from independently controlled lamps 64a is absorbed by heat block 78 which, in turn, heats the outer edge of wafer 12 (FIGS. 4 and 6). The temperature of heat block 78 is measured by thermocouple 86 and provided as feedback to computer 190. Computer 190 monitors this temperature and in response thereto adjusts the power provided to lamps 64a in order to maintain heat block 78 at a constant temperature. Surrounding the outer edge of wafer 12 by temperature-controlled heat block 78 thereby minimizes heat loss at the outer edge of wafer 12.
Wafer 12 is indirectly heated primarily from below by the six inner lamps 64b of lower lamp assembly 62 (FIGS. 4 and 6). Thermal plate 94 absorbs heat energy emitted from inner lamps 64b and, acting as a thermal diffuser in a manner similar to plate 54, heats wafer 12 from below. Computer 190 controls the power provided to inner lamps 64b based upon a predetermined factor of the amount of power being provided to outer lamps 64a. As discussed above, this predetermined factor is empirically determined by measuring the uniformity of the deposited polysilicon layer as a function of power provided to lamps 64a and 64b.
In other embodiments, the amount of power provided to lamps 64a and 64b, and thus the temperatures of heat block 78 and plate 94, respectively, are maintained as predetermined percentages of the power applied to upper lamps 44a.
Table 1 shows those predetermined percentages of power provided to each of lamps 44a, 44b, 44c, 64a, and 64b which Applicants have found to result in an optimization of the uniformity of the polysilicon layer at an operating temperature of 650 degrees Centigrade.
              TABLE 1                                                     
______________________________________                                    
          % of Power                                                      
          Applied to  % of Full                                           
                               Max.    Actual                             
Zone      Lamps 44A   Power    Watts   Power                              
______________________________________                                    
Lamps 44a 100%        33%      24,000  7,920W                             
Lamp 44c  82%         27%      2,000   540W                               
Lamps 44b 27%          9%      12,000  1,080W                             
Lamps 64b 40%         13%      12,000  1,560W                             
Lamps 64a 73%         24%      24,000  5,760W                             
______________________________________                                    
The reactor 30 described above with reference to FIGS. 3-11 minimizes temperature variations between the outer edge and center of a wafer. As mentioned earlier, wafer 12 exhibits a higher heat loss at its outer edge than at its inner portions. This higher heat loss at the outer edge is compensated by indirectly heating the outer edge of wafer 12 with independently controlled lamps 64a. Heat energy emitted by outer lamps 64a is absorbed by heat block 78 which, in turn, heats the outer edge of wafer 12. Since heat block 78 laterally surrounds the outer edge of wafer 74, the magnitude of heat energy radiating from heat block 78 and provided to wafer 12 is proportional to the distance from the outer edge of wafer 12, i.e., more heat energy is provided to the edge of wafer 12 than to portions of wafer 12 1 mm away from the outer edge, and so on. This feature, along with the continuous adjustment of lamps 44a-44c and 64a-64b by computer 190, allows for the deposition of a highly uniform layer of polysilicon on wafer 12.
Applicants have found that reactor 30 allows for the deposition of a polysilicon layer having less than 1% non-uniformity, as measured between the outer edge and a point 3 mm from the edge of a 200 mm diameter wafer, while achieving polysilicon deposition rates in excess of 2500 Å/min.
While particular embodiments of the present invention have been shown and described, it will be obvious to those skilled in the art that changes and modifications may be made without departing from this invention in its broader aspects and, therefore, the appended claims are to encompass within their scope all such changes and modifications as fall within the true spirit and scope of this invention.

Claims (10)

.[.We claim.]. .Iadd.What is claimed is.Iaddend.:
1. A CVD reactor comprising:
a chamber containing;
a first thermal plate,
a second thermal plate positioned below said first thermal plate, wherein a wafer is interposed between said first and second thermal plates and not in contact with first and second thermal plates; and
a thermal ring plate laterally surrounding an outer edge of said wafer;
a first heat source positioned above said first thermal plate, said first thermal plate absorbing heat energy emitted from said first heat source and heating said wafer;
a second heat source having first and second portions and positioned below said second thermal plate, said second thermal plate absorbing heat energy emitted from said first portion of second heat source and heating said wafer, said thermal ring plate absorbing heat energy emitted from said second portion of said second heat source and heating said outer edge of said wafer.
2. The device of claim 1 wherein said first and second thermal plates comprise graphite.
3. The device of claim 1 further comprising means for rotating said wafer.
4. The device of claim 3 wherein said first and second thermal plates have an emissivity of approximately 1.0.
5. The device of claim 1 wherein said first and second heat sources each comprise a plurality of tungsten halogen lamps.
6. The device of claim 1 further comprising:
a computer;
a first thermocouple embedded within said first thermal plate and coupled to said computer, said thermocouple measuring the temperature of said first thermal plate;
a second thermocouple embedded within said thermal ring plate and coupled to said computer, said second thermocouple measuring the temperature of said thermal ring plate;
wherein said computer adjusts the intensity of said first heat source in response to said temperature of said first thermal plate and adjusts the intensity of said first and second portions of said second heat source in response to said temperature of said thermal ring plate.
7. The device of claim .[.6.]. .Iadd.8 .Iaddend.further comprising:
a second plurality of independently rotatable nozzles; and
a plurality of third gas lines, each of said third gas lines being connected to an associated one of said second nozzles, wherein said shaft includes a fourth gas line having a first end connected to each of said third gas lines and having a second end connected to a second gas fitting such that a second gas, different from said first mentioned gas, injected into said second gas fitting may be directed toward said wafer through one or more of said second nozzles.
8. The device of claim 1 further comprising a gas injector for injecting a reactant gas into said chamber, said gas injector comprising:
a top plate having a plurality of independently rotatable nozzles and a plurality of first gas lines, each of said gas lines being connected to an associated one of said nozzles; and
a shaft comprising a second gas line having a first end connected to each of said first gas lines and having a second end connected to a gas fitting, wherein said gas is injected into said gas fitting and is directed toward said wafer through one or more of said nozzles.
9. The device of claim 8 further comprising a plurality of controls, each of said controls being connected to an associated one of said first gas lines for controlling the velocity of gas flowing through each of said associated nozzles. .Iadd.
10. The device of claim 1 further comprising a window for passage of radiant heat energy from at least one of the heat sources to at least one of the thermal plates, a gas injector for injecting a reactant gas into said chamber, and an inert gas injector for infecting an inert gas between the window and at least one of the thermal plates that receives heat energy through the window, thereby creating a positive inert gas pressure to reduce reaction of the reactant gas between the window and the heat receiving thermal plates. .Iaddend..Iadd.11. The device of claim 6 further comprising:
(a) a window for passage of radiant heat energy from at least one of the heat sources to at least one of the thermal plates;
(b) a gas injector for injecting a reactant gas into said chamber; and
(c) an inert gas injector for injecting an inert gas between the window and at least one of the thermal plates that receives heat energy through the window, thereby creating a positive inert gas pressure to reduce reaction of the reactant gas between the window and the heat receiving thermal plates. .Iaddend..Iadd.12. The device of claim 7 further comprising:
(a) a window for passage of radiant heat energy from at least one of the heat sources to at least one of the thermal plates; and
(b) an inert gas injector for injecting an inert gas between the window and at least one of the thermal plates that receives heat energy through the window, thereby creating a positive inert gas pressure to reduce reaction of the reactant gas between the window and the heat receiving thermal plates. .Iaddend..Iadd.13. The device of claim 8 further comprising:
(a) a window for passage of radiant heat energy from at least one of the heat sources to at least one of the thermal plates; and
(b) an inert gas injector for injecting an inert gas between the window and at least one of the thermal plates that receives heat energy through the window, thereby creating a positive inert gas pressure to reduce reaction of the reactant gas between the window and the heat receiving thermal plates. .Iaddend..Iadd.14. The device of claim 8 further comprising a liquid cooling system for cooling the gas injector. .Iaddend..Iadd.15. The device of claim 14 wherein the cooling system includes a liquid channel to permit circulation of liquid to cool the gas injector. .Iaddend..Iadd.16. The device of claim 10 further comprising a liquid cooling system for cooling the gas injector. .Iaddend..Iadd.17. The device of claim 16 wherein the cooling system includes a liquid channel to permit circulation of liquid to cool the gas injector. .Iaddend.
US09/148,153 1995-08-18 1998-09-03 Method and apparatus for cold wall chemical vapor deposition Expired - Lifetime USRE36957E (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/148,153 USRE36957E (en) 1995-08-18 1998-09-03 Method and apparatus for cold wall chemical vapor deposition

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/517,045 US5551985A (en) 1995-08-18 1995-08-18 Method and apparatus for cold wall chemical vapor deposition
US09/148,153 USRE36957E (en) 1995-08-18 1998-09-03 Method and apparatus for cold wall chemical vapor deposition

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US08/517,045 Reissue US5551985A (en) 1995-08-18 1995-08-18 Method and apparatus for cold wall chemical vapor deposition

Publications (1)

Publication Number Publication Date
USRE36957E true USRE36957E (en) 2000-11-21

Family

ID=24058153

Family Applications (2)

Application Number Title Priority Date Filing Date
US08/517,045 Ceased US5551985A (en) 1995-08-18 1995-08-18 Method and apparatus for cold wall chemical vapor deposition
US09/148,153 Expired - Lifetime USRE36957E (en) 1995-08-18 1998-09-03 Method and apparatus for cold wall chemical vapor deposition

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US08/517,045 Ceased US5551985A (en) 1995-08-18 1995-08-18 Method and apparatus for cold wall chemical vapor deposition

Country Status (7)

Country Link
US (2) US5551985A (en)
EP (1) EP0850323B1 (en)
JP (1) JP4108748B2 (en)
KR (1) KR100400488B1 (en)
AU (1) AU6843096A (en)
DE (1) DE69634539T2 (en)
WO (1) WO1997007259A1 (en)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6454866B1 (en) * 1995-09-01 2002-09-24 Asm America, Inc. Wafer support system
US6472643B1 (en) * 2000-03-07 2002-10-29 Silicon Valley Group, Inc. Substrate thermal management system
US20020185225A1 (en) * 2001-05-28 2002-12-12 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
US20030044616A1 (en) * 1998-10-29 2003-03-06 Shin-Etsu Handotai, Co., Ltd. Semiconductor wafer and vapor phase growth apparatus
US20030124820A1 (en) * 2001-04-12 2003-07-03 Johnsgard Kristian E. Systems and methods for epitaxially depositing films on a semiconductor substrate
US20030134038A1 (en) * 1997-08-11 2003-07-17 Paranjpe Ajit P. Method and apparatus for layer by layer deposition of thin films
US6709523B1 (en) * 1999-11-18 2004-03-23 Tokyo Electron Limited Silylation treatment unit and method
US6709267B1 (en) 2002-12-27 2004-03-23 Asm America, Inc. Substrate holder with deep annular groove to prevent edge heat loss
US6717113B2 (en) 2000-03-07 2004-04-06 Asml Holding N.V. Method for substrate thermal management
US20060060920A1 (en) * 2004-09-17 2006-03-23 Applied Materials, Inc. Poly-silicon-germanium gate stack and method for forming the same
US7312156B2 (en) 1996-07-08 2007-12-25 Asm International N.V. Method and apparatus for supporting a semiconductor wafer during processing
US20080178810A1 (en) * 2006-11-27 2008-07-31 Kenjiro Koizumi Gas introducing mechanism and processing apparatus for processing object to be processed
US20090194024A1 (en) * 2008-01-31 2009-08-06 Applied Materials, Inc. Cvd apparatus
US20130256292A1 (en) * 2012-03-30 2013-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. Honey Cone Heaters for Integrated Circuit Manufacturing
US20160362813A1 (en) * 2015-06-12 2016-12-15 Applied Materials, Inc. Injector for semiconductor epitaxy growth

Families Citing this family (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6086680A (en) * 1995-08-22 2000-07-11 Asm America, Inc. Low-mass susceptor
US5861609A (en) * 1995-10-02 1999-01-19 Kaltenbrunner; Guenter Method and apparatus for rapid thermal processing
TW356554B (en) * 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
US6121579A (en) * 1996-02-28 2000-09-19 Tokyo Electron Limited Heating apparatus, and processing apparatus
US6072160A (en) * 1996-06-03 2000-06-06 Applied Materials, Inc. Method and apparatus for enhancing the efficiency of radiant energy sources used in rapid thermal processing of substrates by energy reflection
US6108490A (en) * 1996-07-11 2000-08-22 Cvc, Inc. Multizone illuminator for rapid thermal processing with improved spatial resolution
US5937142A (en) * 1996-07-11 1999-08-10 Cvc Products, Inc. Multi-zone illuminator for rapid thermal processing
US5951896A (en) * 1996-12-04 1999-09-14 Micro C Technologies, Inc. Rapid thermal processing heater technology and method of use
US5831249A (en) * 1997-01-29 1998-11-03 Advanced Micro Devices, Inc. Secondary measurement of rapid thermal annealer temperature
DE19711702C1 (en) * 1997-03-20 1998-06-25 Siemens Ag Semiconductor substrate wafer processing apparatus e.g. for rapid thermal processing
US5944422A (en) * 1997-07-11 1999-08-31 A. G. Associates (Israel) Ltd. Apparatus for measuring the processing temperature of workpieces particularly semiconductor wafers
US5960158A (en) 1997-07-11 1999-09-28 Ag Associates Apparatus and method for filtering light in a thermal processing chamber
US5870526A (en) * 1997-07-17 1999-02-09 Steag-Ast Inflatable elastomeric element for rapid thermal processing (RTP) system
US6352594B2 (en) 1997-08-11 2002-03-05 Torrex Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
US6167837B1 (en) * 1998-01-15 2001-01-02 Torrex Equipment Corp. Apparatus and method for plasma enhanced chemical vapor deposition (PECVD) in a single wafer reactor
US6780464B2 (en) 1997-08-11 2004-08-24 Torrex Equipment Thermal gradient enhanced CVD deposition at low pressure
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US6235652B1 (en) * 1997-08-11 2001-05-22 Torrex Equipment Corporation High rate silicon dioxide deposition at low pressures
US6287635B1 (en) * 1997-08-11 2001-09-11 Torrex Equipment Corp. High rate silicon deposition method at low pressures
US6352593B1 (en) 1997-08-11 2002-03-05 Torrex Equipment Corp. Mini-batch process chamber
US6165273A (en) * 1997-10-21 2000-12-26 Fsi International Inc. Equipment for UV wafer heating and photochemistry
US5965047A (en) * 1997-10-24 1999-10-12 Steag Ast Rapid thermal processing (RTP) system with rotating substrate
KR100660416B1 (en) * 1997-11-03 2006-12-22 에이에스엠 아메리카, 인코포레이티드 Improved low mass wafer support system
KR100551980B1 (en) * 1997-11-03 2006-02-20 에이에스엠 아메리카, 인코포레이티드 Method and Apparatus of Processing Wafers with Low Mass Support
US6833280B1 (en) 1998-03-13 2004-12-21 Micron Technology, Inc. Process for fabricating films of uniform properties on semiconductor devices
US6596086B1 (en) 1998-04-28 2003-07-22 Shin-Etsu Handotai Co., Ltd. Apparatus for thin film growth
US5930456A (en) 1998-05-14 1999-07-27 Ag Associates Heating device for semiconductor wafers
US5970214A (en) 1998-05-14 1999-10-19 Ag Associates Heating device for semiconductor wafers
US6210484B1 (en) 1998-09-09 2001-04-03 Steag Rtp Systems, Inc. Heating device containing a multi-lamp cone for heating semiconductor wafers
PE20001145A1 (en) * 1998-09-10 2000-10-25 American Cyanamid Co FUNGICIDE MIXTURES
EP1123423B1 (en) * 1998-09-16 2007-08-01 Applied Materials, Inc. High rate silicon deposition method at low pressures
US6319569B1 (en) 1998-11-30 2001-11-20 Howmet Research Corporation Method of controlling vapor deposition substrate temperature
US6771895B2 (en) 1999-01-06 2004-08-03 Mattson Technology, Inc. Heating device for heating semiconductor wafers in thermal processing chambers
US6281141B1 (en) 1999-02-08 2001-08-28 Steag Rtp Systems, Inc. Process for forming thin dielectric layers in semiconductor devices
KR100328820B1 (en) * 1999-02-25 2002-03-14 박종섭 Gas injection apparatus of chemical vapor deposition device
US6812157B1 (en) * 1999-06-24 2004-11-02 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
WO2000079019A1 (en) * 1999-06-24 2000-12-28 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
US6359263B2 (en) * 1999-09-03 2002-03-19 Steag Rtp Systems, Inc. System for controlling the temperature of a reflective substrate during rapid heating
US6259072B1 (en) * 1999-11-09 2001-07-10 Axcelis Technologies, Inc. Zone controlled radiant heating system utilizing focused reflector
US6310323B1 (en) 2000-03-24 2001-10-30 Micro C Technologies, Inc. Water cooled support for lamps and rapid thermal processing chamber
US6455821B1 (en) * 2000-08-17 2002-09-24 Nikon Corporation System and method to control temperature of an article
KR100887813B1 (en) * 2001-02-12 2009-03-09 가부시키가이샤 히다치 고쿠사이 덴키 Ultra fast rapid thermal processing chamber and method of use
US20030121898A1 (en) * 2001-11-26 2003-07-03 Tom Kane Heated vacuum support apparatus
US6776849B2 (en) * 2002-03-15 2004-08-17 Asm America, Inc. Wafer holder with peripheral lift ring
US20030173346A1 (en) * 2002-03-18 2003-09-18 Renken Wayne Glenn System and method for heating and cooling wafer at accelerated rates
US6861321B2 (en) 2002-04-05 2005-03-01 Asm America, Inc. Method of loading a wafer onto a wafer holder to reduce thermal shock
US20040129212A1 (en) * 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
US20050170314A1 (en) * 2002-11-27 2005-08-04 Richard Golden Dental pliers design with offsetting jaw and pad elements for assisting in removing upper and lower teeth and method for removing teeth utilizing the dental plier design
KR101137901B1 (en) * 2003-05-16 2012-05-02 에스브이티 어소시에이츠, 인코포레이티드 Thin-film deposition evaporator
US20040255442A1 (en) * 2003-06-19 2004-12-23 Mcdiarmid James Methods and apparatus for processing workpieces
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7972441B2 (en) 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
JP4788610B2 (en) * 2007-01-17 2011-10-05 東京エレクトロン株式会社 Heating device, coating, developing device, heating method and storage medium
US20080296258A1 (en) * 2007-02-08 2008-12-04 Elliott David J Plenum reactor system
US8801857B2 (en) * 2008-10-31 2014-08-12 Asm America, Inc. Self-centering susceptor ring assembly
US20120073503A1 (en) * 2010-09-24 2012-03-29 Juno Yu-Ting Huang Processing systems and apparatuses having a shaft cover
US20120097222A1 (en) * 2010-10-26 2012-04-26 Alliance For Sustainable Energy, Llc Transparent conducting oxide films with improved properties
CN102485935B (en) * 2010-12-06 2013-11-13 北京北方微电子基地设备工艺研究中心有限责任公司 Vapor chamber and substrate processing equipment applied with the vapor chamber
US20140137801A1 (en) * 2012-10-26 2014-05-22 Applied Materials, Inc. Epitaxial chamber with customizable flow injection
US9239192B2 (en) * 2013-02-20 2016-01-19 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate rapid thermal heating system and methods
KR102063607B1 (en) * 2013-03-12 2020-02-11 삼성전자주식회사 Apparatus for processing wafers
US20150083046A1 (en) * 2013-09-26 2015-03-26 Applied Materials, Inc. Carbon fiber ring susceptor
US11414759B2 (en) 2013-11-29 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd Mechanisms for supplying process gas into wafer process apparatus
KR102350588B1 (en) 2015-07-07 2022-01-14 삼성전자 주식회사 Film forming apparatus having injector
DE102015220127A1 (en) * 2015-10-15 2017-04-20 Wacker Chemie Ag Device for insulating and sealing electrode holders in CVD reactors
EP3184666B1 (en) 2015-12-23 2018-06-13 Singulus Technologies AG System and method for gas phase deposition
EP3497259A1 (en) * 2016-08-09 2019-06-19 Singulus Technologies AG System and method for gas phase deposition
USD920936S1 (en) 2019-01-17 2021-06-01 Asm Ip Holding B.V. Higher temperature vented susceptor
USD914620S1 (en) 2019-01-17 2021-03-30 Asm Ip Holding B.V. Vented susceptor
TW202110587A (en) 2019-05-22 2021-03-16 荷蘭商Asm Ip 控股公司 Workpiece susceptor body and method for purging workpiece susceptor
FI129577B (en) * 2019-06-28 2022-05-13 Beneq Oy An atomic layer deposition apparatus
US11764101B2 (en) 2019-10-24 2023-09-19 ASM IP Holding, B.V. Susceptor for semiconductor substrate processing

Citations (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3830194A (en) * 1972-09-28 1974-08-20 Applied Materials Tech Susceptor support structure and docking assembly
US4125391A (en) * 1976-04-13 1978-11-14 Bfg Glassgroup Process of forming a metal or metal compound coating on a face of a glass substrate and apparatus suitable for use in forming such coating
US4493977A (en) * 1982-09-30 1985-01-15 Ushio Denki Kabushiki Kaisha Method for heating semiconductor wafers by a light-radiant heating furnace
US4503807A (en) * 1983-06-01 1985-03-12 Nippon Telegraph & Telephone Public Corporation Chemical vapor deposition apparatus
US4533820A (en) * 1982-06-25 1985-08-06 Ushio Denki Kabushiki Kaisha Radiant heating apparatus
US4817558A (en) * 1986-07-10 1989-04-04 Kabushiki Kaisha Toshiba Thin-film depositing apparatus
US4828224A (en) * 1987-10-15 1989-05-09 Epsilon Technology, Inc. Chemical vapor deposition system
US4836138A (en) * 1987-06-18 1989-06-06 Epsilon Technology, Inc. Heating system for reaction chamber of chemical vapor deposition equipment
US4854263A (en) * 1987-08-14 1989-08-08 Applied Materials, Inc. Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US4920918A (en) * 1989-04-18 1990-05-01 Applied Materials, Inc. Pressure-resistant thermal reactor system for semiconductor processing
US4958061A (en) * 1988-06-27 1990-09-18 Tokyo Electron Limited Method and apparatus for heat-treating a substrate
US4993358A (en) * 1989-07-28 1991-02-19 Watkins-Johnson Company Chemical vapor deposition reactor and method of operation
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5085887A (en) * 1990-09-07 1992-02-04 Applied Materials, Inc. Wafer reactor vessel window with pressure-thermal compensation
US5113929A (en) * 1990-04-09 1992-05-19 Anelva Corporation Temperature control system for semiconductor wafer or substrate
JPH04243122A (en) * 1991-01-18 1992-08-31 Fujitsu Ltd Chemical vapor growth apparatus
US5148714A (en) * 1990-10-24 1992-09-22 Ag Processing Technology, Inc. Rotary/linear actuator for closed chamber, and reaction chamber utilizing same
US5156820A (en) * 1989-05-15 1992-10-20 Rapro Technology, Inc. Reaction chamber with controlled radiant energy heating and distributed reactant flow
US5179677A (en) * 1990-08-16 1993-01-12 Applied Materials, Inc. Apparatus and method for substrate heating utilizing various infrared means to achieve uniform intensity
JPH0513355A (en) * 1991-07-05 1993-01-22 Hitachi Ltd Lamp annealing device
US5198034A (en) * 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US5215588A (en) * 1992-01-17 1993-06-01 Amtech Systems, Inc. Photo-CVD system
US5269847A (en) * 1990-08-23 1993-12-14 Applied Materials, Inc. Variable rate distribution gas flow reaction chamber
US5305417A (en) * 1993-03-26 1994-04-19 Texas Instruments Incorporated Apparatus and method for determining wafer temperature using pyrometry
US5414244A (en) * 1993-04-21 1995-05-09 Tokyo Electron Limited Semiconductor wafer heat treatment apparatus
US5444815A (en) * 1993-12-16 1995-08-22 Texas Instruments Incorporated Multi-zone lamp interference correction system
US5445675A (en) * 1992-07-09 1995-08-29 Tel-Varian Limited Semiconductor processing apparatus
US5446825A (en) * 1991-04-24 1995-08-29 Texas Instruments Incorporated High performance multi-zone illuminator module for semiconductor wafer processing
US5487127A (en) * 1990-01-19 1996-01-23 Applied Materials, Inc. Rapid thermal heating apparatus and method utilizing plurality of light pipes
US5970213A (en) * 1993-03-02 1999-10-19 Balzers Und Leybold Deutschland Holding Aktiengesellscaft Apparatus for heating a transparent substrate utilizing an incandescent lamp and a heating disk emitting infrared wavelengths

Patent Citations (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3830194A (en) * 1972-09-28 1974-08-20 Applied Materials Tech Susceptor support structure and docking assembly
US4125391A (en) * 1976-04-13 1978-11-14 Bfg Glassgroup Process of forming a metal or metal compound coating on a face of a glass substrate and apparatus suitable for use in forming such coating
US4533820A (en) * 1982-06-25 1985-08-06 Ushio Denki Kabushiki Kaisha Radiant heating apparatus
US4493977A (en) * 1982-09-30 1985-01-15 Ushio Denki Kabushiki Kaisha Method for heating semiconductor wafers by a light-radiant heating furnace
US4503807A (en) * 1983-06-01 1985-03-12 Nippon Telegraph & Telephone Public Corporation Chemical vapor deposition apparatus
US4817558A (en) * 1986-07-10 1989-04-04 Kabushiki Kaisha Toshiba Thin-film depositing apparatus
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5198034A (en) * 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US4836138A (en) * 1987-06-18 1989-06-06 Epsilon Technology, Inc. Heating system for reaction chamber of chemical vapor deposition equipment
US4854263A (en) * 1987-08-14 1989-08-08 Applied Materials, Inc. Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US4828224A (en) * 1987-10-15 1989-05-09 Epsilon Technology, Inc. Chemical vapor deposition system
US4958061A (en) * 1988-06-27 1990-09-18 Tokyo Electron Limited Method and apparatus for heat-treating a substrate
US4920918A (en) * 1989-04-18 1990-05-01 Applied Materials, Inc. Pressure-resistant thermal reactor system for semiconductor processing
US5156820A (en) * 1989-05-15 1992-10-20 Rapro Technology, Inc. Reaction chamber with controlled radiant energy heating and distributed reactant flow
US4993358A (en) * 1989-07-28 1991-02-19 Watkins-Johnson Company Chemical vapor deposition reactor and method of operation
US5487127A (en) * 1990-01-19 1996-01-23 Applied Materials, Inc. Rapid thermal heating apparatus and method utilizing plurality of light pipes
US5113929A (en) * 1990-04-09 1992-05-19 Anelva Corporation Temperature control system for semiconductor wafer or substrate
US5179677A (en) * 1990-08-16 1993-01-12 Applied Materials, Inc. Apparatus and method for substrate heating utilizing various infrared means to achieve uniform intensity
US5269847A (en) * 1990-08-23 1993-12-14 Applied Materials, Inc. Variable rate distribution gas flow reaction chamber
US5085887A (en) * 1990-09-07 1992-02-04 Applied Materials, Inc. Wafer reactor vessel window with pressure-thermal compensation
US5148714A (en) * 1990-10-24 1992-09-22 Ag Processing Technology, Inc. Rotary/linear actuator for closed chamber, and reaction chamber utilizing same
JPH04243122A (en) * 1991-01-18 1992-08-31 Fujitsu Ltd Chemical vapor growth apparatus
US5446825A (en) * 1991-04-24 1995-08-29 Texas Instruments Incorporated High performance multi-zone illuminator module for semiconductor wafer processing
JPH0513355A (en) * 1991-07-05 1993-01-22 Hitachi Ltd Lamp annealing device
US5215588A (en) * 1992-01-17 1993-06-01 Amtech Systems, Inc. Photo-CVD system
US5445675A (en) * 1992-07-09 1995-08-29 Tel-Varian Limited Semiconductor processing apparatus
US5970213A (en) * 1993-03-02 1999-10-19 Balzers Und Leybold Deutschland Holding Aktiengesellscaft Apparatus for heating a transparent substrate utilizing an incandescent lamp and a heating disk emitting infrared wavelengths
US5305417A (en) * 1993-03-26 1994-04-19 Texas Instruments Incorporated Apparatus and method for determining wafer temperature using pyrometry
US5414244A (en) * 1993-04-21 1995-05-09 Tokyo Electron Limited Semiconductor wafer heat treatment apparatus
US5444815A (en) * 1993-12-16 1995-08-22 Texas Instruments Incorporated Multi-zone lamp interference correction system

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6491757B2 (en) 1995-09-01 2002-12-10 Asm America, Inc. Wafer support system
US6454866B1 (en) * 1995-09-01 2002-09-24 Asm America, Inc. Wafer support system
US7312156B2 (en) 1996-07-08 2007-12-25 Asm International N.V. Method and apparatus for supporting a semiconductor wafer during processing
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US20030134038A1 (en) * 1997-08-11 2003-07-17 Paranjpe Ajit P. Method and apparatus for layer by layer deposition of thin films
US6814811B2 (en) * 1998-10-29 2004-11-09 Shin-Etsu Handotai Co., Ltd. Semiconductor wafer and vapor phase growth apparatus
US20030044616A1 (en) * 1998-10-29 2003-03-06 Shin-Etsu Handotai, Co., Ltd. Semiconductor wafer and vapor phase growth apparatus
US6872670B2 (en) 1999-11-18 2005-03-29 Tokyo Electron Limited Silylation treatment unit and method
US6709523B1 (en) * 1999-11-18 2004-03-23 Tokyo Electron Limited Silylation treatment unit and method
US6717113B2 (en) 2000-03-07 2004-04-06 Asml Holding N.V. Method for substrate thermal management
US6472643B1 (en) * 2000-03-07 2002-10-29 Silicon Valley Group, Inc. Substrate thermal management system
US20030124820A1 (en) * 2001-04-12 2003-07-03 Johnsgard Kristian E. Systems and methods for epitaxially depositing films on a semiconductor substrate
US6902622B2 (en) * 2001-04-12 2005-06-07 Mattson Technology, Inc. Systems and methods for epitaxially depositing films on a semiconductor substrate
US6869499B2 (en) * 2001-05-28 2005-03-22 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
US20020185225A1 (en) * 2001-05-28 2002-12-12 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
US6709267B1 (en) 2002-12-27 2004-03-23 Asm America, Inc. Substrate holder with deep annular groove to prevent edge heat loss
US7354848B2 (en) 2004-09-17 2008-04-08 Applied Materials, Inc. Poly-silicon-germanium gate stack and method for forming the same
US20060060920A1 (en) * 2004-09-17 2006-03-23 Applied Materials, Inc. Poly-silicon-germanium gate stack and method for forming the same
US20080178810A1 (en) * 2006-11-27 2008-07-31 Kenjiro Koizumi Gas introducing mechanism and processing apparatus for processing object to be processed
US7887670B2 (en) * 2006-11-27 2011-02-15 Tokyo Electron Limited Gas introducing mechanism and processing apparatus for processing object to be processed
US20090194024A1 (en) * 2008-01-31 2009-08-06 Applied Materials, Inc. Cvd apparatus
US20130256292A1 (en) * 2012-03-30 2013-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. Honey Cone Heaters for Integrated Circuit Manufacturing
US9960059B2 (en) * 2012-03-30 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Honeycomb heaters for integrated circuit manufacturing
US20160362813A1 (en) * 2015-06-12 2016-12-15 Applied Materials, Inc. Injector for semiconductor epitaxy growth

Also Published As

Publication number Publication date
DE69634539D1 (en) 2005-05-04
EP0850323A1 (en) 1998-07-01
KR100400488B1 (en) 2003-12-18
KR19990037680A (en) 1999-05-25
US5551985A (en) 1996-09-03
JPH11511207A (en) 1999-09-28
JP4108748B2 (en) 2008-06-25
AU6843096A (en) 1997-03-12
WO1997007259A1 (en) 1997-02-27
DE69634539T2 (en) 2006-03-30
EP0850323A4 (en) 2000-10-18
EP0850323B1 (en) 2005-03-30

Similar Documents

Publication Publication Date Title
USRE36957E (en) Method and apparatus for cold wall chemical vapor deposition
US6352593B1 (en) Mini-batch process chamber
US5493987A (en) Chemical vapor deposition reactor and method
CN111211074B (en) Gas flow control liner with spatially distributed gas passages
JP3051941B2 (en) Equipment for processing semiconductor wafers
JP3324754B2 (en) Method and apparatus for performing temperature controlled processing on a semiconductor wafer
US11057963B2 (en) Lamp infrared radiation profile control by lamp filament design and positioning
US6352594B2 (en) Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
US5790750A (en) Profiled substrate heating utilizing a support temperature and a substrate temperature
US5892886A (en) Apparatus for uniform gas and radiant heat dispersion for solid state fabrication processes
US20030049372A1 (en) High rate deposition at low pressures in a small batch reactor
JP3248912B2 (en) CVD reactor
US4858558A (en) Film forming apparatus
EP1067587B1 (en) Thermally processing a substrate
KR20000069146A (en) Chemical vapor deposition apparatus
KR19980081271A (en) Borehole liner for heating exhaust gases in a single substrate reactor
KR101699690B1 (en) Quartz window having gas feed and processing equipment incorporating same
JP3551609B2 (en) Heat treatment equipment
US6780464B2 (en) Thermal gradient enhanced CVD deposition at low pressure
JPH09237763A (en) Single wafer processing heat treatment apparatus
CA1313813C (en) Film forming apparatus
TW202405228A (en) Actively controlled pre-heat ring for process temperature control
JP2001039794A (en) Epitaxial growing furnace
JPH03287772A (en) Single wafer processing atmospheric cvd device

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 8

FEPP Fee payment procedure

Free format text: PAT HOLDER NO LONGER CLAIMS SMALL ENTITY STATUS, ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: STOL); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

REFU Refund

Free format text: REFUND - PAYMENT OF MAINTENANCE FEE, 12TH YR, SMALL ENTITY (ORIGINAL EVENT CODE: R2553); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 12

SULP Surcharge for late payment

Year of fee payment: 11