US9640665B2 - Fin FET and method of fabricating same - Google Patents

Fin FET and method of fabricating same Download PDF

Info

Publication number
US9640665B2
US9640665B2 US14/931,490 US201514931490A US9640665B2 US 9640665 B2 US9640665 B2 US 9640665B2 US 201514931490 A US201514931490 A US 201514931490A US 9640665 B2 US9640665 B2 US 9640665B2
Authority
US
United States
Prior art keywords
active region
fin active
fin
gate
recess
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
US14/931,490
Other versions
US20160056296A1 (en
Inventor
Keun-Nam Kim
Hung-mo Yang
Choong-ho Lee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=34825066&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=US9640665(B2) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Priority to US14/931,490 priority Critical patent/US9640665B2/en
Assigned to SAMSUNG ELECTRONICS CO., LTD reassignment SAMSUNG ELECTRONICS CO., LTD ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KIM, KEUN-NAM, LEE, CHOONG-HO, YANG, HUNG-MO
Publication of US20160056296A1 publication Critical patent/US20160056296A1/en
Priority to US15/494,845 priority patent/US9893190B2/en
Application granted granted Critical
Publication of US9640665B2 publication Critical patent/US9640665B2/en
Assigned to INTELLECTUAL KEYSTONE TECHNOLOGY LLC reassignment INTELLECTUAL KEYSTONE TECHNOLOGY LLC LICENSE (SEE DOCUMENT FOR DETAILS). Assignors: SAMSUNG ELECTRONICS CO., LTD.
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7856Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with an non-uniform gate, e.g. varying doping structure, shape or composition on different sides of the fin, or different gate insulator thickness or composition on opposing fin sides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • H01L29/7854Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection with rounded corners

Definitions

  • This disclosure relates to a semiconductor memory device, and more particularly, to a fin field effect transistor where a fin type active region is formed.
  • fin field effect transistors that have a fin-type active region are formed on an SOI (Silicon On Insulator) silicon substrate and then a gate electrode is formed on the fin region.
  • SOI Silicon On Insulator
  • Such a fin FET can effectively control a leakage current generated in a channel and can ensure a channel length, preventing or substantially reducing a short channel effect and improving swing characteristics of the transistor and decreasing a leakage current.
  • the fin FET is formed on the SOI silicon substrate because the price of SOI wafers is higher than bulk wafers and the parasitic source/drain resistance increases.
  • a channel formation body of the semiconductor device is not connected to the SOI substrate according to a characteristic of the SOI device, thus a floating body effect is present, and heat generated in the device that is typically conducted to the SOI silicon substrate is cut off by an oxide layer formed on the SOI silicon substrate, thus degrading the performance of the semiconductor device.
  • Embodiments of the invention address these and other disadvantages of the conventional art.
  • Exemplary embodiments of the invention provide a method of forming a fin field effect transistor (fin FET) by using a bulk silicon substrate, and a structure thereof.
  • the fin FET is formed by forming a recess having a predetermined depth in a fin active region through use of the bulk silicon substrate, and then by forming a gate on an upper part of the recess, thereby guaranteeing a length of top channel formed under the gate.
  • lengths of top channel and bottom channel are uniformly formed in the fin active region by increasing a length of the top channel, thus improving swing characteristics of the fin FET.
  • the top channel is formed lower than a source/drain region, thus improving a drain induced barrier lowering (DIBL) and reducing an electric field of channel and source/drain.
  • DIBL drain induced barrier lowering
  • the increase of top channel length can also reduce an impurity ion implantation amount of a threshold voltage control region formed under a gate, reducing a junction leakage current of the fin FET and improving refresh characteristics.
  • a threshold voltage control region formed under a gate
  • reducing a junction leakage current of the fin FET and improving refresh characteristics In forming the recess having a predetermined depth in the fin active region there is no need to use a specific etch stop layer, thus simplifying a fabrication process of the fin FET.
  • An upper edge portion of the fin active region is rounded, thereby preventing an electric field concentration onto an edge portion and a punch-through in the channel, and uniformly forming a gate insulation layer on an upper part of the fin active region.
  • FIG. 1 is a layout diagram of a fin FET according to some embodiments of the invention.
  • FIGS. 2 a to 10 a are sectional diagrams illustrating exemplary sequential processes for forming the fin FET of FIG. 1 , taken along the line I-I′ of FIG. 1 .
  • FIGS. 2 b to 10 b , and FIG. 11 are sectional diagrams illustrating exemplary sequential processes for forming the fin FET of FIG. 1 , taken along the line II-II′ of FIG. 1 .
  • FIG. 1 is a layout diagram illustrating a fin FET according to some embodiments of the invention.
  • gate electrodes 20 are patterned and disposed in parallel with one another along lines that extend in a first direction, for example, the line Fin active regions 10 are disposed along parallel lines that extend in a second direction, for example, the line I-I′.
  • the second direction is substantially perpendicular to the first direction.
  • the fin active regions 10 are not continuous along the parallel lines that extend in the second direction, but rather the fin active regions 10 are surrounded by a non-active region 30 .
  • the fin active regions 10 protrude in a fin shape with step coverage with the non-active region 30 .
  • the fin active regions 10 are disposed in a diagonal configuration. That is, a line passing through the centers of a fin active region 10 and its closest neighboring fin active region 10 is neither parallel nor perpendicular to the first direction and the second direction, but rather has some angular offset with respect to the first and second directions.
  • Adjacent fin active regions 10 are disposed uniformly, that is, a fin active region 10 and its nearest adjacent neighbors are separated by the same distance.
  • the gate electrodes 20 are disposed substantially perpendicular to a length direction of the fin active region 10 . Two gate electrodes 20 cross over every fin active region 10 .
  • FIGS. 2 a to 10 a are sectional diagrams illustrating exemplary sequential processes for forming the fin FET of FIG. 1 , taken along the line I-I′ of FIG. 1 .
  • FIGS. 2 b to 10 b and FIG. 11 are sectional diagrams illustrating exemplary sequential processes for forming the fin FET of FIG. 1 , taken along the line II-IF of FIG. 1 .
  • a device isolation film 106 for defining a non-active region and a fin active region is formed in a p-type bulk silicon substrate 100 .
  • the device isolation film 106 is obtained by a device isolation method (e.g., a Shallow Trench Isolation, or STI) where a trench having a predetermined depth is formed in the non-active region of the substrate, a first oxide layer 102 and a nitride layer 104 are sequentially accumulated on a bottom and a sidewall of the trench, and then an insulation layer is deposited in the trench to form the device isolation film 106 .
  • a device isolation method e.g., a Shallow Trench Isolation, or STI
  • the device isolation film 106 may be formed to a depth of about 2500 ⁇ to 3000 ⁇ , and may be formed of any one oxide layer chosen from the group consisting of SOG (Spin-On Glass), USG (Undoped Silicate Glass), BPSG (Boro-Phospo-Silicate Glass), PSG (Phospho-Silicate Glass), PE-TEOS (Plasma Enhanced—TetraEthyl OrthoSilicate), and liquid oxide layer material.
  • the device isolation film 106 may be formed of a multi-layer involving two or more of the oxide layer group defined above.
  • an anti-reflective coating (ARC) layer 108 and a photoresist layer are sequentially formed, and then a photoresist pattern 110 is formed to expose a gate formation part through a photolithography process.
  • the ARC layer 108 may be generally formed to enhance a resolution of the photolithography process, before depositing the photoresist layer.
  • the ARC layer 108 , the second oxide layer 107 , the device isolation film 106 , and the substrate 100 are sequentially etched by using the photoresist pattern 110 as an etch mask, thus forming a first recess 130 having a predetermined depth from an upper surface of the substrate to a portion of the device isolation film 106 , and also forming a second recess 140 having a depth from the upper surface of the substrate to a portion of the fin active region that is shallower than the first recess.
  • the first recess 130 may be formed to a depth of about 1000 ⁇ to 1500 ⁇ , considering a height of the fin active region.
  • the second recess 140 may be formed to a depth of about 300 ⁇ to 350 ⁇ to ensure a sufficient length of a top channel that is formed under a gate electrode, and may be formed by a dual recess type having two recesses in the active region surrounded by the non-active region. Also, since only the ARC layer 108 and the second oxide layer 107 are formed on the substrate, an upper edge portion 109 of the fin active region is rounded when etching of the oxide layer 107 and the substrate 100 occurs. The rounded upper edge portion 109 prevents an electric field concentration on the edge portion, prevents channel punch-through, and uniformly forms a gate insulation layer on the fin active region.
  • p-type impurities are ion implanted by using the photoresist and an anti-reflective coating layer pattern as an ion implantation mask, to thus form a threshold voltage control region.
  • B or BF 2 ions may be implanted in the fin active region with an energy of about 30 KeV to 50 KeV and having a density of about 1.0 ⁇ 10 12 to 1.0 ⁇ 10 13 ion atoms/cm 2 , thus resulting in a formation of the threshold voltage control region having a density of about 1.0 ⁇ 10 13 ion atoms/cm 3 .
  • the threshold voltage control region is formed in a lower part of the second recess, which guarantees a sufficient length for the top channel. Thus, the amount of ion impurities to be implanted may be reduced.
  • the photoresist pattern 110 and the ARC layer 108 are removed by, e.g., an ashing or strip process. This leaves a portion of the nitride layer 104 a and a portion of the first oxide layer 102 a exposed on a sidewall of the fin active region, as shown in FIG. 6 b.
  • the portion of the nitride layer 104 a that is exposed on a sidewall of the fin active region is removed, then the portion of the first oxide layer 102 a that is exposed on the sidewall of the fin active region is removed along with the second oxide layer 107 .
  • the nitride layer 104 a may be removed by a wet etching using H 3 PO 4
  • the first and second oxide layers 102 a and 107 may be removed by a wet etching using HF.
  • a fin active region 111 has step coverage and protrudes with a predetermined height from the surrounding device isolation film 106 .
  • a gate insulation layer 112 is formed within the second recess 140 .
  • the gate insulation layer 112 is formed of oxide layer material, and may be formed by thermally oxidizing a bottom face of the recess 140 or by a deposition method such as a chemical vapor deposition (CVD) or a sputtering etc.
  • a first gate conductive layer 114 a first gate conductive layer 114 , a second gate conductive layer 116 , and a capping layer 118 , each of which have a predetermined thickness, are sequentially formed on the substrate.
  • the first gate conductive layer 114 may be formed by a general deposition method such as CVD, low pressure chemical vapor deposition (LPCVD), or plasma enhanced chemical vapor deposition (PECVD), and may be formed of polysilicon material.
  • the second gate conductive layer 116 may be formed by a general deposition method, or it may be formed of a metal such as tungsten (W) or of a silicide layer having a metal such as Ti, Ta, W, Ni, Cr, Ir or Ru.
  • the first and second conductive layers 114 and 116 constitute a gate electrode, and may be formed of a single layer of polysilicon material.
  • the capping layer 118 may be formed of silicon nitride layer material through a process such as, e.g., CVD, LPCVD, PECVD, a semi-atmospheric chemical vapor deposition (SACVD), a sputtering method, or an atomic layer deposition.
  • a photolithography and etching process is performed, to thus form a gate stack 119 that has a gate conductive layer 114 that extends to an upper surface of the fin active region 111 and has a capping layer 118 on the gate conductive layer, within the second recess.
  • n-type impurities such as P (phosphorous) or As (Arsenic), etc.
  • P (phosphorous) or As (Arsenic) is ion implanted at an energy of about 10 KeV to 20 KeV and to a density of about 1.0 ⁇ 10 15 to 3.0 ⁇ 10 15 ion atoms/cm 2 , by using the gate spacer 122 as an ion implantation mask, to thus form an n+ type source/drain region 120 of a high density in the fin active region of both sides of the gate electrode.
  • n type impurities are ion-implanted at a relatively low energy and to a relatively low density compared to the source/drain regions 120 , thus forming a low density n-type source/drain region.
  • a n+ type source/drain region having a density higher than the low density n-type source/drain region is formed on a portion of the low density n-type source/drain region.
  • LDD Lightly Doped Drain
  • the method of forming a fin FET provides a fin field effect transistor (fin FET), including the device isolation film 106 defining a fin active region and a non-active region on a bulk silicon substrate; the fin active region 111 having a protrusion shape with step coverage of a predetermined height with the device isolation film; the gate electrodes 114 and 116 , which have a predetermined depth from a surface of the fin active region and is extended to an upper surface of the fin active region; the gate insulation layer 112 formed under the gate electrode; and the source/drain region formed in the fin active region of both sides of the gate electrode.
  • fin FET fin field effect transistor
  • An uppermost part of the fin active region is formed higher by about 1000 ⁇ to 1500 ⁇ than an uppermost part of the device isolation film.
  • An upper edge portion of the fin active region is rounding processed.
  • the gate electrode has a depth of about 300 ⁇ to 350 ⁇ from an upper surface of the fin active region, and a bottom face of the gate electrode is rounding processed.
  • the gate electrode has a dual gate structure.
  • the fin FET is formed by using a bulk silicon substrate, that is, a recess having a predetermined depth is formed in a fin active region, and then a gate is formed in an upper part of the recess such that a length of top channel formed under the gate is sufficiently guaranteed. Also, lengths of top channel and bottom channel are uniformly formed in the fin active region by increasing a length of the top channel, thus improving swing characteristics of the fin FET.
  • the top channel is formed lower than a source/drain region, thus improving a drain induced barrier lowering (DIBL) and reducing an electric field of channel and source/drain together with enhancing characteristics of the fin FET.
  • DIBL drain induced barrier lowering
  • the length increase of top channel can also reduce an impurity ion implantation amount of a threshold voltage control region formed under a gate, thus reducing a junction leakage current of the fin FET and improving refresh characteristics.
  • a specific etch stop layer is unnecessary, thus simplifying a fabrication process of the fin FET.
  • An upper edge portion of the fin active region is rounding processed, whereby preventing an electric field concentration onto an edge portion and a punch-through of channel, and uniformly forming a gate insulation layer on the fin active region.
  • a recess having a predetermined depth is formed in a fin active region and then a gate is formed in an upper part of the recess, thereby sufficiently guaranteeing a length of top channel formed under the gate.
  • a length of top channel increases, thereby uniformly forming lengths of top and bottom channels in the fin active region, and improving swing characteristics of the fin FET.
  • the top channel is formed at a position lower than a source/drain region, thus improving a drain induced barrier lowering (DIBL) and reducing an electric field of channel and source/drain, with enhancing characteristics of the fin FET.
  • DIBL drain induced barrier lowering
  • a junction leakage current of the fin FET is reduced and a refresh characteristic is enhanced by increasing a top channel length and so by reducing an impurity ion implantation amount of a threshold voltage control region formed under a gate.
  • a specific etch stop layer is unnecessary, thus simplifying a fabrication process of fin FET. Also, an upper edge portion of the fin active region is rounding processed, whereby preventing an electric field concentration onto an edge portion and a punch-through of channel, and uniformly forming a gate insulation layer on an upper part of the fin active region.
  • Embodiments of the invention may be practiced in many ways. What follows are exemplary, non-limiting descriptions of some of these embodiments.
  • An embodiment of the invention provides a method of forming a fin FET by using a bulk silicon substrate.
  • the method includes forming a device isolation film for defining a non-active region and a fin active region in a predetermined region of the substrate; forming a first recess having a predetermined depth from an upper surface of the substrate on a portion of the device isolation film, and a second recess having a depth shallower than the first recess on a portion of the fin active region; forming a gate insulation layer within the second recess; forming a gate in an upper part of the second recess; and forming a source/drain region in the fin active region of both sides of the gate.
  • fin FET fin field effect transistor
  • the structure includes a fin active region having a protrusion shape with step coverage of a predetermined height with the device isolation film, in the device isolation film; a gate electrode, which has a predetermined depth from a surface of the fin active region and is extended to an upper surface of the fin active region; a gate insulation layer formed under the gate electrode; and a source/drain region formed in the fin active region of both sides of the gate electrode.
  • a fin FET may be formed by using a substrate and impurities of contrary conductive type and may be provided as a plurality of fin FETs connected to a capacitor, to constitute a memory cell.
  • a fin FET may be formed by using a substrate and impurities of contrary conductive type and may be provided as a plurality of fin FETs connected to a capacitor, to constitute a memory cell.

Abstract

A fin field effect transistor (fin FET) is formed using a bulk silicon substrate and sufficiently guarantees a top channel length formed under a gate, by forming a recess having a predetermined depth in a fin active region and then by forming the gate in an upper part of the recess. A device isolation film is formed to define a non-active region and a fin active region in a predetermined region of the substrate. In a portion of the device isolation film a first recess is formed, and in a portion of the fin active region a second recess having a depth shallower than the first recess is formed. A gate insulation layer is formed within the second recess, and a gate is formed in an upper part of the second recess. A source/drain region is formed in the fin active region of both sides of a gate electrode.

Description

CROSS REFERENCE TO RELATED APPLICATIONS
This application is a Continuation Application of U.S. patent application Ser. No. 14/695,672 filed on Apr. 24, 2015, which is a Continuation Application of U.S. patent application Ser. No. 13/429,969 filed on Mar. 26, 2012 now U.S. Pat. No. 9,018,697 which is a Continuation application of U.S. patent application Ser. No. 13/178,308, filed on Jul. 7, 2011, which is a Divisional application of U.S. patent application Ser. No. 12/622,798 filed on Nov. 20, 2009, now U.S. Pat. No. 8,053,833, issued Nov. 8, 2011, which is a Continuation application of continuation of copending U.S. patent application Ser. No. 11/733,704 filed Apr. 10, 2007, now U.S. Pat. No. 7,868,380, issued Jan. 11, 2011, which is a division Divisional application of U.S. patent application Ser. No. 11/050,915, filed on Feb. 4, 2005, now U.S. Pat. No. 7,217,623, issued May 15, 2007, which claims priority from Korean Patent Application No. 10-2004-0007426, filed on Feb. 5, 2004, the disclosures of which are incorporated herein in their entirety by reference.
BACKGROUND OF THE INVENTION
1. Field of the Invention
This disclosure relates to a semiconductor memory device, and more particularly, to a fin field effect transistor where a fin type active region is formed.
2. Description of the Related Art
Recently continuous requirements for semiconductor memory devices having lower power consumption, higher efficiency, and improved speed operation characteristics have brought about a continuously reduced design rule for the purposes of integrating more semiconductor memory devices within a semiconductor chip of a limited size. However, as semiconductor memory devices become increasingly integrated, the channel length of individual devices is gradually reduced. This causes a short channel effect, increases the channel doping density of transistors constituting a memory cell, and also increases the junction leakage current.
To solve these problems, fin field effect transistors (fin FETs) that have a fin-type active region are formed on an SOI (Silicon On Insulator) silicon substrate and then a gate electrode is formed on the fin region. An example of such a device is disclosed in U.S. Pat. No. 6,525,403 entitled “Semiconductor device having MIS field effect transistors or three-dimensional structure”.
Such a fin FET can effectively control a leakage current generated in a channel and can ensure a channel length, preventing or substantially reducing a short channel effect and improving swing characteristics of the transistor and decreasing a leakage current. However, there are still some disadvantages that exist when the fin FET is formed on the SOI silicon substrate because the price of SOI wafers is higher than bulk wafers and the parasitic source/drain resistance increases. Furthermore, a channel formation body of the semiconductor device is not connected to the SOI substrate according to a characteristic of the SOI device, thus a floating body effect is present, and heat generated in the device that is typically conducted to the SOI silicon substrate is cut off by an oxide layer formed on the SOI silicon substrate, thus degrading the performance of the semiconductor device.
Embodiments of the invention address these and other disadvantages of the conventional art.
SUMMARY OF THE INVENTION
Exemplary embodiments of the invention provide a method of forming a fin field effect transistor (fin FET) by using a bulk silicon substrate, and a structure thereof. The fin FET is formed by forming a recess having a predetermined depth in a fin active region through use of the bulk silicon substrate, and then by forming a gate on an upper part of the recess, thereby guaranteeing a length of top channel formed under the gate. Also, lengths of top channel and bottom channel are uniformly formed in the fin active region by increasing a length of the top channel, thus improving swing characteristics of the fin FET. The top channel is formed lower than a source/drain region, thus improving a drain induced barrier lowering (DIBL) and reducing an electric field of channel and source/drain. The increase of top channel length can also reduce an impurity ion implantation amount of a threshold voltage control region formed under a gate, reducing a junction leakage current of the fin FET and improving refresh characteristics. In forming the recess having a predetermined depth in the fin active region there is no need to use a specific etch stop layer, thus simplifying a fabrication process of the fin FET. An upper edge portion of the fin active region is rounded, thereby preventing an electric field concentration onto an edge portion and a punch-through in the channel, and uniformly forming a gate insulation layer on an upper part of the fin active region.
BRIEF DESCRIPTION OF THE DRAWINGS
The above and other features of exemplary embodiments of the invention will become readily apparent from the description that follows, with reference to the attached drawings.
FIG. 1 is a layout diagram of a fin FET according to some embodiments of the invention.
FIGS. 2a to 10a are sectional diagrams illustrating exemplary sequential processes for forming the fin FET of FIG. 1, taken along the line I-I′ of FIG. 1.
FIGS. 2b to 10b , and FIG. 11, are sectional diagrams illustrating exemplary sequential processes for forming the fin FET of FIG. 1, taken along the line II-II′ of FIG. 1.
DETAILED DESCRIPTION OF THE INVENTION
Exemplary embodiments of the invention are more fully described in detail with reference to the accompanied drawings. The invention may be embodied in many different forms and should not be construed as being limited to the exemplary embodiments set forth herein. Rather, these exemplary embodiments are provided so that this disclosure is thorough and complete, and to convey the concepts of the invention to those skilled in the art.
FIG. 1 is a layout diagram illustrating a fin FET according to some embodiments of the invention.
Referring to FIG. 1, gate electrodes 20 are patterned and disposed in parallel with one another along lines that extend in a first direction, for example, the line Fin active regions 10 are disposed along parallel lines that extend in a second direction, for example, the line I-I′. The second direction is substantially perpendicular to the first direction. The fin active regions 10 are not continuous along the parallel lines that extend in the second direction, but rather the fin active regions 10 are surrounded by a non-active region 30. The fin active regions 10 protrude in a fin shape with step coverage with the non-active region 30.
With respect to one another, the fin active regions 10 are disposed in a diagonal configuration. That is, a line passing through the centers of a fin active region 10 and its closest neighboring fin active region 10 is neither parallel nor perpendicular to the first direction and the second direction, but rather has some angular offset with respect to the first and second directions. Adjacent fin active regions 10 are disposed uniformly, that is, a fin active region 10 and its nearest adjacent neighbors are separated by the same distance. The gate electrodes 20 are disposed substantially perpendicular to a length direction of the fin active region 10. Two gate electrodes 20 cross over every fin active region 10.
FIGS. 2a to 10a are sectional diagrams illustrating exemplary sequential processes for forming the fin FET of FIG. 1, taken along the line I-I′ of FIG. 1.
FIGS. 2b to 10b and FIG. 11 are sectional diagrams illustrating exemplary sequential processes for forming the fin FET of FIG. 1, taken along the line II-IF of FIG. 1.
Referring first to FIGS. 2a and 2b , a device isolation film 106 for defining a non-active region and a fin active region is formed in a p-type bulk silicon substrate 100. The device isolation film 106 is obtained by a device isolation method (e.g., a Shallow Trench Isolation, or STI) where a trench having a predetermined depth is formed in the non-active region of the substrate, a first oxide layer 102 and a nitride layer 104 are sequentially accumulated on a bottom and a sidewall of the trench, and then an insulation layer is deposited in the trench to form the device isolation film 106. Subsequently, a second oxide layer 107 having a thickness of about 60 Å to 80 Å is formed on the substrate 100. The device isolation film 106 may be formed to a depth of about 2500 Å to 3000 Å, and may be formed of any one oxide layer chosen from the group consisting of SOG (Spin-On Glass), USG (Undoped Silicate Glass), BPSG (Boro-Phospo-Silicate Glass), PSG (Phospho-Silicate Glass), PE-TEOS (Plasma Enhanced—TetraEthyl OrthoSilicate), and liquid oxide layer material. Alternatively, the device isolation film 106 may be formed of a multi-layer involving two or more of the oxide layer group defined above.
Referring to FIGS. 3a and 3b , an anti-reflective coating (ARC) layer 108 and a photoresist layer are sequentially formed, and then a photoresist pattern 110 is formed to expose a gate formation part through a photolithography process. The ARC layer 108 may be generally formed to enhance a resolution of the photolithography process, before depositing the photoresist layer.
With reference to FIGS. 4a and 4b , the ARC layer 108, the second oxide layer 107, the device isolation film 106, and the substrate 100 are sequentially etched by using the photoresist pattern 110 as an etch mask, thus forming a first recess 130 having a predetermined depth from an upper surface of the substrate to a portion of the device isolation film 106, and also forming a second recess 140 having a depth from the upper surface of the substrate to a portion of the fin active region that is shallower than the first recess. The first recess 130 may be formed to a depth of about 1000 Å to 1500 Å, considering a height of the fin active region. The second recess 140 may be formed to a depth of about 300 Å to 350 Å to ensure a sufficient length of a top channel that is formed under a gate electrode, and may be formed by a dual recess type having two recesses in the active region surrounded by the non-active region. Also, since only the ARC layer 108 and the second oxide layer 107 are formed on the substrate, an upper edge portion 109 of the fin active region is rounded when etching of the oxide layer 107 and the substrate 100 occurs. The rounded upper edge portion 109 prevents an electric field concentration on the edge portion, prevents channel punch-through, and uniformly forms a gate insulation layer on the fin active region.
With reference to FIGS. 5a and 5b , p-type impurities are ion implanted by using the photoresist and an anti-reflective coating layer pattern as an ion implantation mask, to thus form a threshold voltage control region. For example, B or BF2 ions may be implanted in the fin active region with an energy of about 30 KeV to 50 KeV and having a density of about 1.0×1012 to 1.0×1013 ion atoms/cm2, thus resulting in a formation of the threshold voltage control region having a density of about 1.0×1013 ion atoms/cm3. The threshold voltage control region is formed in a lower part of the second recess, which guarantees a sufficient length for the top channel. Thus, the amount of ion impurities to be implanted may be reduced.
Referring to FIGS. 6a and 6b , the photoresist pattern 110 and the ARC layer 108 are removed by, e.g., an ashing or strip process. This leaves a portion of the nitride layer 104 a and a portion of the first oxide layer 102 a exposed on a sidewall of the fin active region, as shown in FIG. 6 b.
With reference to FIGS. 7a and 7b , the portion of the nitride layer 104 a that is exposed on a sidewall of the fin active region is removed, then the portion of the first oxide layer 102 a that is exposed on the sidewall of the fin active region is removed along with the second oxide layer 107. The nitride layer 104 a may be removed by a wet etching using H3PO4, and the first and second oxide layers 102 a and 107 may be removed by a wet etching using HF. As a result, as shown in FIG. 7b , a fin active region 111 has step coverage and protrudes with a predetermined height from the surrounding device isolation film 106.
In FIGS. 8a and 8b , a gate insulation layer 112 is formed within the second recess 140. The gate insulation layer 112 is formed of oxide layer material, and may be formed by thermally oxidizing a bottom face of the recess 140 or by a deposition method such as a chemical vapor deposition (CVD) or a sputtering etc.
Referring to FIGS. 9a and 9b , a first gate conductive layer 114, a second gate conductive layer 116, and a capping layer 118, each of which have a predetermined thickness, are sequentially formed on the substrate. The first gate conductive layer 114 may be formed by a general deposition method such as CVD, low pressure chemical vapor deposition (LPCVD), or plasma enhanced chemical vapor deposition (PECVD), and may be formed of polysilicon material. The second gate conductive layer 116 may be formed by a general deposition method, or it may be formed of a metal such as tungsten (W) or of a silicide layer having a metal such as Ti, Ta, W, Ni, Cr, Ir or Ru. The first and second conductive layers 114 and 116 constitute a gate electrode, and may be formed of a single layer of polysilicon material. The capping layer 118 may be formed of silicon nitride layer material through a process such as, e.g., CVD, LPCVD, PECVD, a semi-atmospheric chemical vapor deposition (SACVD), a sputtering method, or an atomic layer deposition.
As shown in FIGS. 10a and 10b , a photolithography and etching process is performed, to thus form a gate stack 119 that has a gate conductive layer 114 that extends to an upper surface of the fin active region 111 and has a capping layer 118 on the gate conductive layer, within the second recess.
Referring to FIG. 11, after forming a gate spacer 122 in a sidewall of the gate stack 119, n-type impurities such as P (phosphorous) or As (Arsenic), etc., is ion implanted at an energy of about 10 KeV to 20 KeV and to a density of about 1.0×1015 to 3.0×1015 ion atoms/cm2, by using the gate spacer 122 as an ion implantation mask, to thus form an n+ type source/drain region 120 of a high density in the fin active region of both sides of the gate electrode. Also, before forming the gate spacer 122, n type impurities are ion-implanted at a relatively low energy and to a relatively low density compared to the source/drain regions 120, thus forming a low density n-type source/drain region. Next, a n+ type source/drain region having a density higher than the low density n-type source/drain region is formed on a portion of the low density n-type source/drain region. Thus, a source/drain region having a LDD (Lightly Doped Drain) structure is obtained.
Accordingly, according to an exemplary embodiments of the invention, the method of forming a fin FET provides a fin field effect transistor (fin FET), including the device isolation film 106 defining a fin active region and a non-active region on a bulk silicon substrate; the fin active region 111 having a protrusion shape with step coverage of a predetermined height with the device isolation film; the gate electrodes 114 and 116, which have a predetermined depth from a surface of the fin active region and is extended to an upper surface of the fin active region; the gate insulation layer 112 formed under the gate electrode; and the source/drain region formed in the fin active region of both sides of the gate electrode. An uppermost part of the fin active region is formed higher by about 1000 Å to 1500 Å than an uppermost part of the device isolation film. An upper edge portion of the fin active region is rounding processed. The gate electrode has a depth of about 300 Å to 350 Å from an upper surface of the fin active region, and a bottom face of the gate electrode is rounding processed. The gate electrode has a dual gate structure.
In such a method of forming the fin FET and in such a structure thereof according to an exemplary embodiment of the invention, the fin FET is formed by using a bulk silicon substrate, that is, a recess having a predetermined depth is formed in a fin active region, and then a gate is formed in an upper part of the recess such that a length of top channel formed under the gate is sufficiently guaranteed. Also, lengths of top channel and bottom channel are uniformly formed in the fin active region by increasing a length of the top channel, thus improving swing characteristics of the fin FET. The top channel is formed lower than a source/drain region, thus improving a drain induced barrier lowering (DIBL) and reducing an electric field of channel and source/drain together with enhancing characteristics of the fin FET.
The length increase of top channel can also reduce an impurity ion implantation amount of a threshold voltage control region formed under a gate, thus reducing a junction leakage current of the fin FET and improving refresh characteristics.
Also, when forming the recess having a predetermined depth in the fin active region, a specific etch stop layer is unnecessary, thus simplifying a fabrication process of the fin FET. An upper edge portion of the fin active region is rounding processed, whereby preventing an electric field concentration onto an edge portion and a punch-through of channel, and uniformly forming a gate insulation layer on the fin active region.
As described above, in forming a fin FET by using a bulk silicon substrate, a recess having a predetermined depth is formed in a fin active region and then a gate is formed in an upper part of the recess, thereby sufficiently guaranteeing a length of top channel formed under the gate.
In addition, a length of top channel increases, thereby uniformly forming lengths of top and bottom channels in the fin active region, and improving swing characteristics of the fin FET. The top channel is formed at a position lower than a source/drain region, thus improving a drain induced barrier lowering (DIBL) and reducing an electric field of channel and source/drain, with enhancing characteristics of the fin FET.
A junction leakage current of the fin FET is reduced and a refresh characteristic is enhanced by increasing a top channel length and so by reducing an impurity ion implantation amount of a threshold voltage control region formed under a gate.
In forming a recess having a predetermined depth in a fin active region, a specific etch stop layer is unnecessary, thus simplifying a fabrication process of fin FET. Also, an upper edge portion of the fin active region is rounding processed, whereby preventing an electric field concentration onto an edge portion and a punch-through of channel, and uniformly forming a gate insulation layer on an upper part of the fin active region.
Embodiments of the invention may be practiced in many ways. What follows are exemplary, non-limiting descriptions of some of these embodiments.
An embodiment of the invention provides a method of forming a fin FET by using a bulk silicon substrate. The method includes forming a device isolation film for defining a non-active region and a fin active region in a predetermined region of the substrate; forming a first recess having a predetermined depth from an upper surface of the substrate on a portion of the device isolation film, and a second recess having a depth shallower than the first recess on a portion of the fin active region; forming a gate insulation layer within the second recess; forming a gate in an upper part of the second recess; and forming a source/drain region in the fin active region of both sides of the gate.
Other embodiments of the invention provides a structure of fin field effect transistor (fin FET) formed on a bulk silicon substrate on which an active region and a non-active region are defined by a device isolation film. The structure includes a fin active region having a protrusion shape with step coverage of a predetermined height with the device isolation film, in the device isolation film; a gate electrode, which has a predetermined depth from a surface of the fin active region and is extended to an upper surface of the fin active region; a gate insulation layer formed under the gate electrode; and a source/drain region formed in the fin active region of both sides of the gate electrode.
It will be apparent to those skilled in the art that modifications and variations can be made in the present invention without deviating from the spirit or scope of the invention. For example, a fin FET may be formed by using a substrate and impurities of contrary conductive type and may be provided as a plurality of fin FETs connected to a capacitor, to constitute a memory cell. Thus, it is intended that the present invention cover any such modifications and variations of this invention provided they come within the scope of the appended claims and their equivalents.

Claims (10)

The invention claimed is:
1. A semiconductor device comprising:
a substrate including a fin active region;
a device isolation film disposed on the substrate;
a first gate stack disposed on a first portion of the fin active region; and
a source/drain region disposed at a second portion of the fin active region,
wherein the device isolation film comprises a first portion located adjacent to the fin active region and below the first gate stack,
wherein an upper surface of the first portion of the fin active region located under the first gate stack is higher than an upper surface of the first portion of the device isolation film,
wherein the first gate stack covers the upper surface of the first portion of the device isolation film, covers the upper surface of the first portion of the fin active region and extends along two sidewalls of the first portion of the fin active region, and
wherein the upper surface of the first portion of the fin active region is lower than an upper surface of the second portion of the fin active region.
2. The semiconductor device of claim 1, wherein the first portion of the device isolation film contacts the fin active region.
3. The semiconductor device of claim 1, wherein, with respect to a vertical cross section taken parallel to a horizontal length direction of the gate stack, the fin active region protrudes from the upper surface of the device isolation film.
4. The semiconductor device of claim 1, further comprising a second gate stack disposed on a third portion of the fin active region.
5. The semiconductor device of claim 4, wherein the second portion of the fin active region is disposed between the first portion of the fin active region and the third portion of the fin active region.
6. The semiconductor device of claim 4, wherein an upper surface of the third portion of the fin active region located under the second gate stack is lower than the upper surface of the second portion of the fin active region.
7. The semiconductor device of claim 1, further comprising a gate insulating layer disposed on the fin active region.
8. The semiconductor device of claim 7, wherein the first gate stack is disposed on the gate insulating layer.
9. The semiconductor device of claim 1, further comprising:
a first spacer disposed on a first sidewall of the first gate stack; and
a second spacer disposed on a second sidewall of the first gate stack.
10. The semiconductor device of claim 1, wherein a bottom surface of the first gate stack is lower than an upper surface of the source/drain region.
US14/931,490 2004-02-05 2015-11-03 Fin FET and method of fabricating same Active US9640665B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US14/931,490 US9640665B2 (en) 2004-02-05 2015-11-03 Fin FET and method of fabricating same
US15/494,845 US9893190B2 (en) 2004-02-05 2017-04-24 Fin FET and method of fabricating same

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
KR10-2004-0007426 2004-02-05
KR1020040007426A KR100577562B1 (en) 2004-02-05 2004-02-05 Method for fabricating fin field effect transistor and structure thereof
US11/050,915 US7217623B2 (en) 2004-02-05 2005-02-04 Fin FET and method of fabricating same
US11/733,704 US7868380B2 (en) 2004-02-05 2007-04-10 Fin FET and method of fabricating same
US12/622,798 US8053833B2 (en) 2004-02-05 2009-11-20 Fin FET and method of fabricating same
US13/178,308 US8264034B2 (en) 2004-02-05 2011-07-07 Fin FET and method of fabricating same
US13/429,969 US9018697B2 (en) 2004-02-05 2012-03-26 fin FET and method of fabricating same
US14/695,672 US9196733B2 (en) 2004-02-05 2015-04-24 Fin FET and method of fabricating same
US14/931,490 US9640665B2 (en) 2004-02-05 2015-11-03 Fin FET and method of fabricating same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US14/695,672 Continuation US9196733B2 (en) 2004-02-05 2015-04-24 Fin FET and method of fabricating same

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US15/494,845 Division US9893190B2 (en) 2004-02-05 2017-04-24 Fin FET and method of fabricating same

Publications (2)

Publication Number Publication Date
US20160056296A1 US20160056296A1 (en) 2016-02-25
US9640665B2 true US9640665B2 (en) 2017-05-02

Family

ID=34825066

Family Applications (8)

Application Number Title Priority Date Filing Date
US11/050,915 Active 2025-07-28 US7217623B2 (en) 2004-02-05 2005-02-04 Fin FET and method of fabricating same
US11/733,704 Active 2025-08-04 US7868380B2 (en) 2004-02-05 2007-04-10 Fin FET and method of fabricating same
US12/622,798 Active US8053833B2 (en) 2004-02-05 2009-11-20 Fin FET and method of fabricating same
US13/178,308 Active US8264034B2 (en) 2004-02-05 2011-07-07 Fin FET and method of fabricating same
US13/429,969 Active 2025-09-12 US9018697B2 (en) 2004-02-05 2012-03-26 fin FET and method of fabricating same
US14/695,672 Active US9196733B2 (en) 2004-02-05 2015-04-24 Fin FET and method of fabricating same
US14/931,490 Active US9640665B2 (en) 2004-02-05 2015-11-03 Fin FET and method of fabricating same
US15/494,845 Active US9893190B2 (en) 2004-02-05 2017-04-24 Fin FET and method of fabricating same

Family Applications Before (6)

Application Number Title Priority Date Filing Date
US11/050,915 Active 2025-07-28 US7217623B2 (en) 2004-02-05 2005-02-04 Fin FET and method of fabricating same
US11/733,704 Active 2025-08-04 US7868380B2 (en) 2004-02-05 2007-04-10 Fin FET and method of fabricating same
US12/622,798 Active US8053833B2 (en) 2004-02-05 2009-11-20 Fin FET and method of fabricating same
US13/178,308 Active US8264034B2 (en) 2004-02-05 2011-07-07 Fin FET and method of fabricating same
US13/429,969 Active 2025-09-12 US9018697B2 (en) 2004-02-05 2012-03-26 fin FET and method of fabricating same
US14/695,672 Active US9196733B2 (en) 2004-02-05 2015-04-24 Fin FET and method of fabricating same

Family Applications After (1)

Application Number Title Priority Date Filing Date
US15/494,845 Active US9893190B2 (en) 2004-02-05 2017-04-24 Fin FET and method of fabricating same

Country Status (2)

Country Link
US (8) US7217623B2 (en)
KR (1) KR100577562B1 (en)

Families Citing this family (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100577562B1 (en) * 2004-02-05 2006-05-08 삼성전자주식회사 Method for fabricating fin field effect transistor and structure thereof
KR100538101B1 (en) * 2004-07-07 2005-12-21 삼성전자주식회사 Semiconductor device and method of manufacturing for the same
KR100753098B1 (en) * 2004-12-28 2007-08-29 주식회사 하이닉스반도체 Semiconductor device with increased channel length and method for manufacturing the same
KR100605500B1 (en) * 2005-03-03 2006-07-28 삼성전자주식회사 Semiconductor devices having line type active region and methods of fabricating the same
KR100618707B1 (en) * 2005-03-15 2006-09-06 주식회사 하이닉스반도체 Method for forming gate in semiconductor device
KR100618893B1 (en) * 2005-04-14 2006-09-01 삼성전자주식회사 Semiconductor device and fabricating method thereof
KR100596800B1 (en) * 2005-04-29 2006-07-04 주식회사 하이닉스반도체 Transistor and method of manufacturing the same
KR100600044B1 (en) * 2005-06-30 2006-07-13 주식회사 하이닉스반도체 Method for manufacturing semiconductor device with recess gate
KR100673144B1 (en) * 2005-07-15 2007-01-22 주식회사 하이닉스반도체 Transistor of semiconductor device and method for forming the same
KR100642384B1 (en) * 2005-09-15 2006-11-03 주식회사 하이닉스반도체 Transistor for semiconductor memory device and method of fabricating the same
KR100689840B1 (en) * 2005-10-04 2007-03-08 삼성전자주식회사 Semiconductor device having a recessed gate electrode and fabrication method thereof
KR100660327B1 (en) * 2005-11-18 2006-12-22 동부일렉트로닉스 주식회사 Transistor of semiconductor device and method for forming the same
KR100792384B1 (en) * 2005-12-27 2008-01-09 주식회사 하이닉스반도체 Five channel fin transistor device and method of manufacturing the same
KR100818084B1 (en) * 2005-12-28 2008-03-31 주식회사 하이닉스반도체 Transister and method for forming the same
KR100720232B1 (en) 2005-12-30 2007-05-23 주식회사 하이닉스반도체 Method for forming semiconductor device having fin structure
KR100745917B1 (en) 2006-01-23 2007-08-02 주식회사 하이닉스반도체 Method for fabricating semiconductor device
KR100720238B1 (en) * 2006-01-23 2007-05-23 주식회사 하이닉스반도체 Semiconductor device and method for fabricating the same
KR100702315B1 (en) * 2006-05-10 2007-03-30 주식회사 하이닉스반도체 Method for forming semiconductor device
KR100744684B1 (en) * 2006-06-01 2007-08-01 주식회사 하이닉스반도체 Semiconductor device with bulb recess and saddle fin and method of manufacturing the same
KR100835278B1 (en) 2006-06-28 2008-06-05 삼성전자주식회사 Semiconductor device having a recess-fin field effect transistor and methods of fabrication the same
KR100724575B1 (en) * 2006-06-28 2007-06-04 삼성전자주식회사 Semiconductor device having buried gate electrode and method of fabricating the same
KR100767399B1 (en) * 2006-07-03 2007-10-17 삼성전자주식회사 Method of fabricating semiconductor device having fin-fet
KR100876778B1 (en) * 2006-07-28 2009-01-07 주식회사 하이닉스반도체 Semiconductor device and method for forming the same
KR100724578B1 (en) * 2006-08-04 2007-06-04 삼성전자주식회사 Method of fabricating semiconductor device having buried gate
KR100827656B1 (en) * 2006-08-11 2008-05-07 삼성전자주식회사 Transistor having recess channel structure and fin structure, semicoductor device employing the transistor, and method of frabication the semiconductor device
KR100811373B1 (en) * 2006-09-08 2008-03-07 주식회사 하이닉스반도체 Exposure mask and method for manufacturing semiconductor device using the same
KR100838378B1 (en) 2006-09-29 2008-06-13 주식회사 하이닉스반도체 Method for fabricating fin transistor
KR100842908B1 (en) * 2006-09-30 2008-07-02 주식회사 하이닉스반도체 Semiconductor device having recess gate and method of manufacturing the same
KR100763337B1 (en) 2006-10-02 2007-10-04 삼성전자주식회사 Semiconductor device having buried gate line and method of fabricating the same
KR100814391B1 (en) * 2006-10-10 2008-03-18 삼성전자주식회사 Method of operating dram device including fin transistor and dram device
KR100861210B1 (en) * 2007-03-30 2008-09-30 주식회사 하이닉스반도체 Semiconductor device and method for forming the same
KR100866713B1 (en) * 2007-03-30 2008-11-03 주식회사 하이닉스반도체 Semiconductor device and method for forming the same
KR100825815B1 (en) * 2007-06-07 2008-04-28 삼성전자주식회사 Semiconductor device including active pattern with channel recess, and method of fabricating the same
KR100876893B1 (en) * 2007-06-29 2009-01-07 주식회사 하이닉스반도체 Semiconductor device and method of manufacturing the same
KR100905168B1 (en) * 2007-06-29 2009-06-29 주식회사 하이닉스반도체 Semiconductor device and method for forming the same
US7847320B2 (en) 2007-11-14 2010-12-07 International Business Machines Corporation Dense chevron non-planar field effect transistors and method
US20090159966A1 (en) * 2007-12-20 2009-06-25 Chih-Jen Huang High voltage semiconductor device, method of fabricating the same, and method of fabricating the same and a low voltage semiconductor device together on a substrate
KR100939779B1 (en) 2007-12-20 2010-02-04 주식회사 하이닉스반도체 Semiconductor device and method of manufacturing the same
KR100967679B1 (en) 2008-01-08 2010-07-07 주식회사 하이닉스반도체 Method of manufacturing semiconductor device
JP5265939B2 (en) * 2008-02-08 2013-08-14 セイコーインスツル株式会社 Manufacturing method of semiconductor device
US7808042B2 (en) * 2008-03-20 2010-10-05 Micron Technology, Inc. Systems and devices including multi-gate transistors and methods of using, making, and operating the same
KR100971419B1 (en) * 2008-04-18 2010-07-21 주식회사 하이닉스반도체 Method for manufacturing semiconductor device with recessed gate
US7989307B2 (en) * 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
KR100997796B1 (en) * 2008-12-16 2010-12-02 주식회사 하이닉스반도체 Method for manufacturing semiconductor device
KR101040367B1 (en) * 2008-12-26 2011-06-10 주식회사 하이닉스반도체 Semiconductor device having saddle FIN transistor and method for fabricating the same
KR101045372B1 (en) * 2008-12-30 2011-06-30 주식회사 하이닉스반도체 Method for manufacturing semiconductor device
KR101061178B1 (en) * 2008-12-30 2011-09-01 주식회사 하이닉스반도체 Semiconductor device and manufacturing method thereof
KR101061321B1 (en) * 2009-03-02 2011-08-31 주식회사 하이닉스반도체 Saddle pin transistor with raised landing plug contact and its formation method
KR20100107617A (en) * 2009-03-26 2010-10-06 삼성전자주식회사 Phase-changeable memory device and method of manufacturing the same
KR101077302B1 (en) * 2009-04-10 2011-10-26 주식회사 하이닉스반도체 Method of fabricating semiconductor device
US8232627B2 (en) * 2009-09-21 2012-07-31 International Business Machines Corporation Integrated circuit device with series-connected field effect transistors and integrated voltage equalization and method of forming the device
EP2325874A1 (en) * 2009-11-23 2011-05-25 Nxp B.V. Method of Forming a Transistor and Semiconductor Device
US8227304B2 (en) * 2010-02-23 2012-07-24 International Business Machines Corporation Semiconductor-on-insulator (SOI) structure and method of forming the SOI structure using a bulk semiconductor starting wafer
JP5718585B2 (en) * 2010-05-19 2015-05-13 ピーエスフォー ルクスコ エスエイアールエルPS4 Luxco S.a.r.l. Semiconductor device, manufacturing method thereof, and data processing system
CN102315265B (en) * 2010-06-30 2013-12-04 中国科学院微电子研究所 Semiconductor device and making method thereof
CN103187439B (en) 2011-12-29 2015-08-05 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof, CMOS and forming method thereof
WO2013101237A1 (en) 2011-12-31 2013-07-04 Intel Corporation Hard mask etch stop for tall fins
CN104066521B (en) * 2012-01-27 2017-07-11 皇家飞利浦有限公司 Capacitance type micro mechanical transducer and the method for manufacturing the capacitance type micro mechanical transducer
US9530901B2 (en) * 2012-01-31 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Decoupling finFET capacitors
CN103258740B (en) * 2012-02-17 2016-07-27 中芯国际集成电路制造(上海)有限公司 A kind of manufacture method of fin FET
CN103295901B (en) 2012-03-02 2016-08-03 中芯国际集成电路制造(上海)有限公司 The forming method of fin field effect pipe
CN103295900B (en) 2012-03-02 2016-08-10 中芯国际集成电路制造(上海)有限公司 Form fin and the method for fin formula field effect transistor
CN103426765B (en) 2012-05-24 2016-12-14 中芯国际集成电路制造(上海)有限公司 The forming method of semiconductor device, the forming method of fin field effect pipe
KR101910128B1 (en) * 2012-05-30 2018-10-23 에스케이하이닉스 주식회사 Semiconductor having fin structure and manufacturing method of the same
CN103515209B (en) * 2012-06-19 2017-07-14 中芯国际集成电路制造(上海)有限公司 Fin field effect pipe and forming method thereof
CN105027291A (en) * 2013-03-29 2015-11-04 英特尔公司 Transistor architecture having extended recessed spacer and source/drain regions and method of making same
US20150008538A1 (en) * 2013-07-02 2015-01-08 Texas Instruments Incorporated Partially recessed channel core transistors in replacement gate flow
US9379106B2 (en) 2013-08-22 2016-06-28 Samsung Electronics Co., Ltd. Semiconductor devices having 3D channels, and methods of fabricating semiconductor devices having 3D channels
US9418902B2 (en) 2013-10-10 2016-08-16 Globalfoundries Inc. Forming isolated fins from a substrate
US9515172B2 (en) 2014-01-28 2016-12-06 Samsung Electronics Co., Ltd. Semiconductor devices having isolation insulating layers and methods of manufacturing the same
KR102193493B1 (en) 2014-02-03 2020-12-21 삼성전자주식회사 Semiconductor devices and methods of manufacturing the same
KR102170856B1 (en) 2014-02-19 2020-10-29 삼성전자주식회사 Semiconductor device and method for fabricating the same
US9508713B2 (en) * 2014-03-05 2016-11-29 International Business Machines Corporation Densely spaced fins for semiconductor fin field effect transistors
US9362362B2 (en) 2014-04-09 2016-06-07 International Business Machines Corporation FinFET with dielectric isolated channel
US9466669B2 (en) 2014-05-05 2016-10-11 Samsung Electronics Co., Ltd. Multiple channel length finFETs with same physical gate length
KR102158962B1 (en) 2014-05-08 2020-09-24 삼성전자 주식회사 Semiconductor device and method for fabricating the same
US9305785B2 (en) * 2014-06-30 2016-04-05 Globalfoundries Inc. Semiconductor contacts and methods of fabrication
US9536985B2 (en) 2014-09-29 2017-01-03 Globalfoundries Inc. Epitaxial growth of material on source/drain regions of FinFET structure
US9129988B1 (en) * 2014-11-26 2015-09-08 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET and method of manufacturing the same
KR102262827B1 (en) * 2014-12-30 2021-06-08 삼성전자주식회사 Semiconductor device and the fabricating method thereof
KR20160112778A (en) 2015-03-20 2016-09-28 삼성전자주식회사 Semiconductor Devices Having Fin Actives
CN106486364B (en) * 2015-08-25 2019-11-01 中芯国际集成电路制造(北京)有限公司 The forming method of three-dimensional transistor
CN107036856B (en) * 2016-02-03 2019-09-27 中芯国际集成电路制造(上海)有限公司 The preparation method and test method of ion implanting test sample
US9704752B1 (en) * 2016-02-26 2017-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
US9704751B1 (en) * 2016-02-26 2017-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for fabricating the same
CN107785318B (en) * 2016-08-30 2021-06-08 中芯国际集成电路制造(上海)有限公司 Method for manufacturing semiconductor structure
US10777466B2 (en) * 2017-11-28 2020-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Fin cutting process and structures formed thereby
US11515212B2 (en) * 2019-10-30 2022-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices having controlled S/D epitaxial shape

Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5675164A (en) 1995-06-07 1997-10-07 International Business Machines Corporation High performance multi-mesa field effect transistor
US5844278A (en) 1994-09-14 1998-12-01 Kabushiki Kaisha Toshiba Semiconductor device having a projecting element region
US6242783B1 (en) 1989-12-02 2001-06-05 Canon Kabushiki Kaisha Semiconductor device with insulated gate transistor
US6413862B1 (en) 1996-05-21 2002-07-02 Micron Technology, Inc. Use of palladium in IC manufacturing
US6525403B2 (en) 2000-09-28 2003-02-25 Kabushiki Kaisha Toshiba Semiconductor device having MIS field effect transistors or three-dimensional structure
US6562665B1 (en) 2000-10-16 2003-05-13 Advanced Micro Devices, Inc. Fabrication of a field effect transistor with a recess in a semiconductor pillar in SOI technology
KR20030065631A (en) 2002-01-30 2003-08-09 한국과학기술원 Double-Gate FinFET
US20050133836A1 (en) * 2003-12-23 2005-06-23 Hyeoung-Won Seo Asymmetric MOS transistor with trench-type gate
US20060105529A1 (en) 2004-11-15 2006-05-18 Sang-Hyeon Lee Methods of forming MOS transistors having buried gate electrodes therein
US20060231907A1 (en) 2005-04-14 2006-10-19 Samsung Electronics Co., Ltd. Semiconductor device with FinFET and method of fabricating the same
US7317230B2 (en) 2004-02-10 2008-01-08 Samsung Electronics Co., Ltd. Fin FET structure
US7394116B2 (en) 2004-06-28 2008-07-01 Samsung Electronics Co., Ltd. Semiconductor device including a multi-channel fin field effect transistor including protruding active portions and method of fabricating the same
US7459358B2 (en) 2006-01-23 2008-12-02 Hynix Semiconductor Inc. Method for fabricating a semiconductor device
US7563699B2 (en) 2005-03-03 2009-07-21 Samsung Electronics Co., Ltd. Semiconductor devices having line type active regions and methods of fabricating the same
US7804129B2 (en) 2005-04-29 2010-09-28 Hynix Semiconductor Inc. Recessed gate electrode MOS transistor and method for fabricating the same
US7923784B2 (en) 2008-12-30 2011-04-12 Hynix Semiconductor Inc. Semiconductor device having saddle fin-shaped channel and method for manufacturing the same

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5884278A (en) 1997-02-11 1999-03-16 Powell; Ken R. Retail store and method employing multiple network interfaces at each cash register, and receiving signals from portable cards at each cash register
KR100577562B1 (en) * 2004-02-05 2006-05-08 삼성전자주식회사 Method for fabricating fin field effect transistor and structure thereof
KR100720232B1 (en) * 2005-12-30 2007-05-23 주식회사 하이닉스반도체 Method for forming semiconductor device having fin structure
KR100811373B1 (en) * 2006-09-08 2008-03-07 주식회사 하이닉스반도체 Exposure mask and method for manufacturing semiconductor device using the same

Patent Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6242783B1 (en) 1989-12-02 2001-06-05 Canon Kabushiki Kaisha Semiconductor device with insulated gate transistor
US5844278A (en) 1994-09-14 1998-12-01 Kabushiki Kaisha Toshiba Semiconductor device having a projecting element region
US5675164A (en) 1995-06-07 1997-10-07 International Business Machines Corporation High performance multi-mesa field effect transistor
US6413862B1 (en) 1996-05-21 2002-07-02 Micron Technology, Inc. Use of palladium in IC manufacturing
US6525403B2 (en) 2000-09-28 2003-02-25 Kabushiki Kaisha Toshiba Semiconductor device having MIS field effect transistors or three-dimensional structure
US6562665B1 (en) 2000-10-16 2003-05-13 Advanced Micro Devices, Inc. Fabrication of a field effect transistor with a recess in a semiconductor pillar in SOI technology
KR20030065631A (en) 2002-01-30 2003-08-09 한국과학기술원 Double-Gate FinFET
US20050133836A1 (en) * 2003-12-23 2005-06-23 Hyeoung-Won Seo Asymmetric MOS transistor with trench-type gate
US7317230B2 (en) 2004-02-10 2008-01-08 Samsung Electronics Co., Ltd. Fin FET structure
US7394116B2 (en) 2004-06-28 2008-07-01 Samsung Electronics Co., Ltd. Semiconductor device including a multi-channel fin field effect transistor including protruding active portions and method of fabricating the same
US20060105529A1 (en) 2004-11-15 2006-05-18 Sang-Hyeon Lee Methods of forming MOS transistors having buried gate electrodes therein
US7563699B2 (en) 2005-03-03 2009-07-21 Samsung Electronics Co., Ltd. Semiconductor devices having line type active regions and methods of fabricating the same
US20060231907A1 (en) 2005-04-14 2006-10-19 Samsung Electronics Co., Ltd. Semiconductor device with FinFET and method of fabricating the same
US7804129B2 (en) 2005-04-29 2010-09-28 Hynix Semiconductor Inc. Recessed gate electrode MOS transistor and method for fabricating the same
US7459358B2 (en) 2006-01-23 2008-12-02 Hynix Semiconductor Inc. Method for fabricating a semiconductor device
US7923784B2 (en) 2008-12-30 2011-04-12 Hynix Semiconductor Inc. Semiconductor device having saddle fin-shaped channel and method for manufacturing the same

Also Published As

Publication number Publication date
US9196733B2 (en) 2015-11-24
US7217623B2 (en) 2007-05-15
US20070176245A1 (en) 2007-08-02
US20170229581A1 (en) 2017-08-10
US8053833B2 (en) 2011-11-08
KR20050079270A (en) 2005-08-10
US20120181604A1 (en) 2012-07-19
US20160056296A1 (en) 2016-02-25
US20150228796A1 (en) 2015-08-13
US20100065907A1 (en) 2010-03-18
US8264034B2 (en) 2012-09-11
US9018697B2 (en) 2015-04-28
US7868380B2 (en) 2011-01-11
US9893190B2 (en) 2018-02-13
US20050173759A1 (en) 2005-08-11
KR100577562B1 (en) 2006-05-08
US20110260227A1 (en) 2011-10-27

Similar Documents

Publication Publication Date Title
US9893190B2 (en) Fin FET and method of fabricating same
US7777258B2 (en) Recessed gate transistor structure and method of forming the same
US8487352B2 (en) Metal oxide semiconductor (MOS) transistors having a recessed gate electrode
US7701002B2 (en) Semiconductor device having buried gate electrode and method of fabricating the same
US7902026B2 (en) Method of fabricating semiconductor device having vertical channel transistor
US20090173992A1 (en) Semiconductor device with improved performance characteristics
US20040209463A1 (en) Methods of fabricating field effect transistors having multiple stacked channels
EP0862208A2 (en) Semiconductor device and method of manufacturing the same
US20080079071A1 (en) Semiconductor device for preventing reciprocal influence between neighboring gates and method for manufacturing the same
KR100541515B1 (en) Semiconductor device having a vertical channel pattern and method of manufacturing the same
US10109634B2 (en) Semiconductor device having air gap and method for manufacturing the same, memory cell having the same and electronic device having the same
KR100549005B1 (en) Method of fabricating asymmetric source/drain transistor employing a selective epitaxial growth layer and asymmetric source/drain transistor fabricated thereby
KR20060128472A (en) Mos transistor having a recessed gate electrode and fabrication method thereof
KR101804420B1 (en) Semiconductor devices and methods of manufacturing the same
US6875666B2 (en) Methods of manufacturing transistors and transistors having an anti-punchthrough region
US20050218448A1 (en) Transistor structure having an oxidation inhibition layer and method of forming the same
KR20080006268A (en) Method of manufcaturing a tunneling field effect transistor
KR100448090B1 (en) Method for fabricating high-performance semiconductor device by reducing junction capacitance
TW202329410A (en) Semiconductor device having buried gate structure and method for fabricating the same
KR20050083305A (en) Method for manufacturing fin field effect transistor
KR20050119245A (en) Transistor with recess gate and forming method thereof

Legal Events

Date Code Title Description
AS Assignment

Owner name: SAMSUNG ELECTRONICS CO., LTD, KOREA, REPUBLIC OF

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:KIM, KEUN-NAM;YANG, HUNG-MO;LEE, CHOONG-HO;REEL/FRAME:036992/0630

Effective date: 20150417

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4

AS Assignment

Owner name: INTELLECTUAL KEYSTONE TECHNOLOGY LLC, DELAWARE

Free format text: LICENSE;ASSIGNOR:SAMSUNG ELECTRONICS CO., LTD.;REEL/FRAME:064444/0863

Effective date: 20230621