US9379220B2 - FinFET device structure and methods of making same - Google Patents

FinFET device structure and methods of making same Download PDF

Info

Publication number
US9379220B2
US9379220B2 US14/537,631 US201414537631A US9379220B2 US 9379220 B2 US9379220 B2 US 9379220B2 US 201414537631 A US201414537631 A US 201414537631A US 9379220 B2 US9379220 B2 US 9379220B2
Authority
US
United States
Prior art keywords
dielectric layer
forming
layer
substrate
pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active, expires
Application number
US14/537,631
Other versions
US20150132910A1 (en
Inventor
Yu Chao Lin
Cheng-Han Wu
Eric Chih-Fang Liu
Ryan Chia-Jen Chen
Chao-Cheng Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US14/537,631 priority Critical patent/US9379220B2/en
Publication of US20150132910A1 publication Critical patent/US20150132910A1/en
Application granted granted Critical
Publication of US9379220B2 publication Critical patent/US9379220B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02359Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the surface groups of the insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Definitions

  • Transistors are key components of modern integrated circuits. To satisfy the requirements of increasingly faster speed, the drive currents of transistors need to be increasingly greater. Since the drive currents of transistors are proportional to gate widths of the transistors, transistors with greater widths are preferred.
  • Fin field-effect transistors were thus developed.
  • FinFETs have the advantageous feature of increasing drive current without the cost of occupying more chip area.
  • the small size of FinFET transistors raises numerous issues during their production and manufacturing.
  • FIG. 1 illustrates a substrate with a first dielectric layer, a second dielectric layer, a third dielectric layer, a first hardmask layer, an APF layer, a second hardmask layer, and a BARC layer in accordance with an embodiment
  • FIG. 2 illustrates a patterning of the BARC layer in accordance with an embodiment
  • FIG. 3 illustrates a patterning of the second hardmask layer in accordance with an embodiment
  • FIG. 4 illustrates a patterning of the APF layer and the first hardmask layer in accordance with an embodiment
  • FIG. 5 illustrates the formation of a fourth dielectric in accordance with an embodiment
  • FIG. 6 illustrates the formation of a fifth dielectric layer in accordance with an embodiment
  • FIG. 7 illustrates a removal of the fifth dielectric layer and a portion of the fourth dielectric layer in accordance with an embodiment
  • FIG. 8 illustrates the removal of the first hardmask layer in accordance with an embodiment
  • FIG. 9 illustrates the formation of a second BARC layer, a sixth dielectric layer, and a second photoresist in accordance with an embodiment
  • FIG. 10 illustrates the patterning of the sixth dielectric layer and the second BARC layer in accordance with an embodiment
  • FIG. 11 illustrates a patterning of the third dielectric layer in accordance with an embodiment
  • FIG. 12 illustrates a patterning of the second dielectric layer and the first dielectric layer in accordance with an embodiment
  • FIG. 13 illustrates a first patterning of the substrate 20 in accordance with an embodiment
  • FIG. 14 illustrates a second patterning of the substrate 20 in accordance with an embodiment
  • FIG. 15 illustrates a formation of a seventh dielectric layer and a gate in accordance with an embodiment
  • FIG. 16 illustrates a flow diagram of a method for manufacturing a FinFET device according to an embodiment.
  • Embodiments will be described with respect to a specific context, namely a spacer mask for a FinFET device. Other embodiments may also be applied, however, to other spacer masks for other types of devices.
  • FIGS. 1 through 15 are cross-sectional views of intermediate stages in the manufacturing of a FinFET in accordance with an embodiment
  • FIG. 16 is a process flow of the process shown in FIGS. 1 through 15 .
  • the FinFET device 100 includes a substrate 20 , a first dielectric layer 22 , a second dielectric layer 24 , a third dielectric layer 26 , a first hardmask layer 28 , an advanced patterning film (APF) layer 30 , a second hardmask layer 32 , a first bottom anti-reflective coating (BARC) layer 34 , and a first photoresist 36 over the substrate 20 .
  • the substrate 20 may comprise a semiconductor material such as silicon, germanium, diamond, or the like.
  • the substrate 20 may comprise a silicon-on-insulator (SOI) substrate.
  • SOI substrate comprises a layer of a semiconductor material such as epitaxial silicon, germanium, silicon germanium, SOI, silicon germanium on insulator (SGOI), or combinations thereof.
  • the substrate 20 may be doped with a p-type dopant, such as boron, aluminum, gallium, or the like, although the substrate may alternatively be doped with an n-type dopant, as is known in the art.
  • the substrate 20 may include active and passive devices (not shown in FIG. 1 ). As one of ordinary skill in the art will recognize, a wide variety of devices such as transistors, capacitors, resistors, combinations of these, and the like may be used to generate the structural and functional requirements of the design for the FinFET device 100 . The devices may be formed using any suitable methods. Only a portion of the substrate 20 is illustrated in the figures, as this is sufficient to fully describe the illustrative embodiments.
  • the first dielectric layer 22 may be deposited over the substrate 20 (step 502 ).
  • the first dielectric layer 22 may be made of one or more suitable dielectric materials such as silicon oxide, silicon nitride, low-k dielectrics such as carbon doped oxides, extremely low-k dielectrics such as porous carbon doped silicon dioxide, a polymer such as polyimide, combinations of these, or the like.
  • the first dielectric layer 22 may be deposited through a process such as chemical vapor deposition (CVD), or a spin-on-glass process, although any acceptable process may be utilized to form the first dielectric layer 22 to a thickness between about 30 ⁇ to about 90 ⁇ .
  • the first dielectric layer 22 may be used as an etch stop layer (ESL) for subsequent processes.
  • ESL etch stop layer
  • the second dielectric layer 24 may be deposited over the first dielectric layer 22 (step 502 ).
  • the second dielectric layer 24 may be formed of similar materials and similar processes as the first dielectric layer 22 , although the first dielectric layer 22 and the second dielectric layer 24 need not be the same material.
  • the second dielectric layer 24 may be formed to a thickness between about 300 ⁇ to about 600 ⁇ .
  • the third dielectric layer 26 may be deposited over the second dielectric layer 24 (step 502 ).
  • the third dielectric layer 26 may be used as an ESL for subsequent processes and may be formed of similar materials and similar processes as the first dielectric layer 22 and the second dielectric layer 24 , although the first dielectric layer 22 , the second dielectric layer 24 , and the third dielectric layer 26 need not be the same materials.
  • the third dielectric layer 26 may be formed to a thickness between about 300 ⁇ to about 700 ⁇ .
  • the first dielectric layer 22 , the second dielectric layer 24 , and the third dielectric layer 26 may be a single dielectric layer rather than three separate layers.
  • the first hardmask layer 28 may be formed over the third dielectric layer 26 (step 504 ).
  • the first hardmask layer may be a masking material such as poly-silicon, silicon nitride, the like, or a combination thereof and may be formed using a process such as plasma enhanced chemical vapor deposition (PECVD).
  • PECVD plasma enhanced chemical vapor deposition
  • any other suitable hardmask material, such as silicon oxide, and any other process of formation, such as CVD may alternatively be utilized.
  • the first hardmask layer 28 may be formed to a thickness of between about 800 ⁇ and about 1500 ⁇ .
  • the APF layer 30 may be formed over the first hardmask layer 28 (step 506 ) and may be utilized for critical dimension control in order to obtain and control the desired dimensions of the patterning of the first hardmask layer 28 (not shown in FIG. 1 but illustrated and discussed below with respect to FIG. 4 ).
  • the APF layer 30 may comprise amorphous carbon formed by a CVD process, although other suitable materials and methods of formation may alternatively be utilized.
  • the APF layer 30 may be formed to a thickness of between about 700 ⁇ and about 1200 ⁇ .
  • the second hardmask layer 32 may be formed over the APF layer 30 (step 508 ) and may be utilized to help pattern the APF layer 30 , and may be a masking material such as silicon oxynitride, although other suitable materials, such as silicon oxide or silicon nitride, may alternatively be utilized, and may be formed a process such as CVD. However, any other suitable processes and thicknesses may alternatively be utilized. In an embodiment the second hardmask layer 32 may be formed to a thickness of between about 150 ⁇ and about 400 ⁇ .
  • the first BARC layer 34 may be formed over the second hardmask layer 32 (step 510 ).
  • the first BARC layer 34 prevents radiation in a subsequent photolithographic process to reflect off layers below and interfering with the exposure process. Such interference can increase the critical dimension of the photolithography process.
  • the first BARC layer 34 may comprise SiON, a polymer, the like, or a combination thereof and may be formed by CVD, a spin-on process, the like, or a combination thereof within a lithography tool track. In an embodiment the first BARC layer 34 may be formed to a thickness of between about 400 ⁇ and about 800 ⁇ .
  • a first photoresist 36 may be deposited and patterned over the first BARC layer 34 (step 512 ).
  • FIG. 1 illustrates three separate sections of the first photoresist 36 , there may be more or less sections depending on the number of semiconductor strips 49 and fins 50 (see FIGS. 14 and 15 ) that are desired.
  • the first photoresist 36 may comprise a conventional photoresist material, such as a deep ultra-violet (DUV) photoresist, and may be deposited on the surface of the first BARC layer 34 , for example, by using a spin-on process to place the first photoresist 36 .
  • DUV deep ultra-violet
  • any other suitable material or method of forming or placing the first photoresist 36 may alternatively be utilized.
  • the first photoresist 36 may be exposed to energy, e.g. light, through a patterned reticle in order to induce a reaction in those portions of the first photoresist 36 exposed to the energy.
  • the first photoresist 36 may then be developed, and portions of the first photoresist 36 may be removed, exposing a surface of the first BARC layer 34 .
  • an etch step is further performed into the first BARC layer 34 to remove the exposed portions, thereby patterning the BARC layer 34 as illustrated in FIG. 2 .
  • the pattern may be transferred to the second hardmask layer 32 as illustrated in FIG. 3 (step 514 ).
  • FIG. 4 illustrates the resulting structure after the pattern has been transferred to the APF layer 30 and the first hardmask layer 28 (step 516 ).
  • the pattern may be transferred to the APF layer 30 using, e.g., a dry etch using a mixture of H2/N2/CO.
  • the transfer of the pattern may be performed using, e.g., a dry etch process, whereby reactive ions are directed towards the APF layer 30 with the overlying second hardmask 32 .
  • the patterned second hardmask 32 With the patterned second hardmask layer 32 overlying the APF layer 30 , the patterned second hardmask 32 will block the reactive ions, thereby allowing reactions to occur where the APF layer 30 is exposed by the patterned second hardmask layer 32 , thereby transferring the pattern of the second hardmask layer 32 to the APF layer 30 .
  • the first hardmask layer 28 may be patterned by a dry chemical etch with a plasma source and an etchant gas.
  • the plasma source may be an inductively coupled plasma (ICR) etch, a transformer coupled plasma (TCP) etch, an electron cyclotron resonance (ECR) etch, a reactive ion etch (RIE), or the like.
  • ICR inductively coupled plasma
  • TCP transformer coupled plasma
  • ECR electron cyclotron resonance
  • RIE reactive ion etch
  • the patterned APF layer 30 With the patterned APF layer 30 overlying the first hardmask layer 28 , the patterned APF layer 30 will block the reactive ions, thereby allowing reactions to occur where the first hardmask layer 28 is exposed by the patterned APF layer 30 , thereby transferring the pattern of the APF layer 30 to the first hardmask layer 28 .
  • FIG. 5 illustrates the formation of a fourth dielectric layer 38 over the third dielectric layer 26 and the first hardmask portions 28 (step 518 ).
  • the fourth dielectric layer 38 may be conformally deposited over the third dielectric layer 26 and the first hardmask portions 28 such that the thickness of the fourth dielectric layer 38 on the top surface of the third dielectric layer 26 and the sidewalls of the first hardmask portions 28 is substantially a same thickness.
  • the fourth dielectric layer 38 may be made of one or more suitable dielectric materials such as silicon oxide, silicon nitride, low-k dielectrics such as carbon doped oxides, extremely low-k dielectrics such as porous carbon doped silicon dioxide, a polymer such as polyimide, combinations of these, or the like.
  • the fourth dielectric layer 38 may be deposited through a process such as atomic layer deposition (ALD), CVD, or a spin-on-glass process, although any acceptable process may be utilized to form the fourth dielectric layer 38 to a thickness W 3 between about 200 ⁇ to about 300 ⁇ .
  • the thickness W 3 may be designed such that the width W 1 is equal to width W 2 .
  • the width W 1 and the width W 2 are between about 10 nm and about 30 nm.
  • FIG. 6 illustrates the formation of a fifth dielectric layer 40 on the fourth dielectric layer 38 (step 520 ).
  • the fifth dielectric layer 40 may be formed by various processes and treatments.
  • the fifth dielectric layer may be formed a plasma treatment with a plasma source and one or more gases.
  • the plasma source may be an ICR, a TCP, an ECR, an RIE, or the like.
  • the process for forming the fifth dielectric layer is performing a plasma treatment at a pressure in a range from about 5 mTorr to about 20 mTorr, at a power in a range from about 500 watts to about 2000 watts, with an etching bias in range from about 50 volts to about 300 volts, with a plasma flow including from about 30 standard cubic centimeters per minute (sccm) to about 300 sccm of O 2 , about 5 sccm to about 30 sccm of CH 4 , and about 100 sccm to about 200 sccm of Ar.
  • sccm standard cubic centimeters per minute
  • the fifth dielectric layer 40 may be formed by performing an oxidation process, such as thermal oxidation, on the fourth dielectric layer 38 .
  • the fifth dielectric layer 40 may be conformally deposited over the fourth dielectric layer 38 such that the thickness of the fifth dielectric layer 40 on the top surfaces and sidewalls of the fourth dielectric layer 38 is substantially a same thickness.
  • the fifth dielectric layer 40 may be made of one or more suitable dielectric materials such as oxide, silicon oxide, silicon oxynitride, a polymer such as polyimide, the like, or a combination thereof.
  • FIG. 7 illustrates the etching of the fifth dielectric layer 40 and horizontal portions of the fourth dielectric layer 38 (step 522 ) to expose the first hardmask portions 28 and form fin spacers 42 .
  • the presence of the fifth dielectric layer 40 on the fourth dielectric layer 38 keeps the corners 42 A (shoulders) of the fin spacers 42 to be substantially square.
  • the first hardmask portions 28 form a mandrel to support the fin spacers 42 and may help to prevent deformation of the fin spacers 42 during this etching step.
  • the etching of the fifth dielectric layer 40 and the horizontal portions of the fourth dielectric layer 38 may be a multiple etch process comprising a plasma source and an etchant gas, and the plasma source may be an ICP etch, a TCP etch, an ECR etch, an RIE, or the like.
  • a main etch is performed by a plasma etch at a pressure in a range from about 5 mTorr to about 20 mTorr, at a power in a range from about 500 watts to about 1500 watts, with an etching bias in range from about 50 volts to about 400 volts, with a plasma flow including from about 50 sccm to about 200 sccm of HBr, about 50 sccm to about 300 sccm of CF 4 , about 5 sccm to about 20 sccm of O 2 , and about 100 sccm to about 500 sccm of He.
  • an over-etch performed by a plasma etch at a pressure in a range from about 10 mTorr to about 60 mTorr, at a power in a range from about 300 watts to about 800 watts, with an etching bias in range from about 100 volts to about 500 volts, with a plasma flow including from about 50 sccm to about 200 sccm of O 2 , about 50 sccm to about 300 sccm of CH 3 F, and about 100 sccm to about 400 sccm of He.
  • the first hardmask portions 28 may be removed (step 524 ) as illustrated in FIG. 8 .
  • the top surfaces of the fin spacers 42 may be angled or rounded. The angled or rounded surfaces face in a direction opposite of the location of the removed first hardmask portions 28 .
  • the square corners 42 A of the fin spacers 42 (see FIG. 7 ) allows the fin spacers 42 to have a same width W 3 along the portions of fin spacer 42 with sidewalls that are substantially orthogonal to the top surface of the substrate 20 .
  • the removal of the first hardmask portions 28 may be a multiple etch process.
  • a first etch is a dry chemical etch process comprising a plasma source and an etchant gas
  • the plasma source may be an ICP etch, a TCP etch, an ECR etch, an RIE, or the like.
  • This first etch may be performed by a plasma etch at a pressure in a range from about 10 mTorr to about 100 mTorr, at a power in a range from about 300 watts to about 1000 watts, with an etching bias in range from about 40 volts to about 200 volts, with a plasma flow including from about 50 sccm to about 200 sccm of O 2 .
  • a wet etch may be performed to finish removing the first hardmask portions 28 from between the fin spacers 42 .
  • This wet etch process may comprise a diluted hydrofluoric acid (DHF) for a time between about 10 seconds and about 30 seconds and NH 4 OH for a time between about 100 seconds and about 500 seconds.
  • the removal of the first hardmask portions 28 may comprise a wet etch with an etchant comprising tetramethylammonium hydroxide (TMAH or TMAOH).
  • the width W 1 defines the width between a first pair of fin spacers 42 (see FIG. 5 ), and will later define a first space between a pair of adjacent fins 50 .
  • the width W 2 defines the width between a pair of fin spacers 42 (see FIG. 5 ), and will later define the width of a second space between a pair of adjacent fins 50 , the second space and the first space alternating between pairs of fins 50 (see FIG. 13 ).
  • the width W 3 defines the width of a fin spacer 42 and will later define the width of a fin 50 (see FIG. 5 ).
  • FIG. 9 illustrates the formation of a second BARC layer 44 , a sixth dielectric layer 46 and a second photoresist 48 over the fin spacers 42 and the third dielectric layer 26 .
  • the fin spacers 42 comprise a first active region 200 and the second photoresist 48 is used to pattern a second active region 300 .
  • the second active region 300 may comprise another FinFET device, other active devices, passive devices, the like, or a combination thereof.
  • the second BARC layer 44 may be formed of similar materials and similar processes as the first BARC layer 34 , although the first BARC layer 34 and the second BARC layer 44 need not be the same material. In an embodiment, the second BARC layer 44 may be formed to a thickness between about 1800 ⁇ to about 3000 ⁇ .
  • the sixth dielectric layer 46 may be formed over the second BARC layer 44 .
  • the sixth dielectric layer 46 may be formed of similar materials and similar processes as the first dielectric layer 22 , the second dielectric layer 24 , the third dielectric layer 26 , and the fourth dielectric layer 38 , although the first dielectric layer 22 , the second dielectric layer 24 , the third dielectric layer 26 , the fourth dielectric layer 38 , and the sixth dielectric layer 46 need not be the same material.
  • the sixth dielectric layer 46 may be formed to a thickness between about 400 ⁇ to about 600 ⁇ .
  • the second photoresist 48 may be formed of similar materials and similar processes as the first photoresist 36 , although the first photoresist 36 and the second photoresist 48 need not be the same material. The second photoresist 48 may then be developed, and portions of the second photoresist 48 may be removed, exposing a surface of the sixth dielectric layer 46 .
  • an etch step is further performed into the sixth dielectric layer 46 to remove the exposed portions, thereby patterning the sixth dielectric layer 46 as illustrated in FIG. 10 .
  • the pattern may be transferred to the second BARC layer 44 (step 526 ), thereby exposing the fin spacers 42 and the third dielectric layer 26 .
  • FIG. 11 illustrates the removal of the exposed portions of the third dielectric layer 26 , thereby transferring the pattern of the fin spacers 42 and the second active region 300 to the third dielectric layer 26 (step 528 ).
  • the etching of the third dielectric layer 26 may be performed by, e.g., a dry etch, with etchant gas comprising SF 6 , CF 4 , CHF 3 , He, Ar, the like, or a combination thereof.
  • FIG. 12 illustrates the removal of the exposed portions of the second dielectric layer 24 and the first dielectric layer 22 (step 528 ), thereby transferring the pattern of the fin spacers 42 and the second active region 300 to the second dielectric layer 24 and the first dielectric layer 22 .
  • the etching of the second dielectric layer 24 and the first dielectric layer 22 may be performed by, e.g., a dry etch, with etchant gas comprising SF 6 , CF 4 , CHF 3 , the like, or a combination thereof.
  • FIG. 13 illustrates the transferring of the pattern to the substrate 20 (step 530 ), thereby forming semiconductor strips 49 extending from the substrate 20 in the first active region 200 and a semiconductor device 47 in the second active region 300 .
  • the semiconductor strips 49 may have sidewalls substantially orthogonal to a major surface of the substrate 20 .
  • the spacing between the semiconductor strips 49 W 1 and W 2 are defined by the widths W 1 and W 2 between the fin spacers 42 (see FIGS. 5 and 8 ).
  • the width W 1 is substantially equal to the width W 2 .
  • the fin pitch (fin with+fin spacing) is from about 70 nm to about 150 nm.
  • FIG. 14 illustrates further etching the substrate 20 (step 530 ) to form the semiconductor strips 49 to a depth D 1 and the semiconductor device 47 to a depth D 2 .
  • the semiconductor strip 49 is vertical from the first dielectric layer 22 towards the substrate 20 for a distance from about 200 ⁇ to about 500 ⁇ .
  • the depth D 1 may be between about 1200 ⁇ and about 2500 ⁇
  • the depth D 2 may be between about 1200 ⁇ and about 2500 ⁇ .
  • the depths between the semiconductor strips 49 may be substantially equal.
  • the depths D 1 and D 2 may be substantially equal.
  • the depths D 1 and D 2 may be different by up to about 50 ⁇ due to the adjustable control loading.
  • the etching chamber may be from Lam Research Corp., Applied Materials, Hitachi-Hitech, Tokyo Electron Limited (TEL), or the like.
  • the chamber may have a chiller temperature in a range from 20° C. to about 30° C. and a chamber wall temperature in a range from about 50° C. to about 80° C.
  • the chamber may comprise an electronic static chuck temperature with a four-zone distribution in a range from about 40° C. to about 80° C.
  • any suitable etching chamber vendor or conditions may be used.
  • FIG. 15 illustrates the formation of a seventh dielectric layer 51 over the semiconductor substrate, thereby forming fins 50 extending above a top surface of the seventh dielectric layer 51 and the formation of a gate 52 over the fins 50 (step 532 ).
  • the seventh dielectric layer 51 may be formed of similar materials and similar processes as the first dielectric layer 22 , the second dielectric layer 24 , the third dielectric layer 26 , the fourth dielectric layer 38 , and the sixth dielectric layer 46 , although the first dielectric layer 22 , the second dielectric layer 24 , the third dielectric layer 26 , the fourth dielectric layer 38 , the sixth dielectric layer 46 , and the seventh dielectric layer 51 need not be the same material.
  • the seventh dielectric layer 51 may be deposited over the semiconductor strips 49 such that the top surface of the seventh dielectric layer 51 may extend above the top surfaces of the semiconductor strips 49 .
  • the seventh dielectric layer 51 may be thinned to below the level of the tops of the semiconductor strips 49 .
  • the seventh dielectric layer 51 may be thinned back in a variety of ways. In one embodiment, this is a multi-step process with the first step involving a chemical mechanical polishing (CMP), in which the seventh dielectric layer 51 is reacted and then ground away using an abrasive. This process may continue until the tops of the semiconductor strips 49 are exposed.
  • CMP chemical mechanical polishing
  • the next step of thinning the seventh dielectric layer 51 below the tops of the semiconductor strips 49 may be performed in a variety of ways.
  • One such way is by a DHF treatment or a vapor hydrofluoric acid (VHF) treatment for a suitable time.
  • the CMP process step may be skipped and the seventh dielectric layer 51 may be selectively thinned back without removing the semiconductor strips 49 . This selective thinning may be performed by the DHF treatment or the VHF treatment described above.
  • the gate 52 may be formed over the fins 50 .
  • the gate 52 may include a gate dielectric layer (not shown) and gate spacers (not shown).
  • the gate dielectric layer may be formed by thermal oxidation, CVD, sputtering, or any other methods known and used in the art for forming a gate dielectric.
  • the gate dielectric layer includes dielectric materials having a high dielectric constant (k value), for example, greater than 3.9.
  • the materials may include silicon nitrides, oxynitrides, metal oxides such as HfO 2 , HfZrO x , HfSiO x , HfTiO x , HfAlO x , the like, or combinations and multi-layers thereof.
  • a gate electrode layer (not shown) may be formed over the gate dielectric layer.
  • the gate electrode layer may comprise a conductive material and may be selected from a group comprising polycrystalline-silicon (poly-Si), poly-crystalline silicon-germanium (poly-SiGe), metallic nitrides, metallic silicides, metallic oxides, and metals.
  • the gate electrode layer may be deposited by CVD, sputter deposition, or other techniques known and used in the art for depositing conductive materials.
  • the top surface of the gate electrode layer usually has a non-planar top surface, and may be planarized prior to patterning of the gate electrode layer or gate etch. Ions may or may not be introduced into the gate electrode layer at this point.
  • Ions may be introduced, for example, by ion implantation techniques.
  • the gate electrode layer and the gate dielectric layer may be patterned to form the gate 52 .
  • the gate patterning process may be accomplished by depositing mask material (not shown) such as photoresist or silicon oxide over the gate electrode layer. The mask material is then patterned and the gate electrode layer is etched in accordance with the pattern.
  • source and drain regions may be formed on the fins 50 .
  • the source and drain regions may be doped by performing implanting process to implant appropriate dopants to complement the dopants in the fins 50 .
  • the source and drain regions may be formed by forming recesses (not shown) in fins 50 and epitaxially growing material in the recesses.
  • the source and drain regions may be doped either through an implantation method as discussed above, or else by in-situ doping as the material is grown.
  • a continuous conductive layer may overly the fins 50 in each of the source regions to form a single source region.
  • a continuous conductive layer may overly the four fins 50 in each of the drain regions in each of the drain regions to form a single drain region.
  • Gate spacers may be formed on opposite sides of the gates 52 .
  • the gate spacers are typically formed by blanket depositing a spacer layer (not shown) on the previously formed structure.
  • the spacer layer may comprise SiN, oxynitride, SiC, SiON, oxide, the like, or a combination thereof and may be formed by methods utilized to form such a layer, such as CVD, plasma enhanced CVD, sputter, and other methods known in the art.
  • the gate spacers are then patterned, preferably by anisotropically etching to remove the spacer layer from the horizontal surfaces of the structure.
  • the source and drain regions may comprise a lightly doped region and a heavily doped region.
  • the source and drain regions may be lightly doped. After the gate spacers are formed, the source and drain regions may then be heavily doped. This forms lightly doped regions and heavily doped regions. The lightly doped regions are primarily underneath the gate spacers while the heavily doped regions are outside of the gate spacers along the fins 50 .
  • subsequent processing of the FinFET device 100 may include formation of a contact etch stop layer (CESL), an inter-layer dielectric (ILD), and contacts in the ILD to the source and drain regions and the gate 52 . Further contacts may be made in the ILD to the semiconductor device 47 in the second active region 300 .
  • CTL contact etch stop layer
  • ILD inter-layer dielectric
  • the fin spacers 42 to have square corners (shoulders).
  • the square corners 42 A of the fin spacers 42 allow the fin spacers 42 to have a same width from the top to the bottom of the fin spacer 42 which prevents bowling of the subsequently formed fins 50 and the spacing and depth between the fins 50 are better controlled and may be substantially equal between all of the fins 50 .
  • the fin spacers 42 having the same width provides a larger effective fin spacer height.
  • the wet etch process is a lower cost and allows a higher throughput (wafers per hour) than a dry etch process.
  • An embodiment is a method of forming a semiconductor device, the method including forming a first dielectric layer over a substrate, forming a first hardmask layer on the first dielectric layer, and patterning the first hardmask layer to form a first hardmask portion with a first width.
  • the method further includes forming a second dielectric layer on the first dielectric layer and the first hardmask portion, forming a third dielectric layer on the second dielectric layer, and etching the third dielectric layer and a portion of the second dielectric layer to form a first and second spacer on opposite sides of the first hardmask portion.
  • Another embodiment is a method of forming a FinFET device, the method including forming a first dielectric layer over a substrate, forming a first hardmask layer on the first dielectric layer, patterning the first hardmask layer into a first plurality of strips, at least two of the first plurality of strips having a first width, and conformally depositing a second dielectric layer on the first dielectric layer and the first plurality of strips.
  • the method further includes conformally forming a third dielectric layer on the second dielectric layer, removing the third dielectric layer, and removing the horizontal surfaces of the second dielectric layer, wherein top surfaces of the first plurality of strips are exposed, thereby forming a second plurality of strips of the second dielectric layer, the second plurality of strips having a second width.

Abstract

Embodiments of the present disclosure are a method of forming a semiconductor device and a method of forming a FinFET device. An embodiment is a method of forming a semiconductor device, the method including forming a first dielectric layer over a substrate, forming a first hardmask layer on the first dielectric layer, and patterning the first hardmask layer to form a first hardmask portion with a first width. The method further includes forming a second dielectric layer on the first dielectric layer and the first hardmask portion, forming a third dielectric layer on the second dielectric layer, and etching the third dielectric layer and a portion of the second dielectric layer to form a first and second spacer on opposite sides of the first hardmask portion.

Description

This application is a continuation of U.S. patent application Ser. No. 13/826,310, filed on Mar. 14, 2013, and entitled “FinFET Device Structure and Methods of Making Same,” which claims priority to U.S. Provisional Application No. 61/776,727, filed on Mar. 11, 2013, and entitled “FinFET Device Structure and Methods of Making Same;” which applications are incorporated herein by reference in their entireties.
BACKGROUND
Transistors are key components of modern integrated circuits. To satisfy the requirements of increasingly faster speed, the drive currents of transistors need to be increasingly greater. Since the drive currents of transistors are proportional to gate widths of the transistors, transistors with greater widths are preferred.
The increase in gate widths, however, conflicts with the requirements of reducing the sizes of semiconductor devices. Fin field-effect transistors (FinFET) were thus developed.
The introduction of FinFETs has the advantageous feature of increasing drive current without the cost of occupying more chip area. However, the small size of FinFET transistors raises numerous issues during their production and manufacturing.
BRIEF DESCRIPTION OF THE DRAWINGS
For a more complete understanding of the present embodiments, and the advantages thereof, reference is now made to the following descriptions taken in conjunction with the accompanying drawings, in which:
FIG. 1 illustrates a substrate with a first dielectric layer, a second dielectric layer, a third dielectric layer, a first hardmask layer, an APF layer, a second hardmask layer, and a BARC layer in accordance with an embodiment;
FIG. 2 illustrates a patterning of the BARC layer in accordance with an embodiment;
FIG. 3 illustrates a patterning of the second hardmask layer in accordance with an embodiment;
FIG. 4 illustrates a patterning of the APF layer and the first hardmask layer in accordance with an embodiment;
FIG. 5 illustrates the formation of a fourth dielectric in accordance with an embodiment;
FIG. 6 illustrates the formation of a fifth dielectric layer in accordance with an embodiment;
FIG. 7 illustrates a removal of the fifth dielectric layer and a portion of the fourth dielectric layer in accordance with an embodiment;
FIG. 8 illustrates the removal of the first hardmask layer in accordance with an embodiment;
FIG. 9 illustrates the formation of a second BARC layer, a sixth dielectric layer, and a second photoresist in accordance with an embodiment;
FIG. 10 illustrates the patterning of the sixth dielectric layer and the second BARC layer in accordance with an embodiment;
FIG. 11 illustrates a patterning of the third dielectric layer in accordance with an embodiment;
FIG. 12 illustrates a patterning of the second dielectric layer and the first dielectric layer in accordance with an embodiment;
FIG. 13 illustrates a first patterning of the substrate 20 in accordance with an embodiment;
FIG. 14 illustrates a second patterning of the substrate 20 in accordance with an embodiment;
FIG. 15 illustrates a formation of a seventh dielectric layer and a gate in accordance with an embodiment; and
FIG. 16 illustrates a flow diagram of a method for manufacturing a FinFET device according to an embodiment.
DETAILED DESCRIPTION OF ILLUSTRATIVE EMBODIMENTS
Reference will now be made in detail to embodiments illustrated in the accompanying drawings. Wherever possible, the same reference numbers are used in the drawings and the description to refer to the same or like parts. In the drawings, the shape and thickness may be exaggerated for clarity and convenience. This description will be directed in particular to elements forming part of, or cooperating more directly with, methods and apparatus in accordance with the present disclosure. It is to be understood that elements not specifically shown or described may take various forms well known to those skilled in the art. Many alternatives and modifications will be apparent to those skilled in the art, once informed by the present disclosure.
Reference throughout this specification to “one embodiment” or “an embodiment” means that a particular feature, structure, or characteristic described in connection with the embodiment is included in at least one embodiment. Thus, the appearances of the phrases “in one embodiment” or “in an embodiment” in various places throughout this specification are not necessarily all referring to the same embodiment. Furthermore, the particular features, structures, or characteristics may be combined in any suitable manner in one or more embodiments. It should be appreciated that the following figures are not drawn to scale; rather, these figures are merely intended for illustration.
Embodiments will be described with respect to a specific context, namely a spacer mask for a FinFET device. Other embodiments may also be applied, however, to other spacer masks for other types of devices.
FIGS. 1 through 15 are cross-sectional views of intermediate stages in the manufacturing of a FinFET in accordance with an embodiment, and FIG. 16 is a process flow of the process shown in FIGS. 1 through 15.
With reference to FIG. 1, there is shown a cross-sectional view of a FinFET device 100 at an intermediate stage of processing. The FinFET device 100 includes a substrate 20, a first dielectric layer 22, a second dielectric layer 24, a third dielectric layer 26, a first hardmask layer 28, an advanced patterning film (APF) layer 30, a second hardmask layer 32, a first bottom anti-reflective coating (BARC) layer 34, and a first photoresist 36 over the substrate 20. The substrate 20 may comprise a semiconductor material such as silicon, germanium, diamond, or the like. Alternatively, compound materials such as silicon germanium, silicon carbide, gallium arsenic, indium arsenide, indium phosphide, silicon germanium carbide, gallium arsenic phosphide, gallium indium phosphide, combinations of these, and the like, may also be used. Additionally, the substrate 20 may comprise a silicon-on-insulator (SOI) substrate. Generally, an SOI substrate comprises a layer of a semiconductor material such as epitaxial silicon, germanium, silicon germanium, SOI, silicon germanium on insulator (SGOI), or combinations thereof. The substrate 20 may be doped with a p-type dopant, such as boron, aluminum, gallium, or the like, although the substrate may alternatively be doped with an n-type dopant, as is known in the art.
The substrate 20 may include active and passive devices (not shown in FIG. 1). As one of ordinary skill in the art will recognize, a wide variety of devices such as transistors, capacitors, resistors, combinations of these, and the like may be used to generate the structural and functional requirements of the design for the FinFET device 100. The devices may be formed using any suitable methods. Only a portion of the substrate 20 is illustrated in the figures, as this is sufficient to fully describe the illustrative embodiments.
The first dielectric layer 22 may be deposited over the substrate 20 (step 502). The first dielectric layer 22 may be made of one or more suitable dielectric materials such as silicon oxide, silicon nitride, low-k dielectrics such as carbon doped oxides, extremely low-k dielectrics such as porous carbon doped silicon dioxide, a polymer such as polyimide, combinations of these, or the like. The first dielectric layer 22 may be deposited through a process such as chemical vapor deposition (CVD), or a spin-on-glass process, although any acceptable process may be utilized to form the first dielectric layer 22 to a thickness between about 30 Å to about 90 Å. In an embodiment, the first dielectric layer 22 may be used as an etch stop layer (ESL) for subsequent processes.
The second dielectric layer 24 may be deposited over the first dielectric layer 22 (step 502). The second dielectric layer 24 may be formed of similar materials and similar processes as the first dielectric layer 22, although the first dielectric layer 22 and the second dielectric layer 24 need not be the same material. In an embodiment, the second dielectric layer 24 may be formed to a thickness between about 300 Å to about 600 Å.
The third dielectric layer 26 may be deposited over the second dielectric layer 24 (step 502). The third dielectric layer 26 may be used as an ESL for subsequent processes and may be formed of similar materials and similar processes as the first dielectric layer 22 and the second dielectric layer 24, although the first dielectric layer 22, the second dielectric layer 24, and the third dielectric layer 26 need not be the same materials. In an embodiment, the third dielectric layer 26 may be formed to a thickness between about 300 Å to about 700 Å. In some embodiments, the first dielectric layer 22, the second dielectric layer 24, and the third dielectric layer 26 may be a single dielectric layer rather than three separate layers.
The first hardmask layer 28 may be formed over the third dielectric layer 26 (step 504). The first hardmask layer may be a masking material such as poly-silicon, silicon nitride, the like, or a combination thereof and may be formed using a process such as plasma enhanced chemical vapor deposition (PECVD). However, any other suitable hardmask material, such as silicon oxide, and any other process of formation, such as CVD, may alternatively be utilized. In an embodiment the first hardmask layer 28 may be formed to a thickness of between about 800 Å and about 1500 Å.
The APF layer 30 may be formed over the first hardmask layer 28 (step 506) and may be utilized for critical dimension control in order to obtain and control the desired dimensions of the patterning of the first hardmask layer 28 (not shown in FIG. 1 but illustrated and discussed below with respect to FIG. 4). In an embodiment the APF layer 30 may comprise amorphous carbon formed by a CVD process, although other suitable materials and methods of formation may alternatively be utilized. The APF layer 30 may be formed to a thickness of between about 700 Å and about 1200 Å.
The second hardmask layer 32 may be formed over the APF layer 30 (step 508) and may be utilized to help pattern the APF layer 30, and may be a masking material such as silicon oxynitride, although other suitable materials, such as silicon oxide or silicon nitride, may alternatively be utilized, and may be formed a process such as CVD. However, any other suitable processes and thicknesses may alternatively be utilized. In an embodiment the second hardmask layer 32 may be formed to a thickness of between about 150 Å and about 400 Å.
The first BARC layer 34 may be formed over the second hardmask layer 32 (step 510). The first BARC layer 34 prevents radiation in a subsequent photolithographic process to reflect off layers below and interfering with the exposure process. Such interference can increase the critical dimension of the photolithography process. The first BARC layer 34 may comprise SiON, a polymer, the like, or a combination thereof and may be formed by CVD, a spin-on process, the like, or a combination thereof within a lithography tool track. In an embodiment the first BARC layer 34 may be formed to a thickness of between about 400 Å and about 800 Å.
A first photoresist 36 may be deposited and patterned over the first BARC layer 34 (step 512). Although FIG. 1 illustrates three separate sections of the first photoresist 36, there may be more or less sections depending on the number of semiconductor strips 49 and fins 50 (see FIGS. 14 and 15) that are desired. The first photoresist 36 may comprise a conventional photoresist material, such as a deep ultra-violet (DUV) photoresist, and may be deposited on the surface of the first BARC layer 34, for example, by using a spin-on process to place the first photoresist 36. However, any other suitable material or method of forming or placing the first photoresist 36 may alternatively be utilized. Once the first photoresist 36 has been placed on the first BARC layer 34, the first photoresist 36 may be exposed to energy, e.g. light, through a patterned reticle in order to induce a reaction in those portions of the first photoresist 36 exposed to the energy. The first photoresist 36 may then be developed, and portions of the first photoresist 36 may be removed, exposing a surface of the first BARC layer 34.
After developing and removing a portion of the first photoresist 36, an etch step is further performed into the first BARC layer 34 to remove the exposed portions, thereby patterning the BARC layer 34 as illustrated in FIG. 2. Once the first BARC layer 34 has been patterned, the pattern may be transferred to the second hardmask layer 32 as illustrated in FIG. 3 (step 514).
FIG. 4 illustrates the resulting structure after the pattern has been transferred to the APF layer 30 and the first hardmask layer 28 (step 516). The pattern may be transferred to the APF layer 30 using, e.g., a dry etch using a mixture of H2/N2/CO. In an embodiment the transfer of the pattern may be performed using, e.g., a dry etch process, whereby reactive ions are directed towards the APF layer 30 with the overlying second hardmask 32. With the patterned second hardmask layer 32 overlying the APF layer 30, the patterned second hardmask 32 will block the reactive ions, thereby allowing reactions to occur where the APF layer 30 is exposed by the patterned second hardmask layer 32, thereby transferring the pattern of the second hardmask layer 32 to the APF layer 30.
After the APF layer 30 has been patterned, the pattern may be transferred from the APF layer 30 to the first hardmask layer 28. The first hardmask layer 28 may be patterned by a dry chemical etch with a plasma source and an etchant gas. The plasma source may be an inductively coupled plasma (ICR) etch, a transformer coupled plasma (TCP) etch, an electron cyclotron resonance (ECR) etch, a reactive ion etch (RIE), or the like. In the dry chemical etch, reactive ions are directed towards the first hardmask layer 28 with the overlying patterned APF layer 30. With the patterned APF layer 30 overlying the first hardmask layer 28, the patterned APF layer 30 will block the reactive ions, thereby allowing reactions to occur where the first hardmask layer 28 is exposed by the patterned APF layer 30, thereby transferring the pattern of the APF layer 30 to the first hardmask layer 28.
FIG. 5 illustrates the formation of a fourth dielectric layer 38 over the third dielectric layer 26 and the first hardmask portions 28 (step 518). In an embodiment, the fourth dielectric layer 38 may be conformally deposited over the third dielectric layer 26 and the first hardmask portions 28 such that the thickness of the fourth dielectric layer 38 on the top surface of the third dielectric layer 26 and the sidewalls of the first hardmask portions 28 is substantially a same thickness. The fourth dielectric layer 38 may be made of one or more suitable dielectric materials such as silicon oxide, silicon nitride, low-k dielectrics such as carbon doped oxides, extremely low-k dielectrics such as porous carbon doped silicon dioxide, a polymer such as polyimide, combinations of these, or the like. The fourth dielectric layer 38 may be deposited through a process such as atomic layer deposition (ALD), CVD, or a spin-on-glass process, although any acceptable process may be utilized to form the fourth dielectric layer 38 to a thickness W3 between about 200 Å to about 300 Å. In some embodiments, the thickness W3 may be designed such that the width W1 is equal to width W2. In an embodiment, the width W1 and the width W2 are between about 10 nm and about 30 nm.
FIG. 6 illustrates the formation of a fifth dielectric layer 40 on the fourth dielectric layer 38 (step 520). The fifth dielectric layer 40 may be formed by various processes and treatments. In an embodiment, the fifth dielectric layer may be formed a plasma treatment with a plasma source and one or more gases. The plasma source may be an ICR, a TCP, an ECR, an RIE, or the like. In this embodiment, the process for forming the fifth dielectric layer is performing a plasma treatment at a pressure in a range from about 5 mTorr to about 20 mTorr, at a power in a range from about 500 watts to about 2000 watts, with an etching bias in range from about 50 volts to about 300 volts, with a plasma flow including from about 30 standard cubic centimeters per minute (sccm) to about 300 sccm of O2, about 5 sccm to about 30 sccm of CH4, and about 100 sccm to about 200 sccm of Ar.
In an embodiment, the fifth dielectric layer 40 may be formed by performing an oxidation process, such as thermal oxidation, on the fourth dielectric layer 38. In some embodiments, the fifth dielectric layer 40 may be conformally deposited over the fourth dielectric layer 38 such that the thickness of the fifth dielectric layer 40 on the top surfaces and sidewalls of the fourth dielectric layer 38 is substantially a same thickness. The fifth dielectric layer 40 may be made of one or more suitable dielectric materials such as oxide, silicon oxide, silicon oxynitride, a polymer such as polyimide, the like, or a combination thereof.
FIG. 7 illustrates the etching of the fifth dielectric layer 40 and horizontal portions of the fourth dielectric layer 38 (step 522) to expose the first hardmask portions 28 and form fin spacers 42. The presence of the fifth dielectric layer 40 on the fourth dielectric layer 38 keeps the corners 42A (shoulders) of the fin spacers 42 to be substantially square. The first hardmask portions 28 form a mandrel to support the fin spacers 42 and may help to prevent deformation of the fin spacers 42 during this etching step. In some embodiments, the etching of the fifth dielectric layer 40 and the horizontal portions of the fourth dielectric layer 38 may be a multiple etch process comprising a plasma source and an etchant gas, and the plasma source may be an ICP etch, a TCP etch, an ECR etch, an RIE, or the like. In these embodiments, a main etch is performed by a plasma etch at a pressure in a range from about 5 mTorr to about 20 mTorr, at a power in a range from about 500 watts to about 1500 watts, with an etching bias in range from about 50 volts to about 400 volts, with a plasma flow including from about 50 sccm to about 200 sccm of HBr, about 50 sccm to about 300 sccm of CF4, about 5 sccm to about 20 sccm of O2, and about 100 sccm to about 500 sccm of He. Next, in these embodiments, an over-etch performed by a plasma etch at a pressure in a range from about 10 mTorr to about 60 mTorr, at a power in a range from about 300 watts to about 800 watts, with an etching bias in range from about 100 volts to about 500 volts, with a plasma flow including from about 50 sccm to about 200 sccm of O2, about 50 sccm to about 300 sccm of CH3F, and about 100 sccm to about 400 sccm of He.
After the fifth dielectric layer 40 and the fourth dielectric layer 38 are etched, the first hardmask portions 28 may be removed (step 524) as illustrated in FIG. 8. After the removal of the first hardmask portions 28, the top surfaces of the fin spacers 42 may be angled or rounded. The angled or rounded surfaces face in a direction opposite of the location of the removed first hardmask portions 28. The square corners 42A of the fin spacers 42 (see FIG. 7) allows the fin spacers 42 to have a same width W3 along the portions of fin spacer 42 with sidewalls that are substantially orthogonal to the top surface of the substrate 20. In some embodiments, the removal of the first hardmask portions 28 may be a multiple etch process. In these embodiments, a first etch is a dry chemical etch process comprising a plasma source and an etchant gas, and the plasma source may be an ICP etch, a TCP etch, an ECR etch, an RIE, or the like. This first etch may be performed by a plasma etch at a pressure in a range from about 10 mTorr to about 100 mTorr, at a power in a range from about 300 watts to about 1000 watts, with an etching bias in range from about 40 volts to about 200 volts, with a plasma flow including from about 50 sccm to about 200 sccm of O2. Next, in these embodiments, a wet etch may be performed to finish removing the first hardmask portions 28 from between the fin spacers 42. This wet etch process may comprise a diluted hydrofluoric acid (DHF) for a time between about 10 seconds and about 30 seconds and NH4OH for a time between about 100 seconds and about 500 seconds. In some embodiments, the removal of the first hardmask portions 28 may comprise a wet etch with an etchant comprising tetramethylammonium hydroxide (TMAH or TMAOH).
The width W1 defines the width between a first pair of fin spacers 42 (see FIG. 5), and will later define a first space between a pair of adjacent fins 50. The width W2 defines the width between a pair of fin spacers 42 (see FIG. 5), and will later define the width of a second space between a pair of adjacent fins 50, the second space and the first space alternating between pairs of fins 50 (see FIG. 13). The width W3 defines the width of a fin spacer 42 and will later define the width of a fin 50 (see FIG. 5).
FIG. 9 illustrates the formation of a second BARC layer 44, a sixth dielectric layer 46 and a second photoresist 48 over the fin spacers 42 and the third dielectric layer 26. The fin spacers 42 comprise a first active region 200 and the second photoresist 48 is used to pattern a second active region 300. The second active region 300 may comprise another FinFET device, other active devices, passive devices, the like, or a combination thereof. The second BARC layer 44 may be formed of similar materials and similar processes as the first BARC layer 34, although the first BARC layer 34 and the second BARC layer 44 need not be the same material. In an embodiment, the second BARC layer 44 may be formed to a thickness between about 1800 Å to about 3000 Å.
The sixth dielectric layer 46 may be formed over the second BARC layer 44. The sixth dielectric layer 46 may be formed of similar materials and similar processes as the first dielectric layer 22, the second dielectric layer 24, the third dielectric layer 26, and the fourth dielectric layer 38, although the first dielectric layer 22, the second dielectric layer 24, the third dielectric layer 26, the fourth dielectric layer 38, and the sixth dielectric layer 46 need not be the same material. In an embodiment, the sixth dielectric layer 46 may be formed to a thickness between about 400 Å to about 600 Å.
The second photoresist 48 may be formed of similar materials and similar processes as the first photoresist 36, although the first photoresist 36 and the second photoresist 48 need not be the same material. The second photoresist 48 may then be developed, and portions of the second photoresist 48 may be removed, exposing a surface of the sixth dielectric layer 46.
After developing and removing a portion of the second photoresist 48, an etch step is further performed into the sixth dielectric layer 46 to remove the exposed portions, thereby patterning the sixth dielectric layer 46 as illustrated in FIG. 10. Once the sixth dielectric layer 46 has been patterned, the pattern may be transferred to the second BARC layer 44 (step 526), thereby exposing the fin spacers 42 and the third dielectric layer 26.
FIG. 11 illustrates the removal of the exposed portions of the third dielectric layer 26, thereby transferring the pattern of the fin spacers 42 and the second active region 300 to the third dielectric layer 26 (step 528). The etching of the third dielectric layer 26 may be performed by, e.g., a dry etch, with etchant gas comprising SF6, CF4, CHF3, He, Ar, the like, or a combination thereof.
FIG. 12 illustrates the removal of the exposed portions of the second dielectric layer 24 and the first dielectric layer 22 (step 528), thereby transferring the pattern of the fin spacers 42 and the second active region 300 to the second dielectric layer 24 and the first dielectric layer 22. The etching of the second dielectric layer 24 and the first dielectric layer 22 may be performed by, e.g., a dry etch, with etchant gas comprising SF6, CF4, CHF3, the like, or a combination thereof.
FIG. 13 illustrates the transferring of the pattern to the substrate 20 (step 530), thereby forming semiconductor strips 49 extending from the substrate 20 in the first active region 200 and a semiconductor device 47 in the second active region 300. The semiconductor strips 49 may have sidewalls substantially orthogonal to a major surface of the substrate 20. The spacing between the semiconductor strips 49 W1 and W2 are defined by the widths W1 and W2 between the fin spacers 42 (see FIGS. 5 and 8). In some embodiments, the width W1 is substantially equal to the width W2. In an embodiment, the fin pitch (fin with+fin spacing) is from about 70 nm to about 150 nm.
FIG. 14 illustrates further etching the substrate 20 (step 530) to form the semiconductor strips 49 to a depth D1 and the semiconductor device 47 to a depth D2. In an embodiment, the semiconductor strip 49 is vertical from the first dielectric layer 22 towards the substrate 20 for a distance from about 200 Å to about 500 Å. The depth D1 may be between about 1200 Å and about 2500 Å, and the depth D2 may be between about 1200 Å and about 2500 Å. In some embodiments, the depths between the semiconductor strips 49 may be substantially equal. In some embodiments, the depths D1 and D2 may be substantially equal. There may be adjustable control loading for the dimensions in first active region 200 and the second active region 300. For example, in an embodiment for the depths D1 and D2 may be different by up to about 50 Å due to the adjustable control loading.
The patterning and etch steps described above may be performed in an etching chamber. In some embodiments, the etching chamber may be from Lam Research Corp., Applied Materials, Hitachi-Hitech, Tokyo Electron Limited (TEL), or the like. The chamber may have a chiller temperature in a range from 20° C. to about 30° C. and a chamber wall temperature in a range from about 50° C. to about 80° C. The chamber may comprise an electronic static chuck temperature with a four-zone distribution in a range from about 40° C. to about 80° C. However, as one of ordinary skill in the art would understand, any suitable etching chamber vendor or conditions may be used.
FIG. 15 illustrates the formation of a seventh dielectric layer 51 over the semiconductor substrate, thereby forming fins 50 extending above a top surface of the seventh dielectric layer 51 and the formation of a gate 52 over the fins 50 (step 532). The seventh dielectric layer 51 may be formed of similar materials and similar processes as the first dielectric layer 22, the second dielectric layer 24, the third dielectric layer 26, the fourth dielectric layer 38, and the sixth dielectric layer 46, although the first dielectric layer 22, the second dielectric layer 24, the third dielectric layer 26, the fourth dielectric layer 38, the sixth dielectric layer 46, and the seventh dielectric layer 51 need not be the same material.
The seventh dielectric layer 51 may be deposited over the semiconductor strips 49 such that the top surface of the seventh dielectric layer 51 may extend above the top surfaces of the semiconductor strips 49. In this embodiment, the seventh dielectric layer 51 may be thinned to below the level of the tops of the semiconductor strips 49. The seventh dielectric layer 51 may be thinned back in a variety of ways. In one embodiment, this is a multi-step process with the first step involving a chemical mechanical polishing (CMP), in which the seventh dielectric layer 51 is reacted and then ground away using an abrasive. This process may continue until the tops of the semiconductor strips 49 are exposed. The next step of thinning the seventh dielectric layer 51 below the tops of the semiconductor strips 49 may be performed in a variety of ways. One such way is by a DHF treatment or a vapor hydrofluoric acid (VHF) treatment for a suitable time. In another embodiment, the CMP process step may be skipped and the seventh dielectric layer 51 may be selectively thinned back without removing the semiconductor strips 49. This selective thinning may be performed by the DHF treatment or the VHF treatment described above.
After the formation of the seventh dielectric layer 51, the gate 52 may be formed over the fins 50. The gate 52 may include a gate dielectric layer (not shown) and gate spacers (not shown). The gate dielectric layer may be formed by thermal oxidation, CVD, sputtering, or any other methods known and used in the art for forming a gate dielectric. In other embodiments, the gate dielectric layer includes dielectric materials having a high dielectric constant (k value), for example, greater than 3.9. The materials may include silicon nitrides, oxynitrides, metal oxides such as HfO2, HfZrOx, HfSiOx, HfTiOx, HfAlOx, the like, or combinations and multi-layers thereof.
A gate electrode layer (not shown) may be formed over the gate dielectric layer. The gate electrode layer may comprise a conductive material and may be selected from a group comprising polycrystalline-silicon (poly-Si), poly-crystalline silicon-germanium (poly-SiGe), metallic nitrides, metallic silicides, metallic oxides, and metals. The gate electrode layer may be deposited by CVD, sputter deposition, or other techniques known and used in the art for depositing conductive materials. The top surface of the gate electrode layer usually has a non-planar top surface, and may be planarized prior to patterning of the gate electrode layer or gate etch. Ions may or may not be introduced into the gate electrode layer at this point. Ions may be introduced, for example, by ion implantation techniques. The gate electrode layer and the gate dielectric layer may be patterned to form the gate 52. The gate patterning process may be accomplished by depositing mask material (not shown) such as photoresist or silicon oxide over the gate electrode layer. The mask material is then patterned and the gate electrode layer is etched in accordance with the pattern.
After the formation of the gate 52, source and drain regions (not shown) may be formed on the fins 50. The source and drain regions may be doped by performing implanting process to implant appropriate dopants to complement the dopants in the fins 50. In another embodiment, the source and drain regions may be formed by forming recesses (not shown) in fins 50 and epitaxially growing material in the recesses. The source and drain regions may be doped either through an implantation method as discussed above, or else by in-situ doping as the material is grown. In an embodiment, a continuous conductive layer may overly the fins 50 in each of the source regions to form a single source region. Further, a continuous conductive layer may overly the four fins 50 in each of the drain regions in each of the drain regions to form a single drain region.
Gate spacers (not shown) may be formed on opposite sides of the gates 52. The gate spacers are typically formed by blanket depositing a spacer layer (not shown) on the previously formed structure. The spacer layer may comprise SiN, oxynitride, SiC, SiON, oxide, the like, or a combination thereof and may be formed by methods utilized to form such a layer, such as CVD, plasma enhanced CVD, sputter, and other methods known in the art. The gate spacers are then patterned, preferably by anisotropically etching to remove the spacer layer from the horizontal surfaces of the structure.
In another embodiment, the source and drain regions may comprise a lightly doped region and a heavily doped region. In this embodiment, before the gate spacers are formed, the source and drain regions may be lightly doped. After the gate spacers are formed, the source and drain regions may then be heavily doped. This forms lightly doped regions and heavily doped regions. The lightly doped regions are primarily underneath the gate spacers while the heavily doped regions are outside of the gate spacers along the fins 50.
After the formation of the gate 52, subsequent processing of the FinFET device 100 may include formation of a contact etch stop layer (CESL), an inter-layer dielectric (ILD), and contacts in the ILD to the source and drain regions and the gate 52. Further contacts may be made in the ILD to the semiconductor device 47 in the second active region 300.
It has been found that forming the fifth dielectric layer 40 on the fourth dielectric layer 38 allows the fin spacers 42 to have square corners (shoulders). The square corners 42A of the fin spacers 42 allow the fin spacers 42 to have a same width from the top to the bottom of the fin spacer 42 which prevents bowling of the subsequently formed fins 50 and the spacing and depth between the fins 50 are better controlled and may be substantially equal between all of the fins 50. Also, the fin spacers 42 having the same width provides a larger effective fin spacer height.
Further, by using a wet etch process to finish removing the first hardmask portions 28 (mandrel), there is no concern of damage from a plasma dry etch process on the underlying structures. The wet etch prevents the recessing of the third dielectric layer 26 that may be caused by a dry etch process. Also, the wet etch process is a lower cost and allows a higher throughput (wafers per hour) than a dry etch process.
An embodiment is a method of forming a semiconductor device, the method including forming a first dielectric layer over a substrate, forming a first hardmask layer on the first dielectric layer, and patterning the first hardmask layer to form a first hardmask portion with a first width. The method further includes forming a second dielectric layer on the first dielectric layer and the first hardmask portion, forming a third dielectric layer on the second dielectric layer, and etching the third dielectric layer and a portion of the second dielectric layer to form a first and second spacer on opposite sides of the first hardmask portion.
Another embodiment is a method of forming a FinFET device, the method including forming a first dielectric layer over a substrate, forming a first hardmask layer on the first dielectric layer, patterning the first hardmask layer into a first plurality of strips, at least two of the first plurality of strips having a first width, and conformally depositing a second dielectric layer on the first dielectric layer and the first plurality of strips. The method further includes conformally forming a third dielectric layer on the second dielectric layer, removing the third dielectric layer, and removing the horizontal surfaces of the second dielectric layer, wherein top surfaces of the first plurality of strips are exposed, thereby forming a second plurality of strips of the second dielectric layer, the second plurality of strips having a second width.
Although the present embodiments and their advantages have been described in detail, it should be understood that various changes, substitutions, and alterations can be made herein without departing from the spirit and scope of the disclosure as defined by the appended claims. Moreover, the scope of the present application is not intended to be limited to the particular embodiments of the process, machine, manufacture, composition of matter, means, methods, and steps described in the specification. As one of ordinary skill in the art will readily appreciate from the disclosure, processes, machines, manufacture, compositions of matter, means, methods, or steps, presently existing or later to be developed, that perform substantially the same function or achieve substantially the same result as the corresponding embodiments described herein may be utilized according to the present disclosure. Accordingly, the appended claims are intended to include within their scope such processes, machines, manufacture, compositions of matter, means, methods, or steps.

Claims (20)

What is claimed is:
1. A method comprising:
forming mandrels on a substrate;
conformally depositing a first dielectric layer on the mandrels, the first dielectric layer having horizontal portions on upper surfaces of the mandrels and vertical portions on sidewall surfaces of the mandrels;
forming a second dielectric layer on the first dielectric layer by treating the first dielectric layer; and
etching the second dielectric layer and the horizontal portions of the first dielectric layer, the etching removing the horizontal portions of the first dielectric layer, the vertical portions of the first dielectric layer remaining after the etching to form a pattern.
2. The method of claim 1, wherein the treating the first dielectric layer comprises using a plasma treatment.
3. The method of claim 1, wherein the treating the first dielectric layer comprises using an oxidation treatment.
4. The method of claim 1, wherein the etching the second dielectric layer completely removes the second dielectric layer.
5. The method of claim 1 further comprising, after the etching the second dielectric layer and the horizontal portions of the first dielectric layer, removing the mandrels.
6. The method of claim 1 further comprising transferring the pattern of the vertical portion of the first dielectric layer to the substrate, the transferring comprising etching.
7. The method of claim 1 further comprising transferring the pattern of the vertical portion of the first dielectric layer to the substrate, the transferring forming fins in the substrate.
8. The method of claim 1 further comprising:
forming fins in the substrate by etching the pattern of the vertical portion of the first dielectric layer in the substrate;
forming a gate dielectric layer over the fins;
forming a gate over the gate dielectric layer; and
forming source /drain regions in the fins.
9. A method comprising:
patterning a first dielectric layer on a substrate into strips;
conformally depositing a second dielectric layer on sidewalls and upper surfaces of the strips;
treating the second dielectric layer to form a third dielectric layer conformally on the second dielectric layer;
etching the third dielectric layer and horizontal portions of the second dielectric layer to form spacers, each of the spacers being on a respective one of the sidewalls of the strips; and
transferring a pattern of the spacers to the substrate to form fins in the substrate.
10. The method of claim 9, wherein the treating the second dielectric layer comprises a plasma treatment.
11. The method of claim 9, wherein the treating the second dielectric layer comprises an oxidation process.
12. The method of claim 9 further comprising removing the strips before the transferring the pattern of the spacers to the substrate.
13. The method of claim 9, wherein the etching the third dielectric layer completely removes the third dielectric layer.
14. The method of claim 9 further comprising:
forming a gate dielectric layer over the fins;
forming a gate over the gate dielectric layer; and
forming source /drain regions in the fins.
15. A method comprising:
forming a mandrel on a substrate, the mandrel having sidewalls and an upper surface;
conformally forming a first dielectric layer on the sidewalls and the upper surface of the mandrel;
treating the first dielectric layer, the treating forming a second dielectric layer conformally along the first dielectric layer;
after the treating the first dielectric layer, forming a pattern from the first dielectric layer, the pattern comprising portions of the first dielectric layer on the sidewalls of the mandrel, the forming the pattern comprising anisotropically etching the first dielectric layer; and
transferring the pattern to the substrate to form fins.
16. The method of claim 15, wherein the treating the first dielectric layer comprises a plasma treatment.
17. The method of claim 15, wherein the treating the first dielectric layer comprises an oxidation process.
18. The method of claim 15, wherein the forming the pattern comprises removing the second dielectric layer.
19. The method of claim 15 further comprising removing the mandrel before the transferring the pattern to the substrate.
20. The method of claim 15 further comprising:
forming a gate dielectric layer over the fins;
forming a gate over the gate dielectric layer; and
forming source/drain regions in the fins.
US14/537,631 2013-03-11 2014-11-10 FinFET device structure and methods of making same Active 2033-05-03 US9379220B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/537,631 US9379220B2 (en) 2013-03-11 2014-11-10 FinFET device structure and methods of making same

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361776727P 2013-03-11 2013-03-11
US13/826,310 US8900937B2 (en) 2013-03-11 2013-03-14 FinFET device structure and methods of making same
US14/537,631 US9379220B2 (en) 2013-03-11 2014-11-10 FinFET device structure and methods of making same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/826,310 Continuation US8900937B2 (en) 2013-03-11 2013-03-14 FinFET device structure and methods of making same

Publications (2)

Publication Number Publication Date
US20150132910A1 US20150132910A1 (en) 2015-05-14
US9379220B2 true US9379220B2 (en) 2016-06-28

Family

ID=51488307

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/826,310 Expired - Fee Related US8900937B2 (en) 2013-03-11 2013-03-14 FinFET device structure and methods of making same
US14/537,631 Active 2033-05-03 US9379220B2 (en) 2013-03-11 2014-11-10 FinFET device structure and methods of making same

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US13/826,310 Expired - Fee Related US8900937B2 (en) 2013-03-11 2013-03-14 FinFET device structure and methods of making same

Country Status (1)

Country Link
US (2) US8900937B2 (en)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8561003B2 (en) 2011-07-29 2013-10-15 Synopsys, Inc. N-channel and P-channel finFET cell architecture with inter-block insulator
US8595661B2 (en) * 2011-07-29 2013-11-26 Synopsys, Inc. N-channel and p-channel finFET cell architecture
US9711368B2 (en) * 2013-04-15 2017-07-18 United Microelectronics Corp. Sidewall image transfer process
US9412664B2 (en) 2013-05-06 2016-08-09 International Business Machines Corporation Dual material finFET on single substrate
CN104347421A (en) * 2013-08-07 2015-02-11 中芯国际集成电路制造(北京)有限公司 Method for forming finned field-effect transistor (FET)
US9121890B2 (en) * 2013-10-30 2015-09-01 Globalfoundries Inc. Planar metrology pad adjacent a set of fins of a fin field effect transistor device
US9177797B2 (en) * 2013-12-04 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using high selectivity spacers for pitch reduction
MY188063A (en) 2013-12-23 2021-11-15 Intel Corp Advanced etching techniques for straight, tall and uniform fins across multiple fin pitch structures
US9761449B2 (en) * 2013-12-30 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Gap filling materials and methods
KR102279715B1 (en) * 2014-05-09 2021-07-22 삼성전자주식회사 Method of forming semiconductor device and the device
US10053361B2 (en) * 2014-12-26 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of selectively removing an anti-stiction layer on a eutectic bonding area
TWI653687B (en) * 2015-07-01 2019-03-11 聯華電子股份有限公司 Semiconductor device and method for fabricating the same
KR20170016107A (en) 2015-08-03 2017-02-13 삼성전자주식회사 Method for fabricating semiconductor device
KR102480002B1 (en) 2015-09-23 2022-12-22 삼성전자주식회사 Semiconductor device and method of manufacturing the same and method of forming pattern
US9553088B1 (en) * 2015-09-24 2017-01-24 International Business Machines Corporation Forming semiconductor device with close ground rules
US9882028B2 (en) * 2016-06-29 2018-01-30 International Business Machines Corporation Pitch split patterning for semiconductor devices
US10062584B1 (en) 2017-06-05 2018-08-28 United Microelectronics Corp. Method for forming semiconductor structure
US10297510B1 (en) * 2018-04-25 2019-05-21 Internationel Business Machines Corporation Sidewall image transfer process for multiple gate width patterning
US11177177B2 (en) * 2018-11-30 2021-11-16 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and method of manufacture

Citations (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5811358A (en) 1997-01-03 1998-09-22 Mosel Vitelic Inc. Low temperature dry process for stripping photoresist after high dose ion implantation
US6713392B1 (en) 2000-10-05 2004-03-30 Advanced Micro Devices, Inc. Nitrogen oxide plasma treatment for reduced nickel silicide bridging
US6794313B1 (en) 2002-09-20 2004-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Oxidation process to improve polysilicon sidewall roughness
US20060292772A1 (en) * 2005-06-24 2006-12-28 International Business Machines Corporation Dense pitch bulk finfet process by selective epi and etch
US20070049040A1 (en) 2005-03-15 2007-03-01 Micron Technology, Inc., A Corporation Multiple deposition for integration of spacers in pitch multiplication process
US20070190708A1 (en) * 2006-01-31 2007-08-16 Akio Kaneko Semiconductor device and method manufacturing semiconductor device
US20070257319A1 (en) * 2006-05-05 2007-11-08 Texas Instruments Incorporated Integrating high performance and low power multi-gate devices
US20080041813A1 (en) 2006-08-21 2008-02-21 Atmel Corporation Methods and compositions for wet etching
US7387973B2 (en) 2004-09-30 2008-06-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving low-K dielectrics by supercritical fluid treatments
US20080251843A1 (en) * 2007-04-16 2008-10-16 Kabushiki Kaisha Toshiba Semiconductor memory device and manufacturing method thereof
US20090001442A1 (en) * 2007-06-26 2009-01-01 Yoshio Ozawa Nonvolatile semiconductor memory device and method of manufacturing the same
US20090101995A1 (en) 2006-11-14 2009-04-23 International Business Machines Corporation PROCESS FOR FABRICATION OF FINFETs
US20090309152A1 (en) 2008-06-11 2009-12-17 Roman Knoefler Integrated Circuits Having a Contact Region and Methods for Manufacturing the Same
US20100155844A1 (en) 2006-08-01 2010-06-24 Nec Corporation Semiconductor device and method for manufacturing the same
US20100246285A1 (en) * 2009-03-24 2010-09-30 Micron Technology, Inc. Methods, devices, and systems relating to a memory cell having a floating body
US20110053361A1 (en) 2009-09-02 2011-03-03 Ramachandran Muralidhar FinFET Formation with a Thermal Oxide Spacer Hard Mask Formed from Crystalline Silicon Layer
US20110108920A1 (en) * 2009-11-09 2011-05-12 International Business Machines Corporation High-k/metal gate cmos finfet with improved pfet threshold voltage
US20110248382A1 (en) 2008-12-30 2011-10-13 Fabio Pellizzer Double patterning method for creating a regular array of pillars with dual shallow trench isolation
US20120037877A1 (en) 2010-08-12 2012-02-16 Macronix International Co., Ltd. One-mask phase change memory process integration
US20120252221A1 (en) 2011-03-31 2012-10-04 Gregory De Brabander Forming curved features using a shadow mask
US20120286364A1 (en) 2011-05-10 2012-11-15 International Business Machines Corporation Integrated Circuit Diode
US20130253690A1 (en) 2012-03-21 2013-09-26 Renesas Electronics Corporation Method of manufacturing semiconductor device
US8546202B2 (en) 2011-11-09 2013-10-01 United Microelectronics Corp. Manufacturing method for semiconductor structures
US20140151687A1 (en) * 2012-12-03 2014-06-05 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US20150214333A1 (en) * 2013-05-30 2015-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Tuning Strain in Semiconductor Devices
US20150380257A1 (en) * 2013-03-05 2015-12-31 Qualcomm Incorporated Method of forming finfet having fins of different height

Patent Citations (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5811358A (en) 1997-01-03 1998-09-22 Mosel Vitelic Inc. Low temperature dry process for stripping photoresist after high dose ion implantation
US6713392B1 (en) 2000-10-05 2004-03-30 Advanced Micro Devices, Inc. Nitrogen oxide plasma treatment for reduced nickel silicide bridging
US6794313B1 (en) 2002-09-20 2004-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Oxidation process to improve polysilicon sidewall roughness
US7387973B2 (en) 2004-09-30 2008-06-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving low-K dielectrics by supercritical fluid treatments
US20070049040A1 (en) 2005-03-15 2007-03-01 Micron Technology, Inc., A Corporation Multiple deposition for integration of spacers in pitch multiplication process
US20060292772A1 (en) * 2005-06-24 2006-12-28 International Business Machines Corporation Dense pitch bulk finfet process by selective epi and etch
US20070190708A1 (en) * 2006-01-31 2007-08-16 Akio Kaneko Semiconductor device and method manufacturing semiconductor device
US20070257319A1 (en) * 2006-05-05 2007-11-08 Texas Instruments Incorporated Integrating high performance and low power multi-gate devices
US20100155844A1 (en) 2006-08-01 2010-06-24 Nec Corporation Semiconductor device and method for manufacturing the same
US20080041813A1 (en) 2006-08-21 2008-02-21 Atmel Corporation Methods and compositions for wet etching
US20090101995A1 (en) 2006-11-14 2009-04-23 International Business Machines Corporation PROCESS FOR FABRICATION OF FINFETs
US20080251843A1 (en) * 2007-04-16 2008-10-16 Kabushiki Kaisha Toshiba Semiconductor memory device and manufacturing method thereof
US20090001442A1 (en) * 2007-06-26 2009-01-01 Yoshio Ozawa Nonvolatile semiconductor memory device and method of manufacturing the same
US20090309152A1 (en) 2008-06-11 2009-12-17 Roman Knoefler Integrated Circuits Having a Contact Region and Methods for Manufacturing the Same
US20110248382A1 (en) 2008-12-30 2011-10-13 Fabio Pellizzer Double patterning method for creating a regular array of pillars with dual shallow trench isolation
US20100246285A1 (en) * 2009-03-24 2010-09-30 Micron Technology, Inc. Methods, devices, and systems relating to a memory cell having a floating body
US20110053361A1 (en) 2009-09-02 2011-03-03 Ramachandran Muralidhar FinFET Formation with a Thermal Oxide Spacer Hard Mask Formed from Crystalline Silicon Layer
US20110108920A1 (en) * 2009-11-09 2011-05-12 International Business Machines Corporation High-k/metal gate cmos finfet with improved pfet threshold voltage
US20120037877A1 (en) 2010-08-12 2012-02-16 Macronix International Co., Ltd. One-mask phase change memory process integration
US20120252221A1 (en) 2011-03-31 2012-10-04 Gregory De Brabander Forming curved features using a shadow mask
US20120286364A1 (en) 2011-05-10 2012-11-15 International Business Machines Corporation Integrated Circuit Diode
US8546202B2 (en) 2011-11-09 2013-10-01 United Microelectronics Corp. Manufacturing method for semiconductor structures
US20130253690A1 (en) 2012-03-21 2013-09-26 Renesas Electronics Corporation Method of manufacturing semiconductor device
US20140151687A1 (en) * 2012-12-03 2014-06-05 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US20150380257A1 (en) * 2013-03-05 2015-12-31 Qualcomm Incorporated Method of forming finfet having fins of different height
US20150214333A1 (en) * 2013-05-30 2015-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Tuning Strain in Semiconductor Devices

Also Published As

Publication number Publication date
US20150132910A1 (en) 2015-05-14
US20140256093A1 (en) 2014-09-11
US8900937B2 (en) 2014-12-02

Similar Documents

Publication Publication Date Title
US9379220B2 (en) FinFET device structure and methods of making same
US9276089B2 (en) FinFETs and methods for forming the same
US9349839B2 (en) FinFET device structure and methods of making same
US9543301B2 (en) Fin-last FinFET and methods of forming same
US9929269B2 (en) FinFET having an oxide region in the source/drain region
TWI662600B (en) Semiconductor device and method of manufacturing the same
US8658539B2 (en) Fin profile structure and method of making same
CN103177950B (en) Manufacture structure and the method for fin device
US8404592B2 (en) Methods for fabricating FinFET semiconductor devices using L-shaped spacers
US20110272739A1 (en) Method for fabricating a strained structure
US20130277760A1 (en) Dummy FinFET Structure and Method of Making Same
TWI696220B (en) Method of fabricating semiconductor device
US9425053B2 (en) Block mask litho on high aspect ratio topography with minimal semiconductor material damage
US9305802B2 (en) Methods of forming semiconductor devices using hard masks
TW201903858A (en) Semiconductor device manufacturing method
US20200006533A1 (en) Semiconductor Device and Method
TW202025261A (en) Method for forming semiconductor device
US9748111B2 (en) Method of fabricating semiconductor structure using planarization process and cleaning process
CN108807377B (en) Semiconductor device and method of forming the same
CN112053946A (en) Semiconductor device and method of forming the same
SG185874A1 (en) Semiconductor devices having encapsulated isolation regions and related fabrication methods

Legal Events

Date Code Title Description
STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8