US9315609B2 - Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference - Google Patents

Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference Download PDF

Info

Publication number
US9315609B2
US9315609B2 US14/104,304 US201314104304A US9315609B2 US 9315609 B2 US9315609 B2 US 9315609B2 US 201314104304 A US201314104304 A US 201314104304A US 9315609 B2 US9315609 B2 US 9315609B2
Authority
US
United States
Prior art keywords
block copolymer
copolymer material
poly
organic solvent
vapor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
US14/104,304
Other versions
US20140107296A1 (en
Inventor
Dan B. Millward
Timothy A. Quick
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
US Bank NA
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to US14/104,304 priority Critical patent/US9315609B2/en
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of US20140107296A1 publication Critical patent/US20140107296A1/en
Priority to US15/090,144 priority patent/US10153200B2/en
Application granted granted Critical
Publication of US9315609B2 publication Critical patent/US9315609B2/en
Assigned to U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT reassignment U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MICRON TECHNOLOGY, INC.
Assigned to MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT reassignment MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT PATENT SECURITY AGREEMENT Assignors: MICRON TECHNOLOGY, INC.
Assigned to U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT reassignment U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT CORRECTIVE ASSIGNMENT TO CORRECT THE REPLACE ERRONEOUSLY FILED PATENT #7358718 WITH THE CORRECT PATENT #7358178 PREVIOUSLY RECORDED ON REEL 038669 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY INTEREST. Assignors: MICRON TECHNOLOGY, INC.
Assigned to JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT reassignment JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MICRON SEMICONDUCTOR PRODUCTS, INC., MICRON TECHNOLOGY, INC.
Assigned to MICRON TECHNOLOGY, INC. reassignment MICRON TECHNOLOGY, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT
Priority to US16/215,242 priority patent/US11282741B2/en
Assigned to MICRON TECHNOLOGY, INC. reassignment MICRON TECHNOLOGY, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT
Assigned to MICRON TECHNOLOGY, INC., MICRON SEMICONDUCTOR PRODUCTS, INC. reassignment MICRON TECHNOLOGY, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F299/00Macromolecular compounds obtained by interreacting polymers involving only carbon-to-carbon unsaturated bond reactions, in the absence of non-macromolecular monomers
    • C08F299/02Macromolecular compounds obtained by interreacting polymers involving only carbon-to-carbon unsaturated bond reactions, in the absence of non-macromolecular monomers from unsaturated polycondensates
    • C08F299/04Macromolecular compounds obtained by interreacting polymers involving only carbon-to-carbon unsaturated bond reactions, in the absence of non-macromolecular monomers from unsaturated polycondensates from polyesters
    • C08F299/0485Macromolecular compounds obtained by interreacting polymers involving only carbon-to-carbon unsaturated bond reactions, in the absence of non-macromolecular monomers from unsaturated polycondensates from polyesters from polyesters with side or terminal unsaturations
    • C08F299/0492Macromolecular compounds obtained by interreacting polymers involving only carbon-to-carbon unsaturated bond reactions, in the absence of non-macromolecular monomers from unsaturated polycondensates from polyesters from polyesters with side or terminal unsaturations the unsaturation being in acrylic or methacrylic groups
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00031Regular or irregular arrays of nanoscale structures, e.g. etch mask layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F299/00Macromolecular compounds obtained by interreacting polymers involving only carbon-to-carbon unsaturated bond reactions, in the absence of non-macromolecular monomers
    • C08F299/02Macromolecular compounds obtained by interreacting polymers involving only carbon-to-carbon unsaturated bond reactions, in the absence of non-macromolecular monomers from unsaturated polycondensates
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0275Photolithographic processes using lasers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0128Processes for removing material
    • B81C2201/013Etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0147Film patterning
    • B81C2201/0149Forming nanoscale microstructures using auto-arranging or self-assembling material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0198Manufacture or treatment of microstructural devices or systems in or on a substrate for making a masking layer

Definitions

  • Embodiments of the invention relate to methods of fabricating thin films of self-assembling block copolymers, and devices resulting from those methods.
  • diblock copolymer films spontaneously assembly into periodic structures by microphase separation of the constituent polymer blocks after annealing, for example, by thermal annealing above the glass transition temperature of the polymer or by solvent annealing, forming ordered domains at nanometer-scale dimensions.
  • the film morphology including the size and shape of the microphase-separated domains, can be controlled by the molecular weight and volume fraction of the AB blocks of a diblock copolymer to produce lamellar, cylindrical, or spherical morphologies, among others.
  • a block copolymer film will microphase separate and self-assemble into periodic spherical domains with spheres of polymer B surrounded by a matrix of polymer A.
  • the diblock copolymer For ratios of the two blocks between about 60:40 and 80:20, the diblock copolymer assembles into a periodic hexagonal close-packed or honeycomb array of cylinders of polymer B within a matrix of polymer A. For ratios between about 50:50 and 60:40, lamellar domains or alternating stripes of the blocks are formed. Domain size typically ranges from 5-50 nm.
  • BCPs block copolymers
  • thermal annealing of most BCPs e.g., PS-b-PVP, etc.
  • a variant of thermal annealing called zone annealing can provide rapid self-assembly (e.g., on the order of minutes) but is only effective for a small number of BCPs (e.g., PS-b-PMMA, PS-b-PLA) with polymer domains that equally wet the air vapor interface.
  • Solvent annealing of BCPs has been used to produce a perpendicular orientation of the self-assembled domains to the substrate, but is generally a very slow process, typically on the order of days, and can require large volumes of the solvent.
  • a typical solvent anneal is conducted by exposing a BCP film to a saturated solvent atmosphere at 25° C. for at least 12 hours (often longer).
  • FIG. 1 illustrates a diagrammatic top plan view of a portion of a substrate at a preliminary processing stage according to an embodiment of the present disclosure, showing the substrate with a neutral wetting material thereon.
  • FIGS. 1A and 1B are elevational, cross-sectional views of the substrate depicted in FIG. 1 taken along lines 1 A- 1 A and 1 B- 1 B, respectively.
  • FIG. 2 illustrates a diagrammatic top plan view of the substrate of FIG. 1 at a subsequent stage showing the formation of trenches in a material layer formed on the neutral wetting material.
  • FIGS. 2A and 2B illustrate elevational, cross-sectional views of a portion of the substrate depicted in FIG. 2 taken, respectively, along lines 2 A- 2 A and 2 B- 2 B.
  • FIG. 3 illustrates a side elevational view of a portion of a substrate at a preliminary processing stage according to another embodiment of the disclosure, showing the substrate with trenches in a material layer formed on the substrate.
  • FIG. 4 illustrates a side elevational view of the substrate of FIG. 3 at a subsequent stage showing the formation of a neutral wetting material within the trenches.
  • FIG. 5 is a diagrammatic top plan view of the substrate of FIG. 2 at a subsequent stage showing a block copolymer material within the trenches.
  • FIGS. 5A and 5B illustrate elevational, cross-sectional views of a portion of the substrate depicted in FIG. 5 taken along lines 5 A- 5 A and 5 B- 5 B, respectively.
  • FIGS. 6-8 are diagrammatic top plan views of the substrate of FIG. 5 at subsequent stages showing annealing of a portion of the film according to an embodiment of the invention by a zoned annealing technique.
  • FIGS. 6A-8A illustrate elevational, cross-sectional views of the substrate depicted in FIGS. 6-8 taken along lines 6 A- 6 A, 7 A- 7 A and 8 A- 8 A, respectively, showing an embodiment of a heating device for zone annealing the film.
  • FIG. 6B is an elevational, cross-sectional view of the substrate depicted in FIG. 6 taken along lines 6 B- 6 B.
  • FIG. 9 is a top plan view of the substrate of FIG. 5 at a subsequent stage according to another embodiment of a method of the invention, illustrating placement of a non-preferential wetting material over the block copolymer material during an anneal.
  • FIGS. 9A and 9B are elevational, cross-sectional views of the substrate depicted in FIG. 9 taken along lines 9 A- 9 A and 9 B- 9 B, respectively.
  • FIGS. 10A and 10B are cross-sectional views of the substrate shown in FIGS. 9A and 9B , respectively, at a subsequent stage showing the annealed, self-assembled block copolymer material, and removal of the non-preferential wetting material after the anneal.
  • FIGS. 11-13 are top plan views of the substrate of FIG. 8 at subsequent stages, illustrating an embodiment of the use of the self-assembled block copolymer film after removal of one of the polymer blocks, as a mask to etch the substrate and filling of the etched openings.
  • FIGS. 11A-13A illustrate elevational, cross-sectional views of a portion of the substrate depicted in FIGS. 11-13 taken along lines 11 A- 11 A to 13 A- 13 A, respectively.
  • FIGS. 11B-13B are cross-sectional views of the substrate depicted in FIGS. 11-13 taken along lines 11 B- 11 B to 13 B- 13 B, respectively.
  • substrate refers to any supporting structure including, but not limited to, the semiconductive substrates, wafer fragments or wafers described above.
  • L o is the inherent periodicity or pitch value (bulk period or repeat unit) of structures that self-assemble upon annealing from a self-assembling (SA) block copolymer.
  • L B is the periodicity or pitch value of a blend of a block copolymer with one or more of its constituent homopolymers.
  • L is used herein to indicate the center-to-center cylinder pitch or spacing of cylinders of the block copolymer or blend, and is equivalent to “L o ” for a pure block copolymer and “L B ” for a copolymer blend.
  • a polymer material e.g., film, layer
  • a polymer material is prepared by guided self-assembly of block copolymers, with both polymer domains at the air interface.
  • the block copolymer material spontaneously assembles into periodic structures by microphase separation of the constituent polymer blocks after annealing, forming ordered domains of perpendicular-oriented cylinders at nanometer-scale dimensions within a trench.
  • a method for fabricating a self-assembled block copolymer material that defines a one-dimensional (1D) array of nanometer-scale, perpendicular-oriented cylinders according to an embodiment of the invention is illustrated with reference to FIGS. 1-8 .
  • the described embodiment involves a thermal anneal of a cylindrical-phase block copolymer under a solvent atmosphere.
  • the anneal is conducted in combination with a graphoepitaxy technique that utilizes a lithographically defined trench as a guide with a floor composed of a material that is neutral wetting to both polymer blocks, and sidewalls and ends that are preferential wetting to one polymer block and function as constraints to induce the block copolymer to self-assemble into an ordered 1D array of a single row of cylinders in a polymer matrix oriented perpendicular to the trench floor and registered to the trench sidewalls.
  • two or more rows of perpendicular-oriented cylinders can be formed in each trench.
  • a substrate 10 is provided, which can be silicon, silicon oxide, silicon nitride, silicon oxynitride, silicon oxycarbide, among other materials.
  • conductive lines 12 or other active area, e.g., semiconducting regions are situated within the substrate 10 .
  • a single trench or multiple trenches can be fainted in the substrate, and can span the entire width of an array of lines (or other active area).
  • the substrate 10 is provided with an array of conductive lines 12 (or other active areas) at a pitch of L.
  • the trench or trenches are formed over the active areas 12 (e.g., lines) such that when the block copolymer material is annealed, each cylinder will be situated above a single active area 12 (e.g., a conductive line).
  • multiple trenches 18 are formed with the ends 24 of each adjacent trench 18 aligned or slightly offset from each other at less than 5% of L such that cylinders in adjacent trenches 18 are aligned and situated above the same conductive line 12 .
  • a neutral wetting material 14 (e.g., random copolymer) has been formed over the substrate 10 .
  • a material layer 16 (or one or more material layers) can then be formed over the neutral wetting material 14 and etched to form trenches 18 that are oriented perpendicular to the array of conductive lines 12 , as shown in FIGS. 2-2B . Portions of the material layer 16 form a spacer 20 outside and between the trenches.
  • the trenches 18 are structured with opposing sidewalls 22 , opposing ends 24 , a floor 26 , a width (w t ), a length (l t ) and a depth (D t ).
  • the material layer 16 ′ can be formed on the substrate 10 ′, etched to form the trenches 18 ′ as depicted in FIG. 3 , and a neutral wetting material 14 ′ can then be formed on the trench floors 26 ′ as shown in FIG. 4 .
  • a random copolymer material can be deposited into the trenches 18 ′ and crosslinked to form a neutral wetting material layer. Material on surfaces outside the trenches 18 ′ such as on the spacers 20 ′ (e.g., non-crosslinked random copolymer) can be subsequently removed.
  • Single or multiple trenches 18 can be formed using a lithographic tool having an exposure system capable of patterning at the scale of L (10-100 nm).
  • exposure systems include, for example, extreme ultraviolet (EUV) lithography, proximity X-rays and electron beam (E-beam) lithography, as known and used in the art.
  • EUV extreme ultraviolet
  • E-beam electron beam
  • Conventional photolithography can attain (at smallest) about 58 nm features.
  • a method called “pitch doubling” or “pitch multiplication” can also be used for extending the capabilities of photolithographic techniques beyond their minimum pitch, as described, for example, in U.S. Pat. No. 5,328,810 (Lowrey et al.), U.S. Pat. No. 7,115,525 (Abatchev, et al.), U.S. Patent Publication 2006/0281266 (U.S. Pat. No. 7,396,781, issued Jul. 8, 2008, to Wells) and U.S. Patent Publication 2007/0023805 (U.S. Pat. No. 7,776,715, issued Aug. 17, 2010, to Wells).
  • a pattern of lines is photolithographically formed in a photoresist material overlying a layer of an expendable material, which in turn overlies a substrate, the expendable material layer is etched to form placeholders or mandrels, the photoresist is stripped, spacers are formed on the sides of the mandrels, and the mandrels are then removed, leaving behind the spacers as a mask for patterning the substrate.
  • the initial photolithography formed a pattern defining one feature and one space
  • the same width now defines two features and two spaces, with the spaces defined by the spacers.
  • the smallest feature size possible with a photolithographic technique is effectively decreased down to about 30 nm or less.
  • Factors in forming a single 1D array or layer of perpendicular-oriented nanocylinders within the trenches include the width (w t ) and depth (D t ) of the trench, the formulation of the block copolymer or blend to achieve the desired pitch (L), and the thickness (t) of the block copolymer material within the trench.
  • a block copolymer or blend having a pitch or L value of 35-nm deposited into a 75-nm wide trench 18 having a neutral wetting floor will, upon annealing, result in a zigzag pattern of 17.5-nm diameter ( ⁇ 0.5*L) perpendicular cylinders that are offset by about one-half the pitch distance (about 0.5*L) for the length (l t ) of the trench 18 , rather than a single line row of perpendicular cylinders aligned with the sidewalls 22 down the center of the trench 18 .
  • the trenches 18 are constructed with a width (w t ) of about 1.5-2*L (or 1.5-2 ⁇ the pitch value) of the block copolymer such that a cast block copolymer material (or blend) of about L will self-assemble upon annealing into a single row of perpendicular cylinders (diameter ⁇ 0.5*L) with a center-to-center pitch distance (p) of adjacent cylinders at or about L.
  • the width (w t ) of the trenches 18 can be about 1.5-2*50 nm or about 75-100 nm.
  • the length (l t ) of the trenches 18 is at or about n*L or an integer multiple of L, typically within a range of about n*10 to about n*100 nm (with n being the number of features or structures, e.g., cylinders).
  • the depth (D t ) of the trenches 18 is greater than or equal to L (D t >L).
  • the width of the spacers 20 between adjacent trenches can vary and is generally about L to about n*L.
  • the trench dimension is about 20-100 nm wide (w t ) and about 100-25,000 nm in length (l t ), with a depth (D t ) of about 10-100 nm.
  • a self-assembling, cylindrical-phase block copolymer material 28 having an inherent pitch at or about L o (or a ternary blend of block copolymer and homopolymers blended to have a pitch at or about L B ) is deposited into the trenches 18 , typically as a film (as in FIGS. 5-5B ).
  • the block copolymer (or blend) is constructed such that all of the polymer blocks will have equal preference for a neutral wetting material on the trench floor.
  • the block copolymer material can be constructed to provide desired properties such as defect tolerance and ease of development and/or removal of one of the blocks.
  • the block copolymer or blend is constructed such that the minor domain can be selectively removed.
  • diblock copolymers include, for example, poly(styrene)-b-poly(vinylpyridine) (PS-b-PVP), poly(styrene)-b-poly(methyl methacrylate) (PS-b-PMMA) or other PS-b-poly(acrylate) or PS-b-poly(methacrylate), poly(styrene)-b-poly(lactide) (PS-b-PLA), poly(styrene)-b-poly(tert-butyl acrylate) (PS-b-PtBA), and poly(styrene)-b-poly(ethylene-co-butylene (PS-b-(PS-co-PB)), poly(styrene)-b-poly(ethylene oxide) (PS-b-PEO), poly(isoprene)-b-poly(ethyleneoxide) (PI-b-PEO), poly(isoprene)-b-poly(methyl meth
  • PS-b-PMMA block copolymer doped with PEO-coated gold nanoparticles of a size less than the diameter of the self-assembled cylinders Park et al., Macromolecules, 2007, 40 (11), 8119-8124
  • PS-b-P2VP poly(styrene)-b-poly(2-vinylpyridine)
  • PS-b-P2VP poly(styrene)-b-poly(2-vinylpyridine) block copolymer having a cleavable junction such as a dithiol group, among others, with PS-b-PVP used in the illustrated embodiment.
  • Other types of block copolymers i.e., triblock or multiblock copolymers can be used.
  • triblock copolymers examples include ABC copolymers such as poly(styrene-b-methyl methacrylate-b-ethylene oxide) (PS-b-PMMA-b-PEO), and ABA copolymers, such as PS-PMMA-PS, PMMA-PS-PMMA, and PS-b-PI-b-PS, among others.
  • ABC copolymers such as poly(styrene-b-methyl methacrylate-b-ethylene oxide) (PS-b-PMMA-b-PEO)
  • ABA copolymers such as PS-PMMA-PS, PMMA-PS-PMMA, and PS-b-PI-b-PS, among others.
  • the film morphology including the domain sizes and periods (L o ) of the microphase-separated domains, can be controlled by chain length of a block copolymer (molecular weight, MW) and volume fraction of the AB blocks of a diblock copolymer to produce cylindrical morphologies (among others).
  • a block copolymer molecular weight, MW
  • volume fraction of the AB blocks of a diblock copolymer to produce cylindrical morphologies (among others).
  • the diblock copolymer will microphase separate and self-assemble into periodic cylindrical domains of polymer B within a matrix of polymer A.
  • An example of a cylinder-forming PS-b-PVP copolymer material (L o ⁇ 28 nm) to form about 14 nm diameter cylindrical PVP domains in a matrix of PS is composed of about 70 wt % PS and 30 wt % PVP with a total molecular weight (M n ) of 44.5 kg/mol.
  • the L value of the block copolymer can be modified, for example, by adjusting the molecular weight of the block copolymer.
  • the block copolymer material can also be formulated as a binary or ternary blend comprising a block copolymer and one or more homopolymers (HPs) of the same type of polymers as the polymer blocks in the block copolymer, to produce a blend that will swell the size of the polymer domains and increase the L value.
  • the concentration of homopolymers in the blend can range from 0 to about 60 wt %.
  • a ternary diblock copolymer blend is a PS-b-P2VP/PS/P2VP blend, for example, 60 wt % of 32.5 K/12 K PS-b-P2VP, 20 wt % of 10 K PS, and 20 wt % of 10 K P2VP.
  • Another example of a ternary diblock copolymer blend is a PS-b-PMMA/PS/PMMA blend, for example, 60 wt % of 46K/21K PS-b-PMMA, 20 wt % of 20K polystyrene and 20 wt % of 20K poly(methyl methacrylate).
  • Yet another example is a blend of 60:20:20 (wt %) of PS-b-PEO/PS/PEO, or a blend of about 85-90 wt % PS-b-PEO and up to 10-15 wt % PEO; it is believed that the added PEO homopolymer may function, at least in part, to lower the surface energy of the PEO domains to that of PS.
  • the trench floors 26 are structured to be neutral wetting (equal affinity for both blocks of the copolymer) to induce formation of cylindrical polymer domains that are oriented perpendicular to the trench floors 26
  • the trench sidewalls 22 and ends 24 are structured to be preferential wetting by one block of the block copolymer to induce registration of the cylinders to the sidewalls 22 as the polymer blocks self-assemble.
  • the preferred or minority block of the cylindrical-phase block copolymer will self-assemble to form a single row of cylindrical domains in the center of a polymer matrix for the length of the trench and segregate to the sidewalls and edges of the trench to form a thin interface brush or wetting layer (e.g., as in FIGS. 6-6B ).
  • Entropic forces drive the wetting of a neutral wetting surface by both blocks, and enthalpic forces drive the wetting of a preferential-wetting surface by the preferred block (e.g., the minority block).
  • the material layer 16 can be composed of silicon (with native oxide), oxide (e.g., silicon oxide, SiO x ), silicon nitride, silicon oxycarbide, indium tin oxide (ITO), silicon oxynitride, and resist materials such as methacrylate-based resists and polydimethylglutarimide resists, among other materials, which exhibit preferential wetting toward the PVP (or PMMA, etc.) block.
  • oxide e.g., silicon oxide, SiO x
  • silicon nitride silicon oxycarbide
  • ITO indium tin oxide
  • resist materials such as methacrylate-based resists and polydimethylglutarimide resists, among other materials, which exhibit preferential wetting toward the PVP (or PMMA, etc.) block.
  • the block copolymer material will self-assemble to form a thin interface layer and cylinders of PVP in a PS matrix.
  • a preferential wetting material such as a polymethyl methacrylate (PMMA) polymer modified with an —OH containing moiety (e.g., hydroxyethylmethacrylate) can be applied onto the surfaces of the trenches, for example, by spin-coating and then heating (e.g., to about 170° C.) to allow the terminal OH groups to end-graft to oxide sidewalls 22 and ends 24 of the trenches 18 .
  • Non-grafted material can be removed by rinsing with an appropriate solvent (e.g., toluene). See, for example, Mansky et al., Science, 1997, 275, 1458-1460, and In et al., Langmuir, 2006, 22, 7855-7860.
  • a neutral wetting trench floor 26 allows both blocks of the copolymer material to wet the floor 26 of the trench 18 .
  • a neutral wetting material 14 can be provided by applying a neutral wetting polymer (e.g., a neutral wetting random copolymer) onto the substrate 10 , forming the material layer 16 and then etching the trenches 18 to expose the underlying neutral wetting material, as illustrated in FIGS. 2-2B .
  • a neutral wetting polymer e.g., a neutral wetting random copolymer
  • a neutral wetting random copolymer material can be applied after forming the trenches 18 ′, for example, as a blanket coat by casting or spin-coating into the trenches 18 ′, as depicted in FIG. 4 .
  • the random copolymer material can then be thermally processed to flow the material into the bottom of the trenches 18 ′ by capillary action, which results in a layer (mat) 14 ′ composed of the crosslinked, neutral wetting random copolymer.
  • the random copolymer material within the trenches 18 ′ can be photo-exposed (e.g., through a mask or reticle) to crosslink the random copolymer within the trenches 18 ′ to form the neutral wetting material 14 ′.
  • Non-crosslinked random copolymer material outside the trenches e.g., on the spacers 20 ′
  • Neutral wetting surfaces can be specifically prepared by the application of random copolymers composed of monomers identical to those in the block copolymer and tailored such that the mole fraction of each monomer is appropriate to form a neutral wetting surface.
  • a neutral wetting material 14 can be formed from a thin film of a photo-crosslinkable random PS-r-PVP that exhibits non-preferential or neutral wetting toward PS and PVP, which can be cast onto the substrate 10 (e.g., by spin-coating).
  • the random copolymer material can be fixed in place by chemical grafting (on an oxide substrate) or by thermally or photolytically crosslinking (any surface) to form a mat that is neutral wetting to PS and PVP and insoluble when the block copolymer material is cast onto it, due to the crosslinking.
  • a photo-crosslinkable PS-r-PMMA random copolymer e.g., containing an about 0.6 mole fraction of styrene
  • another neutral wetting surface for PS-b-PMMA can be provided by hydrogen-terminated silicon.
  • the floors 26 of the trenches 18 can be etched, for example, with a hydrogen plasma, to remove the oxide material and form hydrogen-terminated silicon, which is neutral wetting with equal affinity for both blocks of a block copolymer material.
  • H-terminated silicon can be prepared by a conventional process, for example, by a fluoride ion etch of a silicon substrate (with native oxide present, about 12-15 ⁇ ) by exposure to an aqueous solution of hydrogen fluoride (HF) and buffered HF or ammonium fluoride (NH 4 F), by HF vapor treatment, or by a hydrogen plasma treatment (e.g., atomic hydrogen).
  • a fluoride ion etch of a silicon substrate with native oxide present, about 12-15 ⁇
  • HF hydrogen fluoride
  • NH 4 F buffered HF or ammonium fluoride
  • HF vapor treatment e.g., atomic hydrogen
  • An H-terminated silicon substrate can be further processed by grafting a random copolymer such as PS-r-PVP, PS-r-PMMA, etc. selectively onto the substrate resulting in a neutral wetting surface for the corresponding block copolymer (e.g., PS-b-PVP, PS-b-PMMA, etc.).
  • a neutral wetting layer of a PS-r-PMMA random copolymer can be provided by an in situ free radical polymerization of styrene and methyl methacrylate using a di-olefinic linker such as divinyl benzene, which links the polymer to the surface to produce about a 10-15 nm thick film.
  • a neutral wetting random copolymer material 14 ′ can be applied after formation of the material layer 16 ′ and trenches 18 ′, which reacts selectively with the trench floor 26 ′ (composed of the substrate 10 ′ material) and not the trench sidewalls 22 ′ or ends 24 ′ (composed of the material layer 16 ′).
  • a random copolymer (or appropriate blend of homopolymers with block copolymer surfactant) containing epoxide groups will react selectively to terminal amine functional groups (e.g. —NH— and —NH 2 ) on silicon nitride and silicon oxynitride surfaces relative to silicon oxide or silicon.
  • the trench floor 26 ′ is silicon or polysilicon and the sidewalls 22 ′ are a material such as an oxide (e.g., SiO x )
  • the trench floor 26 ′ can be treated to form H-terminated silicon and a random copolymer material 14 ′ (e.g., PS-r-PVP, PS-r-PMMA, etc.) can be formed in situ only at the floor surface.
  • a random copolymer material 14 ′ e.g., PS-r-PVP, PS-r-PMMA, etc.
  • a neutral wetting surface (e.g., for PS-b-PMMA and PS-b-PEO) can be provided by grafting a self-assembled monolayer (SAM) of a trichlorosilane-base SAM such as 3-(para-methoxyphenyl)propyltrichorosilane grafted to oxide (e.g., SiO 2 ) as described, for example, by D. H. Park, Nanotechnology 18 (2007), p. 355304.
  • SAM self-assembled monolayer
  • oxide e.g., SiO 2
  • a neutral wetting random copolymer of polystyrene (PS), polymethacrylate (PMMA) with hydroxyl group(s) e.g., 2-hydroxyethyl methacrylate (P(S-r-MMA-r-HEMA)
  • PMMA polymethacrylate
  • P(S-r-MMA-r-HEMA) 2-hydroxyethyl methacrylate
  • a substrate 10 e.g., an oxide
  • a neutral wetting layer 14 about 5-10 nm thick by heating at about 160° C. for about 48 hours. See, for example, In et al., Langmuir, 2006, 22, 7855-7860.
  • a blend of hydroxyl-terminated homopolymers and a corresponding low molecular weight block copolymer can be grafted (covalently bonded) to the substrate to form a neutral wetting interface layer (e.g., about 4-5 nm) for PS-b-PMMA and PS-b-P2VP, among other block copolymers.
  • the block copolymer can function to emulsify the homopolymer blend before grafting.
  • a solvent rinse e.g., toluene
  • a surface that is neutral wetting to PS-b-PMMA can also be prepared by spin-coating a blanket layer of a photo- or thermally cross-linkable random copolymer such as a benzocyclobutene- or azidomethylstyrene-functionalized random copolymer of styrene and methyl methacrylate (e.g., poly(styrene-r-benzocyclobutene-r-methyl methacrylate (PS-r-PMMA-r-BCB)).
  • a photo- or thermally cross-linkable random copolymer such as a benzocyclobutene- or azidomethylstyrene-functionalized random copolymer of styrene and methyl methacrylate (e.g., poly(styrene-r-benzocyclobutene-r-methyl methacrylate (PS-r-PMMA-r-BCB)).
  • such a random copolymer can comprise about 42 wt % PMMA, about (58-x) wt % PS and x wt % (e.g., about 2-3 wt %) of either polybenzocyclobutene or poly(para-azidomethylstyrene)).
  • An azidomethylstyrene-functionalized random copolymer can be UV photo-crosslinked (e.g., 1-5 MW/cm 2 exposure for about 15 seconds to about 30 minutes) or thermally crosslinked (e.g., at about 170° C. for about 4 hours) to form a crosslinked polymer mat as a neutral wetting layer 14 .
  • a benzocyclobutene-functionalized random copolymer can be thermally crosslinked (e.g., at about 200° C. for about 4 hours or at about 250° C. for about 10 minutes).
  • a self-assembling, cylindrical-phase block copolymer material 28 having an inherent pitch at or about L o (or a ternary blend of block copolymer and homopolymers blended to have a pitch at or about L B ) can be cast or deposited into the trenches 18 to a thickness (t 1 ) at or about the L value of the block copolymer material 28 (e.g., about ⁇ 20% of L) such that after annealing (e.g., FIG.
  • the thickness (t 2 ) will be at or about the L value and the block copolymer material 28 will self-assemble to form a single layer of cylinders having a diameter of about 0.5*L (e.g., 5-50 nm, or about 20 nm, for example) within a polymer matrix in a single row within each trench 18 .
  • the thickness of the block copolymer material 28 can be measured, for example, by ellipsometry techniques.
  • the block copolymer material 28 can be deposited by spin-casting (spin-coating) from a dilute solution (e.g., about 0.25-2 wt % solution) of the copolymer in an organic solvent such as dichloroethane (CH 2 Cl 2 ) or toluene, for example. Capillary forces pull excess block copolymer material 28 (e.g., greater than a monolayer) into the trenches 18 . As shown, a thin layer or film 28 a of the block copolymer material 28 can be deposited onto the material layer 16 outside the trenches 18 , e.g., on the spacers 20 . Upon annealing, the thin film 28 a will flow into the trenches 18 leaving a structureless brush layer on the material layer 16 from a top-down perspective.
  • a dilute solution e.g., about 0.25-2 wt % solution
  • organic solvent such as dichloroethane (CH 2 Cl 2 ) or tol
  • the block copolymer (BCP) material 28 is then heated above its glass transition temperature under a vapor phase containing a partly saturated concentration of an organic solvent to cause the polymer blocks to phase separate and self-assemble according to the preferential and neutral wetting of the trench surfaces to form a self-assembled polymer material 30 , as illustrated in FIGS. 6-6B .
  • the appropriate partial pressure of solvent vapor to achieve a neutral wetting vapor interface at a particular temperature depends, at least in part, on the block copolymer that is used and can be determined empirically.
  • the block copolymer is heated at a thermal anneal temperature that is above its glass transition temperature (T g ) but below the decomposition or degradation temperature (T d ) of the block copolymer material.
  • a PS-b-PVP block copolymer material can be annealed at a temperature of about 150° C.-275° C. in a solvent vapor atmosphere for about 1-24 hours to achieve a self-assembled morphology.
  • a PS-b-PMMA block copolymer material can be annealed at a temperature of about 150° C.-275° C. in a solvent vapor atmosphere for about 1-24 hours to achieve a self-assembled morphology.
  • an air interface is preferentially wetting to one of the polymer domains and the BCP material does not orient into perpendicular structures.
  • the BCP material 28 is exposed to solvent vapors of a “good” solvent for both blocks, that is, a neutral organic solvent that solvates both the constituent blocks well.
  • solvent annealing consists of two phases.
  • a first phase the BCP material is exposed to a solvent vapor that acts to plasticize the film and increase chain mobility causing the domains to intermingle and the loss of order inherent from casting the polymer material.
  • the organic solvent that is utilized is based at least in part on its solubility in the block copolymer material such that sufficient solvent molecules enter the block copolymer material to promote the order-disorder transition of the polymer domains and enable the required molecular rearrangement.
  • solvents examples include aromatic solvents such as benzene, toluene, xylene, dimethoxyethane, ethyl acetate, cyclohexanone, etc., and chlorinated solvents such as chloroform, methylene chloride, a chloroform/octane mixture, etc., among others.
  • aromatic solvents such as benzene, toluene, xylene, dimethoxyethane, ethyl acetate, cyclohexanone, etc.
  • chlorinated solvents such as chloroform, methylene chloride, a chloroform/octane mixture, etc., among others.
  • the evaporation of the solvent is highly directional and forms a solvent gradient from the “top” (surface) of the BCP material to the “bottom” of the BCP material at the trench floor that induces orientation and self-assembly of structures starting at the air-surface interface, which is neutral wetting due to the partial pressure of solvent at the interface, and driven downward to the floor of the trench, with formation of perpendicular-oriented cylindrical domains 34 guided by the trench sidewalls and extending completely from the air interface to the substrate surface (trench floor).
  • the substrate 10 and BCP material 28 are heated above the boiling point of the solvent such that swelling of the BCP material 28 by the solvent is disallowed.
  • the use of a partly-saturated solvent vapor phase above the block copolymer material 28 provides a neutral wetting interface, similar to the second phase of solvent annealing.
  • the concentration of solvent in the air immediate at the vapor interface with the BCP material 28 is maintained at or under saturation to maintain a neutral wetting interface such that both (or all) polymer blocks will equally wet the vapor interface.
  • both the air and trench floor 26 are neutral wetting, the domains will orient perpendicular throughout the film layer, with the preferential wetting sidewalls inducing lateral order.
  • the resulting morphology of the annealed copolymer material 30 can be examined, for example, using atomic force microscopy (AFM), transmission electron microscopy (TEM), scanning electron microscopy (SEM), among others.
  • AFM atomic force microscopy
  • TEM transmission electron microscopy
  • SEM scanning electron microscopy
  • the anneal is performed by globally heating the block copolymer within the trenches in a solvent atmosphere.
  • a zone annealing is conducted to anneal portions or sections of the block copolymer material 28 in trenches on the substrate 10 by a localized application of thermal energy (e.g., heat). Zone annealing can provide rapid self-assembly of the block copolymer material (e.g., on the order of minutes).
  • thermal energy e.g., heat
  • the substrate 10 in a vapor atmosphere
  • a thermal or heat source 32 (or combined heating and cooling source) can be moved relative to each other (e.g., arrow ⁇ ) such that heat is applied above (or underneath) the substrate 10 .
  • Only a portion of the BCP material 28 is initially heated above the glass transition temperature and the heated zone is then “pulled” across the substrate 10 (or vice versa).
  • the thermal or heat source 32 can be moved across the substrate 10 at a translational set speed (e.g., about 0.05-10 ⁇ m/second using a mechanism such as a motorized translation stage (not shown). Pulling the heated zone across the substrate 10 (or vice versa) can result in faster processing and better ordered structures relative to a global thermal anneal.
  • a hot-to-cold temperature gradient can be provided over (or under) the substrate such that a certain portion of the substrate is heated and then cooled, which can be at a controlled rate.
  • the substrate can be exposed to a cold-to-hot temperature gradient to anneal the BCP material, followed by cooling.
  • the BCP material can be heated above and then cooled below the order-disorder temperature (but above the glass transition temperature), for example, to remove (melt out) defects and allow the material to recrystallize provided that the order-disorder temperature (T o-d ) is less than the decomposition temperature (T d ) of the block copolymer material.
  • the order-disorder temperature is defined by the temperature dependence of the block copolymer, Chi value, the total number of monomers per chain, and the monomer composition.
  • the block copolymer material 28 within trench 18 a can be heated and annealed to form a self-assembled material 30 while the unannealed block copolymer material 28 within trenches 18 b , 18 c remains disordered. Only those portions of the block copolymer material 28 that are heated above the glass transition temperature (T g ) will self-assemble. A next portion of the substrate 10 can then be selectively heated, as shown in FIGS.
  • a subsequent heating of the remaining areas of the substrate 10 can then be conducted, e.g., as depicted in FIGS. 8 and 8A .
  • the cylindrical-phase block copolymer material 28 Upon annealing, the cylindrical-phase block copolymer material 28 will self-assemble into a polymer material 30 (e.g., film) in response to the character of the block copolymer composition (e.g., PS-b-PVP having an inherent pitch at or about L) and the boundary conditions, including the constraints provided by the width (w t ) of the trench 18 and the wetting properties of the trench surfaces including a trench floor 26 that exhibits neutral or non-preferential wetting toward both polymer blocks (e.g., a random graft copolymer), sidewalls 22 that are preferential wetting by the minority (preferred) block of the block copolymer (e.g., the PVP block), and the presence of a neutral or non-preferential solvent (or in some embodiments, a film or material that is neutral or non-preferential wetting) in contact with the surface of the block copolymer material 28 in the trenches 18 .
  • the anneal results in a row (or rows) of perpendicularly oriented cylinders 34 of the minority polymer (preferred) block (e.g., PVP) within a matrix 36 of the majority polymer block (e.g., PS), with the cylinders 34 registered and parallel to the sidewalls 22 of the trenches 18 .
  • the diameter of the cylinders 34 will generally be at or about 0.5*L (e.g., about one-half of the center-to-center distance between cylinders).
  • the minority (preferred) block e.g., PVP
  • PVP minority (preferred) block
  • the minority (preferred) block will segregate to and wet the preferential wetting sidewalls 22 and ends 24 of the trenches 18 to form a thin interface or wetting brush layer 34 a having a thickness generally about one-fourth of the center-to-center distance between adjacent cylinders 34 .
  • a layer of the PVP block will wet oxide interfaces with attached PS domains directed outward from the oxide material.
  • the self-assembled block copolymer material 30 is defined by a single layer of an array of cylindrical domains (cylinders) 34 , each with a diameter at or about 0.5*L (e.g., about one-half of the center-to-center distance between cylinders), with the number (n) of cylinders in the row according to the length (l t ) of the trench, and the center-to-center distance (pitch distance, p) between each cylinder at or about L.
  • L center-to-center distance
  • the copolymer material can be treated to crosslink the polymer segments (e.g., the PS segments) to fix and enhance the strength of the self-assembled polymer blocks.
  • the polymers can be structured to inherently crosslink (e.g., upon exposure to ultraviolet (UV) radiation, including deep ultraviolet (DUV) radiation), or one of the polymer blocks of the copolymer material can be formulated to contain a crosslinking agent.
  • the film 28 a outside the trenches 18 a , 18 b , 18 c (e.g., on spacers 20 ) will not be thick enough to result in self-assembly.
  • the unstructured thin film 28 a can be removed, as illustrated in FIGS. 8 and 8A , for example, by an etch technique or a planarization process to provide an about uniformly flat surface.
  • the trench regions can be selectively exposed through a reticle (not shown) to crosslink only the annealed and self-assembled polymer material 30 within the trenches 18 a , 18 b , 18 c , and a wash can then be applied with an appropriate solvent (e.g., toluene) to remove the non-crosslinked portions of the block copolymer material 28 (e.g., on the spacers 20 ), leaving the registered self-assembled polymer material 30 within the trenches 18 a , 18 b , 18 c and exposing the surface of the material layer 16 above/outside the trenches 18 a , 18 b , and 18 c .
  • an appropriate solvent e.g., toluene
  • the annealed polymer material 30 can be crosslinked globally, a photoresist material can be applied to pattern and expose the areas of the polymer material 28 a outside the trench regions, and the exposed portions of the polymer material 28 a can be removed, for example, by an oxygen (O 2 ) plasma treatment.
  • O 2 oxygen
  • a thermal anneal is conducted while applying a non-preferentially wetting material 37 ′ to the surface of the block copolymer (BCP) material 28 ′ in the trenches.
  • the non-preferentially wetting material 37 ′ is composed of a solid material, which can be physically placed onto the BCP material 28 ′, for example, a soft, flexible or rubbery solid material such as a cross-linked, poly(dimethylsiloxane) (PDMS) elastomer (e.g., SYLGARD® 184 by Dow-Corning) or other elastomeric polymer material (e.g., silicones, polyurethanes, etc.), which provides an external surface that is neutral wetting.
  • PDMS poly(dimethylsiloxane)
  • SYLGARD® 184 by Dow-Corning
  • other elastomeric polymer material e.g., silicones, polyurethanes, etc.
  • the solid material can be derivatized (e.g., by grafting a random copolymer) such that it presents a neutral wetting surface.
  • a thermal annealing process is conducted (arrows ⁇ , FIGS. 9A and 9B ) to cause the polymer blocks to phase separate in response to the preferential and neutral wetting of the trench surfaces and the non-preferential (neutral) wetting of the overlying material 37 ′, and form a self-assembled polymer material 30 ′ as illustrated in FIGS. 10A and 10B .
  • the non-preferentially wetting material 37 ′ can be removed from contact with the annealed polymer material 30 ′ (arrow ⁇ ) as depicted in FIG. 10A .
  • a PDMS or other elastomeric material layer 37 ′ can be removed, for example, by lifting or peeling the material from the surface of the annealed copolymer material 30 ′.
  • a solvent such as water, alcohols, and the like, which is compatible with and does not dissolve the block copolymer material 30 ′, can be applied (e.g., by soaking) to permeate and swell the elastomeric material (e.g., PDMS) to enhance physical removal.
  • a dilute fluoride solution e.g., NH 4 F, HF, NaF, etc.
  • the pattern of perpendicular-oriented cylinders 34 ′ that is formed on the substrate 10 ′ can then be further processed as desired, for example, to form an etch mask for patterning nanosized features into the underlying substrate 10 ′ through selective removal of one block of the self-assembled block copolymer.
  • MW chain length of a block copolymer
  • resolution can exceed other techniques such as conventional photolithography. Processing costs using the technique is significantly less than extreme ultraviolet (EUV) photolithography, which has comparable resolution.
  • EUV extreme ultraviolet
  • an etch mask 38 can be formed by selectively removing the cylindrical polymer domains 34 of the self-assembled polymer material 30 to produce openings 40 in the polymer matrix 36 (e.g., PS) to expose the underlying substrate 10 at the trench floors 26 .
  • the cylindrical domains 34 can be removed by a selective wet etch (e.g., PMMA and PLA by UV exposure/acetic acid development, PLA by aqueous methanol mixture containing sodium hydroxide, PEO by aqueous hydroiodic acid or water, etc.) or by a selective reactive ion etch (RIE) process.
  • a selective wet etch e.g., PMMA and PLA by UV exposure/acetic acid development, PLA by aqueous methanol mixture containing sodium hydroxide, PEO by aqueous hydroiodic acid or water, etc.
  • RIE selective reactive ion etch
  • the film can be exposed to a solvent selective to the minor domain, for example, an alcohol for PVP, water for PEO or PLA, and acetic acid for PMMA, that contains a cleaving agent to remove (e.g., wash out) the minor domain.
  • a solvent selective to the minor domain for example, an alcohol for PVP, water for PEO or PLA, and acetic acid for PMMA, that contains a cleaving agent to remove (e.g., wash out) the minor domain.
  • the remaining porous polymer (e.g., PS) matrix 36 can then be used as a lithographic template or mask to etch (arrows ⁇ ) a series of cylindrical-shaped openings or contact holes 42 in the nanometer size range (e.g., about 10-100 nm) to the conductive lines 12 or other active area (e.g., semiconducting region, etc.) in the underlying substrate 10 (or an underlayer).
  • the openings 42 can be formed, for example, using a selective reactive ion etching (RIE) process.
  • the residual polymer matrix 36 can be removed (e.g., PS by an oxidation process such as a plasma O 2 etch) and the openings 42 of substrate 10 can be filled with a material 44 such as a metal or metal alloy such as Cu, Al, W, Si, and Ti 3 N 4 , among others, to form arrays of cylindrical contacts to the conductive lines 12 .
  • the cylindrical openings 42 in the substrate 10 can also be filled with a metal-insulator-metal stack to form capacitors with an insulating material such as SiO 2 , Al 2 O 3 , HfO 2 , ZrO 2 , SrTiO 3 , and the like.
  • Embodiments of the invention utilize a thermal anneal process in combination with solvent annealing, which can provide faster processing than with a solvent anneal alone and expands the types of block copolymers (BCPs) that can be processed to substantially all BCPs.
  • BCPs block copolymers
  • a wide range of block copolymers can be processed to form perpendicular-oriented nanostructures (e.g., cylinders) and at a rapid rate.
  • methods of the disclosure provide a means of generating self-assembled diblock copolymer films composed of perpendicular-oriented cylinders in a polymer matrix.
  • the methods provide ordered and registered elements on a nanometer scale that can be prepared more inexpensively than by electron beam lithography, EUV photolithography or conventional photolithography.
  • the feature sizes produced and accessible by this invention cannot be easily prepared by conventional photolithography.
  • the described methods and systems can be readily employed and incorporated into existing semiconductor manufacturing process flows and provide a low cost, high-throughput technique for fabricating small structures.

Abstract

Methods for fabricating sub-lithographic, nanoscale microstructures utilizing self-assembling block copolymers, and films and devices formed from these methods are provided.

Description

CROSS-REFERENCE TO RELATED APPLICATIONS
This application is a divisional of U.S. patent application Ser. No. 13/469,697, filed May 11, 2012, now U.S. Pat. No. 8,633,112, issued Jan. 21, 2014, which is a continuation of U.S. patent application Ser. No. 12/052,956, filed Mar. 21, 2008, now U.S. Pat. No. 8,426,313, issued Apr. 23, 2013.
TECHNICAL FIELD
Embodiments of the invention relate to methods of fabricating thin films of self-assembling block copolymers, and devices resulting from those methods.
BACKGROUND OF THE INVENTION
As the development of nanoscale mechanical, electrical, chemical and biological devices and systems increases, new processes and materials are needed to fabricate nanoscale devices and components. Making electrical contacts to conductive lines has become a significant challenge as the dimensions of semiconductor features shrink to sizes that are not easily accessible by conventional lithography. Optical lithographic processing methods have difficulty fabricating structures and features at the sub-60 nanometer level. The use of self-assembling diblock copolymers presents another route to patterning at nanoscale dimensions. Diblock copolymer films spontaneously assembly into periodic structures by microphase separation of the constituent polymer blocks after annealing, for example, by thermal annealing above the glass transition temperature of the polymer or by solvent annealing, forming ordered domains at nanometer-scale dimensions.
The film morphology, including the size and shape of the microphase-separated domains, can be controlled by the molecular weight and volume fraction of the AB blocks of a diblock copolymer to produce lamellar, cylindrical, or spherical morphologies, among others. For example, for volume fractions at ratios greater than about 80:20 of the two blocks (AB) of a diblock polymer, a block copolymer film will microphase separate and self-assemble into periodic spherical domains with spheres of polymer B surrounded by a matrix of polymer A. For ratios of the two blocks between about 60:40 and 80:20, the diblock copolymer assembles into a periodic hexagonal close-packed or honeycomb array of cylinders of polymer B within a matrix of polymer A. For ratios between about 50:50 and 60:40, lamellar domains or alternating stripes of the blocks are formed. Domain size typically ranges from 5-50 nm.
Many applications of the self-assembly of block copolymers (BCPs) to lithography require that the self-assembled domains orient perpendicular to the substrate with both domains wetting and exposed at the air interface. With selective removal of one of the polymer blocks to form an etch mask, the perpendicularly oriented void structures can then be used for etching the underlying substrate.
Conventional thermal annealing of most BCPs (e.g., PS-b-PVP, etc.) in air or vacuum will typically result in one block preferentially wetting the air vapor interface. A variant of thermal annealing called zone annealing, can provide rapid self-assembly (e.g., on the order of minutes) but is only effective for a small number of BCPs (e.g., PS-b-PMMA, PS-b-PLA) with polymer domains that equally wet the air vapor interface. Solvent annealing of BCPs has been used to produce a perpendicular orientation of the self-assembled domains to the substrate, but is generally a very slow process, typically on the order of days, and can require large volumes of the solvent. A typical solvent anneal is conducted by exposing a BCP film to a saturated solvent atmosphere at 25° C. for at least 12 hours (often longer).
It would be useful to provide methods of fabricating films of arrays of ordered nanostructures that overcome these problems.
BRIEF DESCRIPTION OF THE DRAWINGS
Embodiments of the invention are described below with reference to the following accompanying drawings, which are for illustrative purposes only. Throughout the following views, reference numerals will be used in the drawings, and the same reference numerals will be used throughout the several views and in the description to indicate same or like parts.
FIG. 1 illustrates a diagrammatic top plan view of a portion of a substrate at a preliminary processing stage according to an embodiment of the present disclosure, showing the substrate with a neutral wetting material thereon. FIGS. 1A and 1B are elevational, cross-sectional views of the substrate depicted in FIG. 1 taken along lines 1A-1A and 1B-1B, respectively.
FIG. 2 illustrates a diagrammatic top plan view of the substrate of FIG. 1 at a subsequent stage showing the formation of trenches in a material layer formed on the neutral wetting material. FIGS. 2A and 2B illustrate elevational, cross-sectional views of a portion of the substrate depicted in FIG. 2 taken, respectively, along lines 2A-2A and 2B-2B.
FIG. 3 illustrates a side elevational view of a portion of a substrate at a preliminary processing stage according to another embodiment of the disclosure, showing the substrate with trenches in a material layer formed on the substrate.
FIG. 4 illustrates a side elevational view of the substrate of FIG. 3 at a subsequent stage showing the formation of a neutral wetting material within the trenches.
FIG. 5 is a diagrammatic top plan view of the substrate of FIG. 2 at a subsequent stage showing a block copolymer material within the trenches. FIGS. 5A and 5B illustrate elevational, cross-sectional views of a portion of the substrate depicted in FIG. 5 taken along lines 5A-5A and 5B-5B, respectively.
FIGS. 6-8 are diagrammatic top plan views of the substrate of FIG. 5 at subsequent stages showing annealing of a portion of the film according to an embodiment of the invention by a zoned annealing technique. FIGS. 6A-8A illustrate elevational, cross-sectional views of the substrate depicted in FIGS. 6-8 taken along lines 6A-6A, 7A-7A and 8A-8A, respectively, showing an embodiment of a heating device for zone annealing the film. FIG. 6B is an elevational, cross-sectional view of the substrate depicted in FIG. 6 taken along lines 6B-6B.
FIG. 9 is a top plan view of the substrate of FIG. 5 at a subsequent stage according to another embodiment of a method of the invention, illustrating placement of a non-preferential wetting material over the block copolymer material during an anneal. FIGS. 9A and 9B are elevational, cross-sectional views of the substrate depicted in FIG. 9 taken along lines 9A-9A and 9B-9B, respectively.
FIGS. 10A and 10B are cross-sectional views of the substrate shown in FIGS. 9A and 9B, respectively, at a subsequent stage showing the annealed, self-assembled block copolymer material, and removal of the non-preferential wetting material after the anneal.
FIGS. 11-13 are top plan views of the substrate of FIG. 8 at subsequent stages, illustrating an embodiment of the use of the self-assembled block copolymer film after removal of one of the polymer blocks, as a mask to etch the substrate and filling of the etched openings. FIGS. 11A-13A illustrate elevational, cross-sectional views of a portion of the substrate depicted in FIGS. 11-13 taken along lines 11A-11A to 13A-13A, respectively.
FIGS. 11B-13B are cross-sectional views of the substrate depicted in FIGS. 11-13 taken along lines 11B-11B to 13B-13B, respectively.
DETAILED DESCRIPTION OF THE INVENTION
The following description with reference to the drawings provides illustrative examples of devices and methods according to embodiments of the invention. Such description is for illustrative purposes only and not for purposes of limiting the same.
In the context of the current application, the terms “semiconductor substrate,” or “semiconductive substrate,” or “semiconductive wafer fragment,” or “wafer fragment,” or “wafer,” will be understood to mean any construction comprising semiconductor material including, but not limited to, bulk semiconductive materials such as a semiconductor wafer (either alone or in assemblies comprising other materials thereon), and semiconductive material layers (either alone or in assemblies comprising other materials). The term “substrate” refers to any supporting structure including, but not limited to, the semiconductive substrates, wafer fragments or wafers described above.
“Lo” as used herein is the inherent periodicity or pitch value (bulk period or repeat unit) of structures that self-assemble upon annealing from a self-assembling (SA) block copolymer. “LB” as used herein is the periodicity or pitch value of a blend of a block copolymer with one or more of its constituent homopolymers. “L” is used herein to indicate the center-to-center cylinder pitch or spacing of cylinders of the block copolymer or blend, and is equivalent to “Lo” for a pure block copolymer and “LB” for a copolymer blend.
In embodiments of the invention, a polymer material (e.g., film, layer) is prepared by guided self-assembly of block copolymers, with both polymer domains at the air interface. The block copolymer material spontaneously assembles into periodic structures by microphase separation of the constituent polymer blocks after annealing, forming ordered domains of perpendicular-oriented cylinders at nanometer-scale dimensions within a trench.
A method for fabricating a self-assembled block copolymer material that defines a one-dimensional (1D) array of nanometer-scale, perpendicular-oriented cylinders according to an embodiment of the invention is illustrated with reference to FIGS. 1-8.
The described embodiment involves a thermal anneal of a cylindrical-phase block copolymer under a solvent atmosphere. The anneal is conducted in combination with a graphoepitaxy technique that utilizes a lithographically defined trench as a guide with a floor composed of a material that is neutral wetting to both polymer blocks, and sidewalls and ends that are preferential wetting to one polymer block and function as constraints to induce the block copolymer to self-assemble into an ordered 1D array of a single row of cylinders in a polymer matrix oriented perpendicular to the trench floor and registered to the trench sidewalls. In some embodiments, two or more rows of perpendicular-oriented cylinders can be formed in each trench.
As depicted in FIGS. 1-1B, a substrate 10 is provided, which can be silicon, silicon oxide, silicon nitride, silicon oxynitride, silicon oxycarbide, among other materials. As further depicted, conductive lines 12 (or other active area, e.g., semiconducting regions) are situated within the substrate 10.
In any of the described embodiments, a single trench or multiple trenches can be fainted in the substrate, and can span the entire width of an array of lines (or other active area). In embodiments of the invention, the substrate 10 is provided with an array of conductive lines 12 (or other active areas) at a pitch of L. The trench or trenches are formed over the active areas 12 (e.g., lines) such that when the block copolymer material is annealed, each cylinder will be situated above a single active area 12 (e.g., a conductive line). In some embodiments, multiple trenches 18 are formed with the ends 24 of each adjacent trench 18 aligned or slightly offset from each other at less than 5% of L such that cylinders in adjacent trenches 18 are aligned and situated above the same conductive line 12.
In the illustrated embodiment, a neutral wetting material 14 (e.g., random copolymer) has been formed over the substrate 10. A material layer 16 (or one or more material layers) can then be formed over the neutral wetting material 14 and etched to form trenches 18 that are oriented perpendicular to the array of conductive lines 12, as shown in FIGS. 2-2B. Portions of the material layer 16 form a spacer 20 outside and between the trenches. The trenches 18 are structured with opposing sidewalls 22, opposing ends 24, a floor 26, a width (wt), a length (lt) and a depth (Dt).
In another embodiment, the material layer 16′ can be formed on the substrate 10′, etched to form the trenches 18′ as depicted in FIG. 3, and a neutral wetting material 14′ can then be formed on the trench floors 26′ as shown in FIG. 4. For example, a random copolymer material can be deposited into the trenches 18′ and crosslinked to form a neutral wetting material layer. Material on surfaces outside the trenches 18′ such as on the spacers 20′ (e.g., non-crosslinked random copolymer) can be subsequently removed.
Single or multiple trenches 18 (as shown) can be formed using a lithographic tool having an exposure system capable of patterning at the scale of L (10-100 nm). Such exposure systems include, for example, extreme ultraviolet (EUV) lithography, proximity X-rays and electron beam (E-beam) lithography, as known and used in the art. Conventional photolithography can attain (at smallest) about 58 nm features.
A method called “pitch doubling” or “pitch multiplication” can also be used for extending the capabilities of photolithographic techniques beyond their minimum pitch, as described, for example, in U.S. Pat. No. 5,328,810 (Lowrey et al.), U.S. Pat. No. 7,115,525 (Abatchev, et al.), U.S. Patent Publication 2006/0281266 (U.S. Pat. No. 7,396,781, issued Jul. 8, 2008, to Wells) and U.S. Patent Publication 2007/0023805 (U.S. Pat. No. 7,776,715, issued Aug. 17, 2010, to Wells). Briefly, a pattern of lines is photolithographically formed in a photoresist material overlying a layer of an expendable material, which in turn overlies a substrate, the expendable material layer is etched to form placeholders or mandrels, the photoresist is stripped, spacers are formed on the sides of the mandrels, and the mandrels are then removed, leaving behind the spacers as a mask for patterning the substrate. Thus, where the initial photolithography formed a pattern defining one feature and one space, the same width now defines two features and two spaces, with the spaces defined by the spacers. As a result, the smallest feature size possible with a photolithographic technique is effectively decreased down to about 30 nm or less.
Factors in forming a single 1D array or layer of perpendicular-oriented nanocylinders within the trenches include the width (wt) and depth (Dt) of the trench, the formulation of the block copolymer or blend to achieve the desired pitch (L), and the thickness (t) of the block copolymer material within the trench.
There is a shift from two rows to one row of the perpendicular cylinders within the center of the trench 18 as the width (wt) of the trench 18 is decreased and/or the periodicity (L value) of the block copolymer is increased, for example, by forming a ternary blend by the addition of both constituent homopolymers. The boundary conditions of the trench sidewalls 22 in both the x- and y-axis impose a structure wherein each trench 18 contains “n” number of features (e.g., cylinders). For example, a block copolymer or blend having a pitch or L value of 35-nm deposited into a 75-nm wide trench 18 having a neutral wetting floor will, upon annealing, result in a zigzag pattern of 17.5-nm diameter (≅0.5*L) perpendicular cylinders that are offset by about one-half the pitch distance (about 0.5*L) for the length (lt) of the trench 18, rather than a single line row of perpendicular cylinders aligned with the sidewalls 22 down the center of the trench 18.
In the illustrated embodiment, the trenches 18 are constructed with a width (wt) of about 1.5-2*L (or 1.5-2×the pitch value) of the block copolymer such that a cast block copolymer material (or blend) of about L will self-assemble upon annealing into a single row of perpendicular cylinders (diameter≅0.5*L) with a center-to-center pitch distance (p) of adjacent cylinders at or about L. For example, in using a cylindrical phase block copolymer with an about 50 nm pitch value or L, the width (wt) of the trenches 18 can be about 1.5-2*50 nm or about 75-100 nm. The length (lt) of the trenches 18 is at or about n*L or an integer multiple of L, typically within a range of about n*10 to about n*100 nm (with n being the number of features or structures, e.g., cylinders). The depth (Dt) of the trenches 18 is greater than or equal to L (Dt>L). The width of the spacers 20 between adjacent trenches can vary and is generally about L to about n*L. In some embodiments, the trench dimension is about 20-100 nm wide (wt) and about 100-25,000 nm in length (lt), with a depth (Dt) of about 10-100 nm.
A self-assembling, cylindrical-phase block copolymer material 28 having an inherent pitch at or about Lo (or a ternary blend of block copolymer and homopolymers blended to have a pitch at or about LB) is deposited into the trenches 18, typically as a film (as in FIGS. 5-5B).
The block copolymer (or blend) is constructed such that all of the polymer blocks will have equal preference for a neutral wetting material on the trench floor. The block copolymer material can be constructed to provide desired properties such as defect tolerance and ease of development and/or removal of one of the blocks. In some embodiments of the invention, the block copolymer or blend is constructed such that the minor domain can be selectively removed.
Examples of diblock copolymers include, for example, poly(styrene)-b-poly(vinylpyridine) (PS-b-PVP), poly(styrene)-b-poly(methyl methacrylate) (PS-b-PMMA) or other PS-b-poly(acrylate) or PS-b-poly(methacrylate), poly(styrene)-b-poly(lactide) (PS-b-PLA), poly(styrene)-b-poly(tert-butyl acrylate) (PS-b-PtBA), and poly(styrene)-b-poly(ethylene-co-butylene (PS-b-(PS-co-PB)), poly(styrene)-b-poly(ethylene oxide) (PS-b-PEO), poly(isoprene)-b-poly(ethyleneoxide) (PI-b-PEO), poly(isoprene)-b-poly(methyl methacrylate) (PI-b-PMMA), poly(butadiene)-b-poly(ethyleneoxide) (PBD-b-PEO), a PS-b-PEO block copolymer having a cleavable junction such as a triphenylmethyl (trityl) ether linkage between PS and PEO blocks (optionally complexed with a dilute concentration (e.g., about 1 wt %) of a salt such as KCl, KI, LiCl, LiI, CsCl or CsI (Zhang et al., Adv. Mater. 2007, 19, 1571-1576), a PS-b-PMMA block copolymer doped with PEO-coated gold nanoparticles of a size less than the diameter of the self-assembled cylinders (Park et al., Macromolecules, 2007, 40 (11), 8119-8124), and a poly(styrene)-b-poly(2-vinylpyridine) (PS-b-P2VP) block copolymer having a cleavable junction such as a dithiol group, among others, with PS-b-PVP used in the illustrated embodiment. Other types of block copolymers (i.e., triblock or multiblock copolymers) can be used. Examples of triblock copolymers include ABC copolymers such as poly(styrene-b-methyl methacrylate-b-ethylene oxide) (PS-b-PMMA-b-PEO), and ABA copolymers, such as PS-PMMA-PS, PMMA-PS-PMMA, and PS-b-PI-b-PS, among others.
The film morphology, including the domain sizes and periods (Lo) of the microphase-separated domains, can be controlled by chain length of a block copolymer (molecular weight, MW) and volume fraction of the AB blocks of a diblock copolymer to produce cylindrical morphologies (among others). For example, for volume fractions at ratios of the two blocks generally between about 60:40 and 80:20 (A:B), the diblock copolymer will microphase separate and self-assemble into periodic cylindrical domains of polymer B within a matrix of polymer A. An example of a cylinder-forming PS-b-PVP copolymer material (Lo˜28 nm) to form about 14 nm diameter cylindrical PVP domains in a matrix of PS is composed of about 70 wt % PS and 30 wt % PVP with a total molecular weight (Mn) of 44.5 kg/mol. An example of a cylinder-forming PS-b-PMMA copolymer material (Lo=35 nm) to form about 20 nm diameter cylindrical PMMA domains in a matrix of PS is composed of about 70 wt % PS and 30 wt % PMMA with a total molecular weight (Mn) of 67 kg/mol. As another example, a PS-b-PLA copolymer material (L=49 nm) can be composed of about 71 wt % PS and 29 wt % PLA with a total molecular weight (Mn) of about 60.5 kg/mol to form about 27 nm diameter cylindrical PLA domains in a matrix of PS.
The L value of the block copolymer can be modified, for example, by adjusting the molecular weight of the block copolymer. The block copolymer material can also be formulated as a binary or ternary blend comprising a block copolymer and one or more homopolymers (HPs) of the same type of polymers as the polymer blocks in the block copolymer, to produce a blend that will swell the size of the polymer domains and increase the L value. The concentration of homopolymers in the blend can range from 0 to about 60 wt %.
An example of a ternary diblock copolymer blend is a PS-b-P2VP/PS/P2VP blend, for example, 60 wt % of 32.5 K/12 K PS-b-P2VP, 20 wt % of 10 K PS, and 20 wt % of 10 K P2VP. Another example of a ternary diblock copolymer blend is a PS-b-PMMA/PS/PMMA blend, for example, 60 wt % of 46K/21K PS-b-PMMA, 20 wt % of 20K polystyrene and 20 wt % of 20K poly(methyl methacrylate). Yet another example is a blend of 60:20:20 (wt %) of PS-b-PEO/PS/PEO, or a blend of about 85-90 wt % PS-b-PEO and up to 10-15 wt % PEO; it is believed that the added PEO homopolymer may function, at least in part, to lower the surface energy of the PEO domains to that of PS.
In the present embodiment, the trench floors 26 are structured to be neutral wetting (equal affinity for both blocks of the copolymer) to induce formation of cylindrical polymer domains that are oriented perpendicular to the trench floors 26, and the trench sidewalls 22 and ends 24 are structured to be preferential wetting by one block of the block copolymer to induce registration of the cylinders to the sidewalls 22 as the polymer blocks self-assemble. In response to the wetting properties of the trench surfaces, upon annealing, the preferred or minority block of the cylindrical-phase block copolymer will self-assemble to form a single row of cylindrical domains in the center of a polymer matrix for the length of the trench and segregate to the sidewalls and edges of the trench to form a thin interface brush or wetting layer (e.g., as in FIGS. 6-6B). Entropic forces drive the wetting of a neutral wetting surface by both blocks, and enthalpic forces drive the wetting of a preferential-wetting surface by the preferred block (e.g., the minority block).
To provide preferential wetting surfaces, for example, in the use of a PS-b-PVP (or PS-b-PMMA, etc.) block copolymer, the material layer 16 can be composed of silicon (with native oxide), oxide (e.g., silicon oxide, SiOx), silicon nitride, silicon oxycarbide, indium tin oxide (ITO), silicon oxynitride, and resist materials such as methacrylate-based resists and polydimethylglutarimide resists, among other materials, which exhibit preferential wetting toward the PVP (or PMMA, etc.) block. In the use of a PS-b-PVP cylinder-phase block copolymer material, for example, the block copolymer material will self-assemble to form a thin interface layer and cylinders of PVP in a PS matrix.
In other embodiments, a preferential wetting material such as a polymethyl methacrylate (PMMA) polymer modified with an —OH containing moiety (e.g., hydroxyethylmethacrylate) can be applied onto the surfaces of the trenches, for example, by spin-coating and then heating (e.g., to about 170° C.) to allow the terminal OH groups to end-graft to oxide sidewalls 22 and ends 24 of the trenches 18. Non-grafted material can be removed by rinsing with an appropriate solvent (e.g., toluene). See, for example, Mansky et al., Science, 1997, 275, 1458-1460, and In et al., Langmuir, 2006, 22, 7855-7860.
A neutral wetting trench floor 26 allows both blocks of the copolymer material to wet the floor 26 of the trench 18. A neutral wetting material 14 can be provided by applying a neutral wetting polymer (e.g., a neutral wetting random copolymer) onto the substrate 10, forming the material layer 16 and then etching the trenches 18 to expose the underlying neutral wetting material, as illustrated in FIGS. 2-2B.
In another embodiment illustrated in FIGS. 3 and 4, a neutral wetting random copolymer material can be applied after forming the trenches 18′, for example, as a blanket coat by casting or spin-coating into the trenches 18′, as depicted in FIG. 4. The random copolymer material can then be thermally processed to flow the material into the bottom of the trenches 18′ by capillary action, which results in a layer (mat) 14′ composed of the crosslinked, neutral wetting random copolymer. In another embodiment, the random copolymer material within the trenches 18′ can be photo-exposed (e.g., through a mask or reticle) to crosslink the random copolymer within the trenches 18′ to form the neutral wetting material 14′. Non-crosslinked random copolymer material outside the trenches (e.g., on the spacers 20′) can be subsequently removed.
Neutral wetting surfaces can be specifically prepared by the application of random copolymers composed of monomers identical to those in the block copolymer and tailored such that the mole fraction of each monomer is appropriate to form a neutral wetting surface. For example, in the use of a PS-b-PVP block copolymer, a neutral wetting material 14 can be formed from a thin film of a photo-crosslinkable random PS-r-PVP that exhibits non-preferential or neutral wetting toward PS and PVP, which can be cast onto the substrate 10 (e.g., by spin-coating). The random copolymer material can be fixed in place by chemical grafting (on an oxide substrate) or by thermally or photolytically crosslinking (any surface) to form a mat that is neutral wetting to PS and PVP and insoluble when the block copolymer material is cast onto it, due to the crosslinking. In another example, in the use of PS-b-PMMA, a photo-crosslinkable PS-r-PMMA random copolymer (e.g., containing an about 0.6 mole fraction of styrene) can be used.
In embodiments in which the substrate 10 is silicon (with native oxide), another neutral wetting surface for PS-b-PMMA can be provided by hydrogen-terminated silicon. The floors 26 of the trenches 18 can be etched, for example, with a hydrogen plasma, to remove the oxide material and form hydrogen-terminated silicon, which is neutral wetting with equal affinity for both blocks of a block copolymer material. H-terminated silicon can be prepared by a conventional process, for example, by a fluoride ion etch of a silicon substrate (with native oxide present, about 12-15 Å) by exposure to an aqueous solution of hydrogen fluoride (HF) and buffered HF or ammonium fluoride (NH4F), by HF vapor treatment, or by a hydrogen plasma treatment (e.g., atomic hydrogen).
An H-terminated silicon substrate can be further processed by grafting a random copolymer such as PS-r-PVP, PS-r-PMMA, etc. selectively onto the substrate resulting in a neutral wetting surface for the corresponding block copolymer (e.g., PS-b-PVP, PS-b-PMMA, etc.). For example, a neutral wetting layer of a PS-r-PMMA random copolymer can be provided by an in situ free radical polymerization of styrene and methyl methacrylate using a di-olefinic linker such as divinyl benzene, which links the polymer to the surface to produce about a 10-15 nm thick film.
Referring again to FIGS. 3 and 4, in another embodiment, a neutral wetting random copolymer material 14′ can be applied after formation of the material layer 16′ and trenches 18′, which reacts selectively with the trench floor 26′ (composed of the substrate 10′ material) and not the trench sidewalls 22′ or ends 24′ (composed of the material layer 16′). For example, a random copolymer (or appropriate blend of homopolymers with block copolymer surfactant) containing epoxide groups will react selectively to terminal amine functional groups (e.g. —NH— and —NH2) on silicon nitride and silicon oxynitride surfaces relative to silicon oxide or silicon. In another example in which the trench floor 26′ is silicon or polysilicon and the sidewalls 22′ are a material such as an oxide (e.g., SiOx), the trench floor 26′ can be treated to form H-terminated silicon and a random copolymer material 14′ (e.g., PS-r-PVP, PS-r-PMMA, etc.) can be formed in situ only at the floor surface.
In another embodiment, a neutral wetting surface (e.g., for PS-b-PMMA and PS-b-PEO) can be provided by grafting a self-assembled monolayer (SAM) of a trichlorosilane-base SAM such as 3-(para-methoxyphenyl)propyltrichorosilane grafted to oxide (e.g., SiO2) as described, for example, by D. H. Park, Nanotechnology 18 (2007), p. 355304.
In a further embodiment, a neutral wetting random copolymer of polystyrene (PS), polymethacrylate (PMMA) with hydroxyl group(s) (e.g., 2-hydroxyethyl methacrylate (P(S-r-MMA-r-HEMA)) (e.g., about 58 wt % PS) can be can be selectively grafted to a substrate 10 (e.g., an oxide) as a neutral wetting layer 14 about 5-10 nm thick by heating at about 160° C. for about 48 hours. See, for example, In et al., Langmuir, 2006, 22, 7855-7860.
In yet another embodiment, a blend of hydroxyl-terminated homopolymers and a corresponding low molecular weight block copolymer can be grafted (covalently bonded) to the substrate to form a neutral wetting interface layer (e.g., about 4-5 nm) for PS-b-PMMA and PS-b-P2VP, among other block copolymers. The block copolymer can function to emulsify the homopolymer blend before grafting. For example, an about 1 wt % solution (e.g., in toluene) of a blend of about 20-50 wt % (or about 30-40 wt %) OH-terminated homopolymers (e.g., Mn=6K) and about 80-50 wt % (or about 70-60 wt %) of a low molecular weight block copolymer (e.g., 5K-5K) can be spin-coated onto a substrate 10 (e.g., SiO2), heated (baked) (e.g., at 160° C.), and non-grafted (unbonded) polymer material removed, for example, by a solvent rinse (e.g., toluene). For example, the neutral wetting material can be prepared from a blend of about 30 wt % PS-OH (Mn=6K) and PMMA-OH (Mn=6K) (weight ratio of 4:6) and about 70 wt % PS-b-PMMA (5K-5K), or a ternary blend of PS-OH (6K), P2VP-OH (6K) and PS-b-2PVP (8K-8K), etc.
A surface that is neutral wetting to PS-b-PMMA can also be prepared by spin-coating a blanket layer of a photo- or thermally cross-linkable random copolymer such as a benzocyclobutene- or azidomethylstyrene-functionalized random copolymer of styrene and methyl methacrylate (e.g., poly(styrene-r-benzocyclobutene-r-methyl methacrylate (PS-r-PMMA-r-BCB)). For example, such a random copolymer can comprise about 42 wt % PMMA, about (58-x) wt % PS and x wt % (e.g., about 2-3 wt %) of either polybenzocyclobutene or poly(para-azidomethylstyrene)). An azidomethylstyrene-functionalized random copolymer can be UV photo-crosslinked (e.g., 1-5 MW/cm2 exposure for about 15 seconds to about 30 minutes) or thermally crosslinked (e.g., at about 170° C. for about 4 hours) to form a crosslinked polymer mat as a neutral wetting layer 14. A benzocyclobutene-functionalized random copolymer can be thermally crosslinked (e.g., at about 200° C. for about 4 hours or at about 250° C. for about 10 minutes).
As illustrated in FIGS. 5-5B, a self-assembling, cylindrical-phase block copolymer material 28 having an inherent pitch at or about Lo(or a ternary blend of block copolymer and homopolymers blended to have a pitch at or about LB) can be cast or deposited into the trenches 18 to a thickness (t1) at or about the L value of the block copolymer material 28 (e.g., about ±20% of L) such that after annealing (e.g., FIG. 6A), the thickness (t2) will be at or about the L value and the block copolymer material 28 will self-assemble to form a single layer of cylinders having a diameter of about 0.5*L (e.g., 5-50 nm, or about 20 nm, for example) within a polymer matrix in a single row within each trench 18. The thickness of the block copolymer material 28 can be measured, for example, by ellipsometry techniques.
The block copolymer material 28 can be deposited by spin-casting (spin-coating) from a dilute solution (e.g., about 0.25-2 wt % solution) of the copolymer in an organic solvent such as dichloroethane (CH2Cl2) or toluene, for example. Capillary forces pull excess block copolymer material 28 (e.g., greater than a monolayer) into the trenches 18. As shown, a thin layer or film 28 a of the block copolymer material 28 can be deposited onto the material layer 16 outside the trenches 18, e.g., on the spacers 20. Upon annealing, the thin film 28 a will flow into the trenches 18 leaving a structureless brush layer on the material layer 16 from a top-down perspective.
The block copolymer (BCP) material 28 is then heated above its glass transition temperature under a vapor phase containing a partly saturated concentration of an organic solvent to cause the polymer blocks to phase separate and self-assemble according to the preferential and neutral wetting of the trench surfaces to form a self-assembled polymer material 30, as illustrated in FIGS. 6-6B. The appropriate partial pressure of solvent vapor to achieve a neutral wetting vapor interface at a particular temperature depends, at least in part, on the block copolymer that is used and can be determined empirically.
The block copolymer is heated at a thermal anneal temperature that is above its glass transition temperature (Tg) but below the decomposition or degradation temperature (Td) of the block copolymer material. For example, a PS-b-PVP block copolymer material can be annealed at a temperature of about 150° C.-275° C. in a solvent vapor atmosphere for about 1-24 hours to achieve a self-assembled morphology. A PS-b-PMMA block copolymer material can be annealed at a temperature of about 150° C.-275° C. in a solvent vapor atmosphere for about 1-24 hours to achieve a self-assembled morphology.
In most applications of a thermal anneal in a vacuum, an air interface is preferentially wetting to one of the polymer domains and the BCP material does not orient into perpendicular structures. In embodiments of the invention, during heating, the BCP material 28 is exposed to solvent vapors of a “good” solvent for both blocks, that is, a neutral organic solvent that solvates both the constituent blocks well.
In general, solvent annealing consists of two phases. In a first phase, the BCP material is exposed to a solvent vapor that acts to plasticize the film and increase chain mobility causing the domains to intermingle and the loss of order inherent from casting the polymer material. The organic solvent that is utilized is based at least in part on its solubility in the block copolymer material such that sufficient solvent molecules enter the block copolymer material to promote the order-disorder transition of the polymer domains and enable the required molecular rearrangement. Examples of solvents include aromatic solvents such as benzene, toluene, xylene, dimethoxyethane, ethyl acetate, cyclohexanone, etc., and chlorinated solvents such as chloroform, methylene chloride, a chloroform/octane mixture, etc., among others. In a second phase, the substrate is removed from the solvent vapor and the solvent and solvent vapors are allowed to diffuse out of the polymer material and evaporate. The block copolymer material begins to “dry” as the solvent evaporates from the material. The evaporation of the solvent is highly directional and forms a solvent gradient from the “top” (surface) of the BCP material to the “bottom” of the BCP material at the trench floor that induces orientation and self-assembly of structures starting at the air-surface interface, which is neutral wetting due to the partial pressure of solvent at the interface, and driven downward to the floor of the trench, with formation of perpendicular-oriented cylindrical domains 34 guided by the trench sidewalls and extending completely from the air interface to the substrate surface (trench floor).
In embodiments of the invention, the substrate 10 and BCP material 28 are heated above the boiling point of the solvent such that swelling of the BCP material 28 by the solvent is disallowed.
The use of a partly-saturated solvent vapor phase above the block copolymer material 28 provides a neutral wetting interface, similar to the second phase of solvent annealing. The concentration of solvent in the air immediate at the vapor interface with the BCP material 28 is maintained at or under saturation to maintain a neutral wetting interface such that both (or all) polymer blocks will equally wet the vapor interface. As both the air and trench floor 26 are neutral wetting, the domains will orient perpendicular throughout the film layer, with the preferential wetting sidewalls inducing lateral order.
The resulting morphology of the annealed copolymer material 30 (e.g., perpendicular orientation of cylinders 34) can be examined, for example, using atomic force microscopy (AFM), transmission electron microscopy (TEM), scanning electron microscopy (SEM), among others.
In embodiments of the invention, the anneal is performed by globally heating the block copolymer within the trenches in a solvent atmosphere.
In other embodiments, a zone annealing is conducted to anneal portions or sections of the block copolymer material 28 in trenches on the substrate 10 by a localized application of thermal energy (e.g., heat). Zone annealing can provide rapid self-assembly of the block copolymer material (e.g., on the order of minutes).
For example, as depicted sequentially in FIGS. 6-8, the substrate 10 (in a vapor atmosphere) and a thermal or heat source 32 (or combined heating and cooling source) can be moved relative to each other (e.g., arrow ←) such that heat is applied above (or underneath) the substrate 10. Only a portion of the BCP material 28 is initially heated above the glass transition temperature and the heated zone is then “pulled” across the substrate 10 (or vice versa). For example, the thermal or heat source 32 can be moved across the substrate 10 at a translational set speed (e.g., about 0.05-10 μm/second using a mechanism such as a motorized translation stage (not shown). Pulling the heated zone across the substrate 10 (or vice versa) can result in faster processing and better ordered structures relative to a global thermal anneal.
In some embodiments, a hot-to-cold temperature gradient can be provided over (or under) the substrate such that a certain portion of the substrate is heated and then cooled, which can be at a controlled rate. In other embodiments, the substrate can be exposed to a cold-to-hot temperature gradient to anneal the BCP material, followed by cooling.
In other embodiments, the BCP material can be heated above and then cooled below the order-disorder temperature (but above the glass transition temperature), for example, to remove (melt out) defects and allow the material to recrystallize provided that the order-disorder temperature (To-d) is less than the decomposition temperature (Td) of the block copolymer material. The order-disorder temperature is defined by the temperature dependence of the block copolymer, Chi value, the total number of monomers per chain, and the monomer composition.
Only those portions of the block copolymer material that are heated above the glass transition temperature (Tg) of the component polymer blocks will self-assemble, and areas of the material that were not sufficiently heated remain disordered and unassembled. For example, as illustrated in FIGS. 6-6B, initially, the block copolymer material 28 within trench 18 a can be heated and annealed to form a self-assembled material 30 while the unannealed block copolymer material 28 within trenches 18 b, 18 c remains disordered. Only those portions of the block copolymer material 28 that are heated above the glass transition temperature (Tg) will self-assemble. A next portion of the substrate 10 can then be selectively heated, as shown in FIGS. 7 and 7A, resulting in the self-assembly of the block copolymer material within trench 18 b. A subsequent heating of the remaining areas of the substrate 10 can then be conducted, e.g., as depicted in FIGS. 8 and 8A.
Upon annealing, the cylindrical-phase block copolymer material 28 will self-assemble into a polymer material 30 (e.g., film) in response to the character of the block copolymer composition (e.g., PS-b-PVP having an inherent pitch at or about L) and the boundary conditions, including the constraints provided by the width (wt) of the trench 18 and the wetting properties of the trench surfaces including a trench floor 26 that exhibits neutral or non-preferential wetting toward both polymer blocks (e.g., a random graft copolymer), sidewalls 22 that are preferential wetting by the minority (preferred) block of the block copolymer (e.g., the PVP block), and the presence of a neutral or non-preferential solvent (or in some embodiments, a film or material that is neutral or non-preferential wetting) in contact with the surface of the block copolymer material 28 in the trenches 18. The anneal results in a row (or rows) of perpendicularly oriented cylinders 34 of the minority polymer (preferred) block (e.g., PVP) within a matrix 36 of the majority polymer block (e.g., PS), with the cylinders 34 registered and parallel to the sidewalls 22 of the trenches 18. The diameter of the cylinders 34 will generally be at or about 0.5*L (e.g., about one-half of the center-to-center distance between cylinders). In addition, the minority (preferred) block (e.g., PVP) will segregate to and wet the preferential wetting sidewalls 22 and ends 24 of the trenches 18 to form a thin interface or wetting brush layer 34 a having a thickness generally about one-fourth of the center-to-center distance between adjacent cylinders 34. For example, a layer of the PVP block will wet oxide interfaces with attached PS domains directed outward from the oxide material.
In some embodiments, the self-assembled block copolymer material 30 is defined by a single layer of an array of cylindrical domains (cylinders) 34, each with a diameter at or about 0.5*L (e.g., about one-half of the center-to-center distance between cylinders), with the number (n) of cylinders in the row according to the length (lt) of the trench, and the center-to-center distance (pitch distance, p) between each cylinder at or about L.
Optionally, after the block copolymer material is annealed and ordered, the copolymer material can be treated to crosslink the polymer segments (e.g., the PS segments) to fix and enhance the strength of the self-assembled polymer blocks. The polymers can be structured to inherently crosslink (e.g., upon exposure to ultraviolet (UV) radiation, including deep ultraviolet (DUV) radiation), or one of the polymer blocks of the copolymer material can be formulated to contain a crosslinking agent.
Generally, the film 28 a outside the trenches 18 a, 18 b, 18 c (e.g., on spacers 20) will not be thick enough to result in self-assembly. Optionally, the unstructured thin film 28 a can be removed, as illustrated in FIGS. 8 and 8A, for example, by an etch technique or a planarization process to provide an about uniformly flat surface. For example, the trench regions can be selectively exposed through a reticle (not shown) to crosslink only the annealed and self-assembled polymer material 30 within the trenches 18 a, 18 b, 18 c, and a wash can then be applied with an appropriate solvent (e.g., toluene) to remove the non-crosslinked portions of the block copolymer material 28 (e.g., on the spacers 20), leaving the registered self-assembled polymer material 30 within the trenches 18 a, 18 b, 18 c and exposing the surface of the material layer 16 above/outside the trenches 18 a, 18 b, and 18 c. In another embodiment, the annealed polymer material 30 can be crosslinked globally, a photoresist material can be applied to pattern and expose the areas of the polymer material 28 a outside the trench regions, and the exposed portions of the polymer material 28 a can be removed, for example, by an oxygen (O2) plasma treatment.
Referring to FIG. 9, in another embodiment of a method of the invention, a thermal anneal is conducted while applying a non-preferentially wetting material 37′ to the surface of the block copolymer (BCP) material 28′ in the trenches. In some embodiments, the non-preferentially wetting material 37′ is composed of a solid material, which can be physically placed onto the BCP material 28′, for example, a soft, flexible or rubbery solid material such as a cross-linked, poly(dimethylsiloxane) (PDMS) elastomer (e.g., SYLGARD® 184 by Dow-Corning) or other elastomeric polymer material (e.g., silicones, polyurethanes, etc.), which provides an external surface that is neutral wetting. The solid material can be derivatized (e.g., by grafting a random copolymer) such that it presents a neutral wetting surface.
With the non-preferentially wetting material 37′ in contact with the surface of the block copolymer material 28′, a thermal annealing process is conducted (arrows ↓, FIGS. 9A and 9B) to cause the polymer blocks to phase separate in response to the preferential and neutral wetting of the trench surfaces and the non-preferential (neutral) wetting of the overlying material 37′, and form a self-assembled polymer material 30′ as illustrated in FIGS. 10A and 10B.
After annealing, the non-preferentially wetting material 37′ can be removed from contact with the annealed polymer material 30′ (arrow ↑) as depicted in FIG. 10A. A PDMS or other elastomeric material layer 37′ can be removed, for example, by lifting or peeling the material from the surface of the annealed copolymer material 30′. Additionally, a solvent such as water, alcohols, and the like, which is compatible with and does not dissolve the block copolymer material 30′, can be applied (e.g., by soaking) to permeate and swell the elastomeric material (e.g., PDMS) to enhance physical removal. A dilute fluoride solution (e.g., NH4F, HF, NaF, etc.) can also be applied to etch and dissolve a PDMS material to remove it from the annealed polymer material.
Following self-assembly, the pattern of perpendicular-oriented cylinders 34′ that is formed on the substrate 10′ can then be further processed as desired, for example, to form an etch mask for patterning nanosized features into the underlying substrate 10′ through selective removal of one block of the self-assembled block copolymer. Since the domain sizes and periods (L) involved in this method are determined by the chain length of a block copolymer (MW), resolution can exceed other techniques such as conventional photolithography. Processing costs using the technique is significantly less than extreme ultraviolet (EUV) photolithography, which has comparable resolution.
For example, as illustrated in FIGS. 11-11B, in one embodiment, an etch mask 38 can be formed by selectively removing the cylindrical polymer domains 34 of the self-assembled polymer material 30 to produce openings 40 in the polymer matrix 36 (e.g., PS) to expose the underlying substrate 10 at the trench floors 26. For example, the cylindrical domains 34 can be removed by a selective wet etch (e.g., PMMA and PLA by UV exposure/acetic acid development, PLA by aqueous methanol mixture containing sodium hydroxide, PEO by aqueous hydroiodic acid or water, etc.) or by a selective reactive ion etch (RIE) process. In embodiments in which the block copolymer includes a cleavable linker group, the film can be exposed to a solvent selective to the minor domain, for example, an alcohol for PVP, water for PEO or PLA, and acetic acid for PMMA, that contains a cleaving agent to remove (e.g., wash out) the minor domain. As depicted in FIGS. 12-12B, the remaining porous polymer (e.g., PS) matrix 36 can then be used as a lithographic template or mask to etch (arrows ↓↓) a series of cylindrical-shaped openings or contact holes 42 in the nanometer size range (e.g., about 10-100 nm) to the conductive lines 12 or other active area (e.g., semiconducting region, etc.) in the underlying substrate 10 (or an underlayer). The openings 42 can be formed, for example, using a selective reactive ion etching (RIE) process.
Further processing can then be performed as desired. For example, as depicted in FIGS. 13-13B, the residual polymer matrix 36 can be removed (e.g., PS by an oxidation process such as a plasma O2 etch) and the openings 42 of substrate 10 can be filled with a material 44 such as a metal or metal alloy such as Cu, Al, W, Si, and Ti3N4, among others, to form arrays of cylindrical contacts to the conductive lines 12. The cylindrical openings 42 in the substrate 10 can also be filled with a metal-insulator-metal stack to form capacitors with an insulating material such as SiO2, Al2O3, HfO2, ZrO2, SrTiO3, and the like.
Embodiments of the invention utilize a thermal anneal process in combination with solvent annealing, which can provide faster processing than with a solvent anneal alone and expands the types of block copolymers (BCPs) that can be processed to substantially all BCPs. In embodiments using a zone annealing in combination with an organic solvent atmosphere, a wide range of block copolymers can be processed to form perpendicular-oriented nanostructures (e.g., cylinders) and at a rapid rate.
In addition, methods of the disclosure provide a means of generating self-assembled diblock copolymer films composed of perpendicular-oriented cylinders in a polymer matrix. The methods provide ordered and registered elements on a nanometer scale that can be prepared more inexpensively than by electron beam lithography, EUV photolithography or conventional photolithography. The feature sizes produced and accessible by this invention cannot be easily prepared by conventional photolithography. The described methods and systems can be readily employed and incorporated into existing semiconductor manufacturing process flows and provide a low cost, high-throughput technique for fabricating small structures.
Although specific embodiments have been illustrated and described herein, it will be appreciated by those of ordinary skill in the art that any arrangement that is calculated to achieve the same purpose may be substituted for the specific embodiments shown. This application is intended to cover any adaptations or variations that operate according to the principles of the invention as described. Therefore, it is intended that this invention be limited only by the claims and the equivalents thereof. The disclosures of patents, references and publications cited in the application are incorporated by reference herein.

Claims (21)

What is claimed is:
1. A method of forming a nanostructured polymer material, comprising:
heating a substrate and a block copolymer material on the substrate to a temperature above a boiling point of an organic solvent to minimize swelling of the block copolymer material; and
thermally annealing the block copolymer material in a vapor of the organic solvent and at a temperature above the glass transition temperature (Tg) of the block copolymer material to cause polymer blocks of the block copolymer material to phase separate and self-assemble within a trench.
2. The method of claim 1, wherein thermally annealing a block copolymer material in a vapor of an organic solvent and at a temperature above the glass transition temperature (Tg) of the block copolymer material comprises:
heating a first section of the block copolymer material to cause the first section to phase separate and self-assemble, and then subsequently heating remaining sections of the block copolymer material to cause the remaining sections to phase separate and self-assemble.
3. The method of claim 1, wherein the trench comprises a neutral wetting floor and preferentially wetting sidewalls and ends.
4. The method of claim 3, wherein the preferentially wetting sidewalls and ends of the trench comprises a material selected from the group consisting of silicon with native oxide, oxide, silicon nitride, silicon oxycarbide, indium tin oxide (ITO), silicon oxynitride, methacrylate resist, and polydimethylglutarimide resist.
5. The method of claim 3, wherein the neutral wetting floor of the trench comprises a material selected from the group consisting of a random copolymer material, a blend of grafted homopolymers, and hydrogen-terminated silicon.
6. The method of claim 1, wherein thermally annealing a block copolymer material in a vapor of an organic solvent and at a temperature above the glass transition temperature (Tg) of the block copolymer material comprises:
annealing the block copolymer material in a solvent vapor that is non-preferential to any polymer block.
7. The method of claim 1, wherein thermally annealing a block copolymer material in a vapor of an organic solvent and at a temperature above the glass transition temperature (Tg) of the block copolymer material comprises:
annealing the block copolymer material in a partly saturated concentration of the organic solvent.
8. The method of claim 1, wherein the block copolymer material comprises a cylindrical-phase block copolymer.
9. The method of claim 8, wherein thermally annealing a block copolymer material in a vapor of an organic solvent and at a temperature above the glass transition temperature (Tg) of the block copolymer material comprises:
self-assembling the block copolymer material into cylinders of a first block within a matrix of a second block of the block copolymer material, the cylinders oriented perpendicular to and extending from a floor of the trench to an interface of the block copolymer material with the vapor of the organic solvent.
10. The method of claim 1, wherein thermally annealing a block copolymer material in a vapor of an organic solvent and at a temperature above the glass transition temperature (Tg) of the block copolymer material comprises:
zone heating the block copolymer material in the vapor of the organic solvent to cause polymer blocks of the block copolymer material to phase separate and self-assemble within the trench.
11. The method of claim 1, wherein thermally annealing a block copolymer material in a vapor of an organic solvent and at a temperature above the glass transition temperature (Tg) of the block copolymer material comprises:
zone heating a first section and then subsequent sections of the block copolymer material in the vapor of the organic solvent to cause the copolymer material phase to separate and self-assemble in the first section and then in the subsequent sections.
12. The method of claim 1, wherein thermally annealing a block copolymer material in a vapor of an organic solvent and at a temperature above the glass transition temperature (Tg) of the block copolymer material comprises maintaining a concentration of the organic solvent in the air at a vapor interface with the block copolymer material at or under saturation.
13. The method of claim 1, wherein thermally annealing a block copolymer material in a vapor of an organic solvent and at a temperature above the glass transition temperature (Tg) of the block copolymer material comprises globally heating the block copolymer material.
14. The method of claim 1, wherein thermally annealing a block copolymer material in a vapor of an organic solvent and at a temperature above the glass transition temperature (Tg) of the block copolymer material comprises:
heating the block copolymer material above an order-disorder temperature of the block copolymer material; and
cooling the heated block copolymer material to below the order-disorder temperature but above the glass transition temperature of the block copolymer material.
15. The method of claim 1, wherein the block copolymer material comprises a polymer selected from the group consisting of poly(styrene)-b-poly(vinylpyridine), poly(styrene)-b-poly(methyl methacrylate), poly(styrene)-b-polyacrylate, poly(styrene)-b-poly(methacrylate), poly(styrene)-b-poly(lactide), poly(styrene)-b-poly(tert-butyl acrylate), poly(styrene)-b-poly(ethylene-co-butylene), poly(styrene)-b-poly(ethylene oxide), poly(isoprene)-b-poly(ethyleneoxide), poly(isoprene)-b-poly(methyl methacrylate), poly(butadiene)-b-poly(ethyleneoxide), poly(styrene)-b-poly(ethylene oxide) copolymer having a cleavable junction between poly(styrene) and poly(ethylene oxide) blocks, poly(styrene)-b-poly(methyl methacrylate) doped with poly(ethylene oxide)-coated gold nanoparticles, poly(styrene)-b-poly(2-vinylpyridine) copolymer having a cleavable junction, poly(styrene)-b-poly(methyl methacrylate)-b-poly(ethylene oxide), poly(styrene)-b-poly(methyl methacrylate)-b-poly(styrene), poly(methyl methacrylate)-b-poly(styrene)-b-poly(methyl methacrylate), poly(styrene)-b-poly(isoprene)-b-poly(styrene), and combinations thereof.
16. A method of forming a nanostructured material, comprising:
forming a block copolymer material within a trench in a material layer overlying a substrate;
heating the substrate and the block copolymer material to a temperature above a boiling point of an organic solvent;
thermally annealing the block copolymer material in a vapor of the organic solvent and at a temperature above the glass transition temperature (Tg) of the block copolymer material to cause polymer blocks of the block copolymer material to phase separate and self-assemble;
selectively crosslinking a first block of the self-assembled block copolymer material;
selectively removing a second block of the self-assembled block copolymer material to form openings extending through the self-assembled block copolymer material; and
removing at least a portion of the substrate through the openings.
17. The method of claim 16, wherein thermally annealing a block copolymer material in a vapor of an organic solvent and at a temperature above the glass transition temperature (Tg) of the block copolymer material comprises exposing the substrate to a temperature gradient, followed by cooling.
18. The method of claim 16, wherein thermally annealing a block copolymer material in a vapor of an organic solvent and at a temperature above the glass transition temperature (Tg) of the block copolymer material comprises:
self-assembling the block copolymer material into at least one row of perpendicular-oriented cylinders of the second block within a matrix of the first block of the block copolymer material, with the perpendicular-oriented cylinders registered and parallel to sidewalls of the trench.
19. The method of claim 16, wherein thermally annealing a block copolymer material in a vapor of an organic solvent and at a temperature above the glass transition temperature (Tg) of the block copolymer material comprises self-assembling the block copolymer material into cylindrical domains of the second block within a matrix of the first block of the block copolymer material, and
wherein selectively removing the second block of the self-assembled block copolymer material comprises removing the cylindrical domains of the second block to form openings extending through the self-assembled block copolymer material.
20. The method of claim 16, further comprising, after removing at least a portion of the substrate through the openings,
removing the crosslinked first block of the self-assembled block copolymer material, and filling the openings with a fill material.
21. The method of claim 20, wherein filling the openings with a fill material comprises filling the openings with a material selected from the group consisting of a metal, a metal alloy, and a metal-insulator-metal stack.
US14/104,304 2008-03-21 2013-12-12 Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference Active US9315609B2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US14/104,304 US9315609B2 (en) 2008-03-21 2013-12-12 Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US15/090,144 US10153200B2 (en) 2008-03-21 2016-04-04 Methods of forming a nanostructured polymer material including block copolymer materials
US16/215,242 US11282741B2 (en) 2008-03-21 2018-12-10 Methods of forming a semiconductor device using block copolymer materials

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/052,956 US8426313B2 (en) 2008-03-21 2008-03-21 Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US13/469,697 US8633112B2 (en) 2008-03-21 2012-05-11 Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US14/104,304 US9315609B2 (en) 2008-03-21 2013-12-12 Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/469,697 Division US8633112B2 (en) 2008-03-21 2012-05-11 Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US15/090,144 Division US10153200B2 (en) 2008-03-21 2016-04-04 Methods of forming a nanostructured polymer material including block copolymer materials

Publications (2)

Publication Number Publication Date
US20140107296A1 US20140107296A1 (en) 2014-04-17
US9315609B2 true US9315609B2 (en) 2016-04-19

Family

ID=40612928

Family Applications (5)

Application Number Title Priority Date Filing Date
US12/052,956 Active 2029-12-24 US8426313B2 (en) 2008-03-21 2008-03-21 Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US13/469,697 Active US8633112B2 (en) 2008-03-21 2012-05-11 Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US14/104,304 Active US9315609B2 (en) 2008-03-21 2013-12-12 Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US15/090,144 Active 2028-10-27 US10153200B2 (en) 2008-03-21 2016-04-04 Methods of forming a nanostructured polymer material including block copolymer materials
US16/215,242 Active 2029-06-16 US11282741B2 (en) 2008-03-21 2018-12-10 Methods of forming a semiconductor device using block copolymer materials

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US12/052,956 Active 2029-12-24 US8426313B2 (en) 2008-03-21 2008-03-21 Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US13/469,697 Active US8633112B2 (en) 2008-03-21 2012-05-11 Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference

Family Applications After (2)

Application Number Title Priority Date Filing Date
US15/090,144 Active 2028-10-27 US10153200B2 (en) 2008-03-21 2016-04-04 Methods of forming a nanostructured polymer material including block copolymer materials
US16/215,242 Active 2029-06-16 US11282741B2 (en) 2008-03-21 2018-12-10 Methods of forming a semiconductor device using block copolymer materials

Country Status (7)

Country Link
US (5) US8426313B2 (en)
EP (1) EP2281299B1 (en)
JP (1) JP5136869B2 (en)
KR (1) KR101121303B1 (en)
CN (1) CN101978469B (en)
TW (1) TWI391992B (en)
WO (1) WO2009117243A1 (en)

Families Citing this family (114)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8394483B2 (en) 2007-01-24 2013-03-12 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US8557128B2 (en) 2007-03-22 2013-10-15 Micron Technology, Inc. Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers
US7959975B2 (en) 2007-04-18 2011-06-14 Micron Technology, Inc. Methods of patterning a substrate
US8294139B2 (en) 2007-06-21 2012-10-23 Micron Technology, Inc. Multilayer antireflection coatings, structures and devices including the same and methods of making the same
US8097175B2 (en) 2008-10-28 2012-01-17 Micron Technology, Inc. Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure
US8372295B2 (en) 2007-04-20 2013-02-12 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
US8404124B2 (en) 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US8080615B2 (en) 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
KR101355167B1 (en) * 2007-12-14 2014-01-28 삼성전자주식회사 Method of forming fine pattern using block copolymer having at least three polymer block
US8999492B2 (en) 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
US8101261B2 (en) * 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US8425982B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
US8426313B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US8114300B2 (en) 2008-04-21 2012-02-14 Micron Technology, Inc. Multi-layer method for formation of registered arrays of cylindrical pores in polymer films
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
IT1392754B1 (en) * 2008-12-18 2012-03-16 St Microelectronics Srl CROSS NANOARRAY WITH ANISOTROPIC ACTIVE ORGANIC LAYER
KR101101767B1 (en) * 2009-05-07 2012-01-05 한국과학기술원 methods for the preparation of coil-comb block copolymers and their nanostructures
US8398868B2 (en) * 2009-05-19 2013-03-19 International Business Machines Corporation Directed self-assembly of block copolymers using segmented prepatterns
US8574950B2 (en) * 2009-10-30 2013-11-05 International Business Machines Corporation Electrically contactable grids manufacture
WO2011094857A1 (en) * 2010-02-05 2011-08-11 The Governors Of The University Of Alberta Method for organizing a block copolymer
US9011978B2 (en) 2010-02-26 2015-04-21 Asml Netherlands B.V. Method and apparatus for treatment of self-assemblable polymer layers for use in lithography
US8696918B2 (en) 2010-05-05 2014-04-15 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
US8921032B2 (en) 2010-06-04 2014-12-30 Asml Netherlands B.V. Self-assemblable polymer and method for use in lithography
US10753023B2 (en) 2010-08-13 2020-08-25 Kimberly-Clark Worldwide, Inc. Toughened polylactic acid fibers
US8936740B2 (en) 2010-08-13 2015-01-20 Kimberly-Clark Worldwide, Inc. Modified polylactic acid fibers
US8304493B2 (en) 2010-08-20 2012-11-06 Micron Technology, Inc. Methods of forming block copolymers
TWI556958B (en) 2010-09-14 2016-11-11 東京應化工業股份有限公司 Base material and method of forming pattern including block copolymer
JP5729537B2 (en) * 2010-09-14 2015-06-03 東京応化工業株式会社 Base agent
JP5721164B2 (en) * 2010-09-14 2015-05-20 東京応化工業株式会社 Method for patterning a layer containing a block copolymer
US8900963B2 (en) 2011-11-02 2014-12-02 Micron Technology, Inc. Methods of forming semiconductor device structures, and related structures
JP5979660B2 (en) * 2012-02-09 2016-08-24 東京応化工業株式会社 Contact hole pattern formation method
US8961918B2 (en) 2012-02-10 2015-02-24 Rohm And Haas Electronic Materials Llc Thermal annealing process
JP6258227B2 (en) * 2012-02-10 2018-01-10 ボード・オブ・リージエンツ,ザ・ユニバーシテイ・オブ・テキサス・システム Anhydrous copolymer topcoat for controlling the orientation of thin film block copolymers
JP5891075B2 (en) 2012-03-08 2016-03-22 東京応化工業株式会社 Block copolymer-containing composition and pattern reduction method
JP5993654B2 (en) * 2012-03-09 2016-09-14 東京応化工業株式会社 Method for forming pattern of layer containing block copolymer and base material
JP6306810B2 (en) 2012-03-14 2018-04-04 東京応化工業株式会社 Pattern forming method for layer containing base agent and block copolymer
JP6118573B2 (en) 2012-03-14 2017-04-19 東京応化工業株式会社 Pattern forming method for layer containing base agent and block copolymer
JP5934565B2 (en) * 2012-04-20 2016-06-15 東京応化工業株式会社 Pattern reduction method and composition
JP5948129B2 (en) * 2012-04-26 2016-07-06 東京応化工業株式会社 Method for forming a pattern in which two or more isolated holes are arranged side by side
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
KR101412228B1 (en) * 2012-06-11 2014-07-02 한국과학기술원 Method for manufacturing thin film comprising mixed block copolymer, method for manufacturing template comprising mixed block copolymer, and thin film and template mixed block copolymer
KR102156005B1 (en) * 2012-07-10 2020-09-15 가부시키가이샤 니콘 Mark formation method and device manufacturing method
CN104541357B (en) * 2012-07-10 2018-01-23 株式会社尼康 Mark and forming method thereof and exposure device
US8821739B2 (en) 2012-07-12 2014-09-02 Rohm And Haas Electronic Materials Llc High temperature thermal annealing process
US8821738B2 (en) 2012-07-12 2014-09-02 Rohm And Haas Electronic Materials Llc Thermal annealing process
JP6239813B2 (en) 2012-07-18 2017-11-29 株式会社Screenセミコンダクターソリューションズ Substrate processing apparatus and substrate processing method
CN103633029B (en) * 2012-08-28 2016-11-23 中国科学院微电子研究所 Semiconductor structure and manufacture method thereof
US9087699B2 (en) 2012-10-05 2015-07-21 Micron Technology, Inc. Methods of forming an array of openings in a substrate, and related methods of forming a semiconductor device structure
KR101993255B1 (en) * 2013-01-07 2019-06-26 삼성전자주식회사 Method of forming contact holes
FR3001306A1 (en) * 2013-01-18 2014-07-25 Commissariat Energie Atomique METHOD FOR MANUFACTURING A CONDUCTOR NETWORK ON A SUBSTRATE USING BLOCK COPOLYMERS
US9050621B2 (en) * 2013-01-24 2015-06-09 Corning Incorporated Surface nanofabrication methods using self-assembled polymer nanomasks
US9566609B2 (en) 2013-01-24 2017-02-14 Corning Incorporated Surface nanoreplication using polymer nanomasks
JP6093590B2 (en) * 2013-02-20 2017-03-08 東京応化工業株式会社 Method for manufacturing structure including phase separation structure, and method for forming guide pattern
US8859433B2 (en) * 2013-03-11 2014-10-14 International Business Machines Corporation DSA grapho-epitaxy process with etch stop material
US8980538B2 (en) 2013-03-14 2015-03-17 Tokyo Electron Limited Chemi-epitaxy in directed self-assembly applications using photo-decomposable agents
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US9115255B2 (en) * 2013-03-14 2015-08-25 Wisconsin Alumni Research Foundation Crosslinked random copolymer films for block copolymer domain orientation
US8975009B2 (en) 2013-03-14 2015-03-10 Tokyo Electron Limited Track processing to remove organic films in directed self-assembly chemo-epitaxy applications
US20140273534A1 (en) 2013-03-14 2014-09-18 Tokyo Electron Limited Integration of absorption based heating bake methods into a photolithography track system
US9209014B2 (en) * 2013-03-15 2015-12-08 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
US20140273290A1 (en) * 2013-03-15 2014-09-18 Tokyo Electron Limited Solvent anneal processing for directed-self assembly applications
US20140291878A1 (en) * 2013-03-29 2014-10-02 Tokyo Electron Limited Methods for controlling across-wafer directed self-assembly
US9229328B2 (en) 2013-05-02 2016-01-05 Micron Technology, Inc. Methods of forming semiconductor device structures, and related semiconductor device structures
ITRM20130278A1 (en) 2013-05-10 2014-11-11 Consiglio Nazionale Ricerche PROCESS OF MANUFACTURE OF SELF-ASSEMBLED FILMS OF BLOCKED COPOLYMERS
US10457088B2 (en) * 2013-05-13 2019-10-29 Ridgefield Acquisition Template for self assembly and method of making a self assembled pattern
TWI658055B (en) * 2013-06-19 2019-05-01 德州大學董事會 Anhydride copolymer top coats for orientation control of thin film block copolymers
US20150179434A1 (en) * 2013-07-25 2015-06-25 SK Hynix Inc. Nano-scale structures
FR3008986B1 (en) * 2013-07-25 2016-12-30 Arkema France METHOD OF CONTROLLING THE PERIOD CHARACTERIZING THE MORPHOLOGY OBTAINED FROM A MIXTURE OF BLOCK COPOLYMER AND (CO) POLYMER FROM ONE OF THE BLOCKS
US10490402B2 (en) 2013-09-04 2019-11-26 Tokyo Electron Limited UV-assisted stripping of hardened photoresist to create chemical templates for directed self-assembly
WO2015032588A1 (en) * 2013-09-06 2015-03-12 Asml Netherlands B.V. Methodology to generate guiding templates for directed self-assembly
US9177795B2 (en) 2013-09-27 2015-11-03 Micron Technology, Inc. Methods of forming nanostructures including metal oxides
US9625815B2 (en) 2013-09-27 2017-04-18 Intel Corporation Exposure activated chemically amplified directed self-assembly (DSA) for back end of line (BEOL) pattern cutting and plugging
US9349604B2 (en) 2013-10-20 2016-05-24 Tokyo Electron Limited Use of topography to direct assembly of block copolymers in grapho-epitaxial applications
US9793137B2 (en) 2013-10-20 2017-10-17 Tokyo Electron Limited Use of grapho-epitaxial directed self-assembly applications to precisely cut logic lines
US9859118B2 (en) * 2013-11-25 2018-01-02 Tokyo Electron Limited Pattern forming method and heating apparatus
KR102100767B1 (en) 2013-11-26 2020-04-21 엘지디스플레이 주식회사 Organic Light Emitting Display Apparatus and Method for Manufacturing The Same
KR102166523B1 (en) * 2013-12-02 2020-10-16 에스케이하이닉스 주식회사 Structure and Method of fabricating nano scale features and structure including the features
FR3014887B1 (en) * 2013-12-13 2017-05-26 Arkema France PROCESS FOR CREATING NANOMETRIC STRUCTURES BY SELF-ASSEMBLING BLOCK COPOLYMERS
FR3017395B1 (en) * 2014-02-11 2017-11-03 Arkema France METHOD FOR CONTROLLING THE SURFACE ENERGY OF A SUBSTRATE
JP2015159262A (en) * 2014-02-25 2015-09-03 東京エレクトロン株式会社 Substrate processing apparatus, substrate processing method, program and computer storage medium
US9765171B2 (en) * 2014-05-30 2017-09-19 Pall Corporation Self-assembling polymers—V
US9193835B1 (en) 2014-05-30 2015-11-24 Pall Corporation Self-assembling polymers—IV
US9593218B2 (en) * 2014-05-30 2017-03-14 Pall Corporation Self-assembled structure and membrane comprising block copolymer and process for producing the same by spin coating (IIIa)
US9328206B2 (en) 2014-05-30 2016-05-03 Pall Corporation Self-assembling polymers—III
US9592476B2 (en) 2014-05-30 2017-03-14 Pall Corporation Membrane comprising self-assembled block copolymer and process for producing the same by hybrid casting (IIb)
US9441078B2 (en) 2014-05-30 2016-09-13 Pall Corporation Self-assembling polymers—I
US9598543B2 (en) * 2014-05-30 2017-03-21 Pall Corporation Self-assembled structure and membrane comprising block copolymer and process for producing the same by spin coating (VIa)
US9593217B2 (en) * 2014-05-30 2017-03-14 Pall Corporation Self-assembled structure and membrane comprising block copolymer and process for producing the same by spin coating (Va)
US9616395B2 (en) * 2014-05-30 2017-04-11 Pall Corportaion Membrane comprising self-assembled block copolymer and process for producing the same by spray coating (Ic)
US9604181B2 (en) * 2014-05-30 2017-03-28 Pall Corporation Membrane comprising self-assembled block copolymer and process for producing the same by spray coating (IIc)
US9469733B2 (en) 2014-05-30 2016-10-18 Pall Corporation Self-assembled structure and membrane comprising block copolymer and process for producing the same by spin coating (IVa)
US9592477B2 (en) 2014-05-30 2017-03-14 Pall Corporation Membrane comprising self-assembled block copolymer and process for producing the same by hybrid casting (Ib)
US9593219B2 (en) * 2014-05-30 2017-03-14 Pall Corporation Membrane comprising self-assembled block copolymer and process for producing the same by spin coating (IIa)
US9448483B2 (en) * 2014-07-31 2016-09-20 Dow Global Technologies Llc Pattern shrink methods
US9396958B2 (en) * 2014-10-14 2016-07-19 Tokyo Electron Limited Self-aligned patterning using directed self-assembly of block copolymers
GB2549412B8 (en) 2014-11-26 2021-07-07 Kimberly Clark Co Annealed porous polyolefin material
US10294359B2 (en) * 2014-12-30 2019-05-21 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
US11021630B2 (en) * 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
CN105047568B (en) * 2015-09-07 2018-01-09 京东方科技集团股份有限公司 Thin film transistor (TFT) and preparation method thereof, display panel
US9876075B2 (en) 2015-10-16 2018-01-23 International Business Machines Corporation Method of forming dielectric with air gaps for use in semiconductor devices
WO2017111926A1 (en) * 2015-12-21 2017-06-29 Intel Corporation Triblock copolymers for self-aligning vias or contacts
US9691654B1 (en) * 2015-12-22 2017-06-27 Globalfoundries Inc. Methods and devices for back end of line via formation
US20170221701A1 (en) * 2016-02-01 2017-08-03 Applied Materials, Inc. Rtp process for directed self-aligned patterns
JP6788198B2 (en) * 2016-02-08 2020-11-25 Jsr株式会社 Method and composition for forming contact hole pattern
US10056265B2 (en) * 2016-03-18 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Directed self-assembly process with size-restricted guiding patterns
KR102463922B1 (en) * 2016-03-21 2022-11-08 에스케이하이닉스 주식회사 Method for forming fine patterns
US9947597B2 (en) 2016-03-31 2018-04-17 Tokyo Electron Limited Defectivity metrology during DSA patterning
JP6802667B2 (en) * 2016-08-18 2020-12-16 株式会社Screenホールディングス Heat treatment equipment, substrate processing equipment, heat treatment method and substrate processing method
KR102191606B1 (en) 2016-11-30 2020-12-15 주식회사 엘지화학 Laminate
EP3559984A4 (en) * 2016-12-23 2020-12-02 INTEL Corporation Differential hardmasks for modulation of electrobucket sensitivity
JP6811638B2 (en) 2017-02-14 2021-01-13 株式会社Screenホールディングス Substrate processing method and its equipment
US10170301B2 (en) * 2017-03-29 2019-01-01 International Business Machines Corporation Adhesion of polymers on silicon substrates
JP7110090B2 (en) * 2018-12-28 2022-08-01 東京エレクトロン株式会社 Substrate processing method and substrate processing system

Citations (315)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4623674A (en) 1985-06-21 1986-11-18 Union Carbide Corporation Polymer/polyols of substituted styrenes and polyurethanes made therefrom
US4797357A (en) 1986-05-30 1989-01-10 Eastman Kodak Company Light-stable reducible compounds and analytical compositions, elements and methods utilizing same
US4818713A (en) 1987-10-20 1989-04-04 American Telephone And Telegraph Company, At&T Bell Laboratories Techniques useful in fabricating semiconductor devices having submicron features
US4877647A (en) 1986-04-17 1989-10-31 Kansas State University Research Foundation Method of coating substrates with solvated clusters of metal particles
WO1990007575A1 (en) 1988-12-30 1990-07-12 Anderson David M Stabilized microporous materials and hydrogel materials
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5374367A (en) 1992-08-07 1994-12-20 Fujikura Kasei Co., Ltd. Electro-sensitive composition
US5382373A (en) 1992-10-30 1995-01-17 Lord Corporation Magnetorheological materials based on alloy particles
US5482656A (en) 1993-03-04 1996-01-09 Kabushiki Kaisha Toshiba Non-linear optical devices employing a polysilane composition and a polysilane composition therefor
US5512131A (en) 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US5538655A (en) 1994-06-29 1996-07-23 Arthur D. Little, Inc. Molecular complexes for use as electrolyte components
US5580700A (en) 1992-11-25 1996-12-03 Hoechst Celanese Corporation Metal ion reduction in bottom anti-reflective coatings for use in semiconductor device formation
WO1997006013A1 (en) 1995-08-04 1997-02-20 International Business Machines Corporation Lithographic surface or thin layer modification
US5620850A (en) 1994-09-26 1997-04-15 President And Fellows Of Harvard College Molecular recognition at surfaces derivatized with self-assembled monolayers
US5622668A (en) 1992-02-07 1997-04-22 The United States Of America As Represented By The Secretary Of The Air Force Method for preparing oriented polymer structures and said structures
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
WO1998039645A1 (en) 1997-03-07 1998-09-11 Beckman Coulter, Inc. A novel capillary
US5834583A (en) 1995-07-27 1998-11-10 Circe Biomedical, Inc. Block copolymers
US5849810A (en) 1993-08-06 1998-12-15 Ciba Vision Corporation Photocrosslinked polymers
US5879582A (en) 1994-08-19 1999-03-09 The Lubrizol Corporation Electrorheological fluids of polar solids and organic semiconductors
US5879853A (en) 1996-01-18 1999-03-09 Kabushiki Kaisha Toshiba Top antireflective coating material and its process for DUV and VUV lithography systems
JPH1180414A (en) 1997-08-29 1999-03-26 Res Dev Corp Of Japan Double-structure continuous porous body and its production
US5891356A (en) 1990-08-30 1999-04-06 Asahi Kasei Kogyo Kabushiki Kaisha Homogeneous electrorheological fluid
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
WO1999047570A1 (en) 1998-03-18 1999-09-23 University Of Rochester Macromolecular self-assembly of microstructures, nanostructures, objects and mesoporous solids
US5958704A (en) 1997-03-12 1999-09-28 Ddx, Inc. Sensing system for specific substance and molecule detection
US6051869A (en) 1996-06-26 2000-04-18 Intel Corporation Silicon-rich block copolymers to achieve unbalanced vias
WO2000031183A1 (en) 1998-11-24 2000-06-02 The Dow Chemical Company A composition containing a cross-linkable matrix precursor and a poragen, and a porous matrix prepared therefrom
US6111323A (en) 1997-12-30 2000-08-29 International Business Machines Corporation Reworkable thermoplastic encapsulant
US6153495A (en) 1998-03-09 2000-11-28 Intersil Corporation Advanced methods for making semiconductor devices by low temperature direct bonding
US6207787B1 (en) 1994-07-27 2001-03-27 International Business Machines Corporation Antireflective coating for microlithography
US6251791B1 (en) 1999-07-20 2001-06-26 United Microelectronics Corp. Eliminating etching microloading effect by in situ deposition and etching
US6270946B1 (en) 1999-03-18 2001-08-07 Luna Innovations, Inc. Non-lithographic process for producing nanoscale features on a substrate
US20010024768A1 (en) 1996-02-26 2001-09-27 Matsushita Electric Industrial Co., Ltd. Pattern forming material and pattern forming method
US6310138B1 (en) 1998-06-05 2001-10-30 Asahi Kasei Kabushiki Kaisha Hydrogenated block copolymer and polypropylene resin composition containing the same
US6309580B1 (en) 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US6312971B1 (en) 1999-08-31 2001-11-06 E Ink Corporation Solvent annealing process for forming a thin semiconductor film with advantageous properties
US20010049195A1 (en) 2000-02-25 2001-12-06 Chartered Semiconductor Manufacturing Ltd. Non-metallic barrier formations for copper damascene type interconnects
WO2002018080A1 (en) 2000-08-03 2002-03-07 Upepo & Maji Inc. Metal colloidal solution composition and conductor or ink for forming semiconductor pattern comprising it and method for forming conductor or semiconductor pattern
US6368871B1 (en) 1997-08-13 2002-04-09 Cepheid Non-planar microstructures for manipulation of fluid samples
US20020055239A1 (en) 2000-03-22 2002-05-09 Mark Tuominen Nanocylinder arrays
US6403382B1 (en) 1998-12-08 2002-06-11 Regents Of The University Of Minnesota Attachment chemistry for organic molecules to silicon
US6414164B1 (en) 2000-07-12 2002-07-02 International Business Machines Corporation Synthesis of soluble derivatives of sexithiophene and their use as the semiconducting channels in thin-film filed-effect transistors
US20020084429A1 (en) 2000-10-17 2002-07-04 Craighead Harold G. Electron-beam patterning of functionalized self-assembled monolayers
US6423465B1 (en) 2000-01-28 2002-07-23 International Business Machines Corporation Process for preparing a patterned continuous polymeric brush on a substrate surface
US6423474B1 (en) 2000-03-21 2002-07-23 Micron Technology, Inc. Use of DARC and BARC in flash memory processing
WO2002081372A2 (en) 2001-04-06 2002-10-17 Carnegie Mellon University A process for the preparation of nanostructured materials
US20020158432A1 (en) 2001-04-30 2002-10-31 Wain Amir Waheed Infocart
US20020158342A1 (en) 2001-03-14 2002-10-31 Mark Tuominen Nanofabrication
US6503841B1 (en) 2000-07-07 2003-01-07 Agere Systems Inc. Oxide etch
US6506660B2 (en) 2000-11-15 2003-01-14 International Business Machines Corporation Semiconductor with nanoscale features
US20030010241A1 (en) 2001-03-28 2003-01-16 Masamichi Fujihira Patterning method with micro- contact printing and its printed product
US6517933B1 (en) 2000-01-18 2003-02-11 Nano-Tex, Llc Hybrid polymer materials
US6518194B2 (en) 2000-12-28 2003-02-11 Thomas Andrew Winningham Intermediate transfer layers for nanoscale pattern transfer and nanostructure formation
US6537920B1 (en) 2001-03-16 2003-03-25 Advanced Micro Devices, Inc. Formation of vertical transistors using block copolymer lithography
US20030068639A1 (en) 2001-08-31 2003-04-10 Thomas Haneder Detecting biochemical reactions
US6548830B1 (en) 1996-05-22 2003-04-15 Sony Corporation Semiconductor device formed of single crystal grains in a grid pattern
US20030077452A1 (en) 2001-07-17 2003-04-24 Guire Patrick E. Self assembling monolayer compositions
US20030080472A1 (en) 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method with bonded release layer for molding small patterns
US20030091752A1 (en) 2001-10-05 2003-05-15 Nealey Paul F. Guided self-assembly of block copolymer films on interferometrically nanopatterned substrates
US6565764B2 (en) 2000-08-11 2003-05-20 Kabushiki Kaisha Toshiba Method of manufacturing a material having a fine structure
US6566248B1 (en) 2001-01-11 2003-05-20 Advanced Micro Devices, Inc. Graphoepitaxial conductor cores in integrated circuit interconnects
US6565763B1 (en) 1999-06-07 2003-05-20 Kabushiki Kaisha Toshiba Method for manufacturing porous structure and method for forming pattern
US6569528B2 (en) 2001-06-23 2003-05-27 Pacific Corporation Amphiphilic biodegradable block copolymers and self-assembled polymer aggregates formed from the same in aqueous milieu
JP2003155365A (en) 2001-11-22 2003-05-27 Toshiba Corp Processing method and formed body
US20030100822A1 (en) 2001-09-01 2003-05-29 Seok Lew Analyte measuring biosensor chip using image scanning system
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
WO2003045840A2 (en) 2001-11-21 2003-06-05 University Of Massachusetts Mesoporous materials and methods
US20030108879A1 (en) 2001-01-10 2003-06-12 Symyx Technologies, Inc. Polymer brushes for immobilizing molecules to a surface or substrate having improved stability
US6592764B1 (en) 1997-12-09 2003-07-15 The Regents Of The University Of California Block copolymer processing for mesostructured inorganic oxide materials
US20030180522A1 (en) 2002-03-22 2003-09-25 Desimone Joseph M. Methods of forming polymeric structures using carbon dioxide and polymeric structures formed thereby
US20030180966A1 (en) 2002-03-20 2003-09-25 Wisconsin Alumni Research Foundation Optical imaging of nanostructured substrates
US20030178707A1 (en) 2002-03-21 2003-09-25 Abbott Donald C. Preplated stamped small outline no-lead leadframes having etched profiles
US6635912B2 (en) 2000-09-07 2003-10-21 Nec Electronics Corporation CMOS image sensor and manufacturing method thereof
US20030196748A1 (en) 2002-04-22 2003-10-23 International Business Machines Corporation Process of fabricating a precision microcontact printing stamp
US20030218644A1 (en) 2002-03-25 2003-11-27 Takamitsu Higuchi Board for electronic device, electronic device, ferroelectric memory, electronic apparatus, ink-jet recording head, and ink-jet printer
US20030235930A1 (en) 2002-06-25 2003-12-25 Lucent Technologies Inc. Multi-impression nanofeature production
US6679996B1 (en) 1999-10-05 2004-01-20 Hoya Corporation Metal oxide pattern forming method
US6682660B2 (en) 1998-09-04 2004-01-27 Mds Proteomics, Inc. Ultrasonically generated paramagnetic polymer particles
US20040023287A1 (en) 2002-08-02 2004-02-05 Oliver Harnack Method of attaching hydrophilic species to hydrophilic macromolecules and immobilizing the hydrophilic macromolecules on a hydrophobic surface
US20040028875A1 (en) 2000-12-02 2004-02-12 Van Rijn Cornelis Johannes Maria Method of making a product with a micro or nano sized structure and product
US6699797B1 (en) 2002-12-17 2004-03-02 Intel Corporation Method of fabrication of low dielectric constant porous metal silicate films
US20040058059A1 (en) 2001-11-07 2004-03-25 Linford Mathew Richard Funtionalized patterned surfaces
US6713238B1 (en) 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
TW584670B (en) 2002-10-31 2004-04-21 Academia Sinica Fabrication of nanocomposite thin films for high density magnetic recording media
US20040076757A1 (en) 2002-07-17 2004-04-22 Jacobson Joseph M. Templated monolayer polymerization and replication
EP1416303A2 (en) 2002-10-30 2004-05-06 Hitachi, Ltd. Functioning substrate with a group of columnar micro pillars and its manufacturing method
US20040084298A1 (en) 2002-10-31 2004-05-06 Y.D. Yao Fabrication of nanocomposite thin films for high density magnetic recording media
US20040109263A1 (en) 2002-03-29 2004-06-10 Shoichi Suda Manufacturing process of a magnetic head, magnetic head, pattern formation method
US20040124092A1 (en) 2002-12-30 2004-07-01 Black Charles T. Inorganic nanoporous membranes and methods to form same
US20040127001A1 (en) 2002-12-27 2004-07-01 International Business Machines Corporation Robust ultra-low k interconnect structures using bridge-then-metallization fabrication sequence
US20040142578A1 (en) 2002-03-28 2004-07-22 Ulrich Wiesner Thin film nanostructures
US6767693B1 (en) 2002-07-30 2004-07-27 Advanced Micro Devices, Inc. Materials and methods for sub-lithographic patterning of contact, via, and trench structures in integrated circuit devices
US20040159633A1 (en) 1993-10-04 2004-08-19 President & Fellows Of Harvard University Methods of etching articles via micro contact printing
US6780492B2 (en) 1999-03-02 2004-08-24 International Business Machines Corporation Substrates prepared by chemical amplification of self-assembled monolayers with spatially localized polymer brushes
US6781166B2 (en) 1999-07-02 2004-08-24 President & Fellows Of Harvard College Nanoscopic wire-based devices and arrays
US20040163758A1 (en) 2000-04-21 2004-08-26 International Business Machines Corporation Patterning solution deposited thin films with self-assembled monolayers
US6797202B2 (en) 1996-09-06 2004-09-28 Bridgestone Corporaton Particles for electro-rheological fluid
US20040192013A1 (en) 2003-03-31 2004-09-30 Ryu Myung Kwan Method for fabricating single crystal silicon film
US6809210B2 (en) 2001-06-12 2004-10-26 Lucent Technologies Inc. Method of solvating a metal in an aromatic organic liquid
US6812132B2 (en) 2003-03-21 2004-11-02 Intel Corporation Filling small dimension vias using supercritical carbon dioxide
US20040222415A1 (en) 2003-03-11 2004-11-11 Industrial Technology Research Institute Organic device including semiconducting layer aligned according to microgrooves of photoresist layer
JP2004335962A (en) 2003-05-12 2004-11-25 Seiko Epson Corp Method for forming thin film pattern, device, its manufacturing method, electro-optical device and electronic apparatus
US20040254317A1 (en) 2003-06-12 2004-12-16 Jinlian Hu Methods for producing di-block polymers
US20040256615A1 (en) 2001-07-09 2004-12-23 Henning Sirringhaus Lamellar polymer architecture
US20040256662A1 (en) 2003-06-20 2004-12-23 International Business Machines Corporation Nonvolatile memory device using semiconductor nanocrystals and method of forming same
US20040265548A1 (en) 2003-05-20 2004-12-30 Rong-Ming Ho Nanopatterned templates from oriented degradable diblock copolymer thin films
CN1562730A (en) 2004-03-24 2005-01-12 哈尔滨工业大学 Method for adjusting and controlling configuration of self-assembling block copolymer template oriented to nano micro machining
US20050008828A1 (en) 2002-07-25 2005-01-13 Trustees Of Stevens Institute Of Technology Patterned polymer microgel and method of forming same
JP2005008882A (en) 1999-06-07 2005-01-13 Toshiba Corp Method for producing porous structure, porous structure forming material, method for forming pattern, pattern forming material, electrochemical cell, and hollow fiber filter
JP2005029779A (en) 1999-06-07 2005-02-03 Toshiba Corp Pattern-forming material, pattern-forming method, method for producing porous structure, electrochemical cell, method for producing porous carbon structure, and the porous carbon structure
TW200511364A (en) 2003-02-12 2005-03-16 Nantero Inc Devices having horizontally-disposed nanofabric articles and methods of making the same
US20050062165A1 (en) 2003-09-19 2005-03-24 International Business Machines Corporation Method of forming closed air gap interconnects and structures formed thereby
US20050074706A1 (en) 2003-10-06 2005-04-07 Robert Bristol Enhancing photoresist performance using electric fields
US20050079486A1 (en) 2003-09-23 2005-04-14 Wiscnsin Alumni Research Foundation - Using liquid crystals to detect affinity microcontact printed biomolecules
US6884842B2 (en) 1997-10-14 2005-04-26 Alnis Biosciences, Inc. Molecular compounds having complementary surfaces to targets
US6887332B1 (en) 2000-04-21 2005-05-03 International Business Machines Corporation Patterning solution deposited thin films with self-assembled monolayers
US6890624B1 (en) 2000-04-25 2005-05-10 Nanogram Corporation Self-assembled structures
US6890703B2 (en) 2002-03-06 2005-05-10 International Business Machines Corporation Preparation of crosslinked particles from polymers having activatible crosslinking groups
US20050100830A1 (en) 2003-10-27 2005-05-12 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
US20050120902A1 (en) 2001-04-25 2005-06-09 David Adams Edge transfer lithography
US20050124135A1 (en) 2003-11-25 2005-06-09 Farrokh Ayazi Methods of forming oxide masks with submicron openings and microstructures formed thereby
US6908861B2 (en) 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US20050133697A1 (en) 2003-12-23 2005-06-23 Potyrailo Radislav A. Sensor devices containing co-polymer substrates for analysis of chemical and biological species in water and air
US6911400B2 (en) 2002-11-05 2005-06-28 International Business Machines Corporation Nonlithographic method to produce self-aligned mask, articles produced by same and compositions for same
US6913697B2 (en) 2001-02-14 2005-07-05 Science & Technology Corporation @ Unm Nanostructured separation and analysis devices for biological membranes
US20050147841A1 (en) 2002-03-22 2005-07-07 Avto Tavkhelidze Influence of surface geometry on metal properties
US20050159293A1 (en) 2004-01-16 2005-07-21 General Electric Company Nanoscale ordered composites of covalent ceramics for high-temperature structural applications via block-copolymer-assisted assembly and method of making
US6924341B2 (en) 2001-03-30 2005-08-02 The Uab Research Foundation Polymer formation in room temperature ionic liquids
US20050167651A1 (en) 2002-02-06 2005-08-04 Merkulov Vladimir I. Controlled alignment catalytically grown nanostructures
US20050176256A1 (en) 2004-02-10 2005-08-11 Infineon Technologies Ag Method for expanding a trench in a semiconductor structure
US6940485B2 (en) 2003-01-15 2005-09-06 Xerox Corporation Flexible micron-thin display device
US6946332B2 (en) 2002-03-15 2005-09-20 Lucent Technologies Inc. Forming nanoscale patterned thin film metal layers
US20050208752A1 (en) 2004-03-19 2005-09-22 Colburn Matthew E Method for fabricating a self-aligned nanocolumnar airbridge and structure produced thereby
US6949456B2 (en) 2002-10-31 2005-09-27 Asm Japan K.K. Method for manufacturing semiconductor device having porous structure with air-gaps
US6952436B2 (en) 2000-11-14 2005-10-04 Regents Of The University Of California Inorganic/block copolymer-dye composites and dye doped mesoporous materials for optical and sensing applications
US6957608B1 (en) 2002-08-02 2005-10-25 Kovio, Inc. Contact print methods
US20050238889A1 (en) 2003-07-10 2005-10-27 Nancy Iwamoto Layered components, materials, methods of production and uses thereof
US20050238967A1 (en) 2004-04-27 2005-10-27 The Board Of Trustees Of The University Of Illinois Composite patterning devices for soft lithography
US6962823B2 (en) 2002-04-02 2005-11-08 Nanosys, Inc. Methods of making, positioning and orienting nanostructures, nanostructure arrays and nanostructure devices
US20050250053A1 (en) 2004-05-06 2005-11-10 Marsh Eugene P Selective provision of a diblock copolymer material
WO2005122285A2 (en) 2004-06-04 2005-12-22 The Board Of Trustees Of The University Of Illinois Methods and devices for fabricating and assembling printable semiconductor elements
WO2006003594A2 (en) 2004-06-30 2006-01-12 Koninklijke Philips Electronics N.V. Soft lithographic stamp with a chemically patterned surface
WO2006003592A2 (en) 2004-06-30 2006-01-12 Koninklijke Philips Electronics N.V. Soft lithographic stamp with a chemically patterned surface
US20060013956A1 (en) 2004-04-20 2006-01-19 Angelescu Dan E Method and apparatus for providing shear-induced alignment of nanostructure in thin films
US20060014001A1 (en) 2000-05-26 2006-01-19 Hua Zhang Fabrication of sub-50 nm solid-state nanostructures based on nanolithography
US20060024590A1 (en) 2004-07-29 2006-02-02 Sandhu Gurtej S Methods of forming patterns in semiconductor constructions, methods of forming container capacitors, and methods of forming reticles configured for imprint lithography
US6995439B1 (en) 2002-06-12 2006-02-07 Novellus Systems, Inc. Method of fabricating low dielectric constant dielectric films
JP2006036923A (en) 2004-07-27 2006-02-09 National Institute Of Advanced Industrial & Technology Highly oriented film of block copolymer-clay nano-composite material and method for producing the same
US20060030495A1 (en) 2004-08-06 2006-02-09 Gregg George L Jr Bullet lubrication formula
US6998152B2 (en) 1999-12-20 2006-02-14 Micron Technology, Inc. Chemical vapor deposition methods utilizing ionic liquids
US20060035387A1 (en) 1998-07-14 2006-02-16 Zyomyx, Inc. Non-specific binding resistant protein arrays and methods for making the same
US7001795B2 (en) 2003-02-27 2006-02-21 Micron Technology, Inc. Total internal reflection (TIR) CMOS imager
US20060046484A1 (en) 2004-09-02 2006-03-02 Abatchev Mirzafer K Method for integrated circuit fabrication using pitch multiplication
US20060046079A1 (en) 2004-09-01 2006-03-02 Samsung Corning Co., Ltd. Method for preparing surfactant-templated, mesoporous low dielectric film
JP2006055982A (en) 2004-08-23 2006-03-02 Ind Technol Res Inst Nanopatterned template from organizing decomposition diblock copolymer thin film
US20060046480A1 (en) 2003-10-16 2006-03-02 Ting Guo Nanostructures, nanogrooves, and nanowires
US7009227B2 (en) 2003-06-16 2006-03-07 Micron Technology, Inc. Photodiode structure and image pixel structure
US20060060863A1 (en) 2004-09-22 2006-03-23 Jennifer Lu System and method for controlling nanostructure growth
US20060062867A1 (en) 2002-07-11 2006-03-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US20060078681A1 (en) 2004-10-13 2006-04-13 Kabushiki Kaisha Toshiba Pattern forming method and method of processing a structure by use of same
US7037738B2 (en) 2002-01-18 2006-05-02 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor light-emitting element
US20060097134A1 (en) 2004-11-09 2006-05-11 Rhodes Howard E Image sensor and pixel having an anti-reflective coating over the photodiode
US20060105562A1 (en) 2004-11-12 2006-05-18 Seagate Technology Llc Method to make nano structure below 25 nanometer with high uniformity on large scale
TWI256110B (en) 2004-05-18 2006-06-01 Ind Tech Res Inst Nanopatterned templates from oriented degradable diblock copolymer thin films
US7060774B2 (en) 2002-02-28 2006-06-13 Merck Patent Gesellschaft Prepolymer material, polymer material, imprinting process and their use
US20060124467A1 (en) 2003-05-20 2006-06-15 Industrial Technology Research Institute Metal nanodot arrays and fabrication methods thereof
US20060128165A1 (en) 2004-12-13 2006-06-15 3M Innovative Properties Company Method for patterning surface modification
US20060134556A1 (en) 2004-11-22 2006-06-22 Wisconsin Alumni Research Foundation Methods and compositions for forming aperiodic patterned copolymer films
US7066801B2 (en) 2003-02-21 2006-06-27 Dow Global Technologies, Inc. Method of manufacturing a fixed abrasive material
US20060141222A1 (en) 2004-12-29 2006-06-29 Fischer Paul B Introducing nanotubes in trenches and structures formed thereby
US20060137554A1 (en) 2004-11-10 2006-06-29 Gregor Kron Stamp for soft lithography, in particular micro contact printing and a method of preparing the same
US20060141245A1 (en) 2003-10-17 2006-06-29 Francesco Stellacci Nanocontact printing
US20060154466A1 (en) 2004-01-15 2006-07-13 Seung-Heon Lee Fabrication method for arranging ultra-fine particles
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
WO2006076016A2 (en) 2004-05-21 2006-07-20 Krzysztof Matyjaszewski Conducting polymers
WO2006078952A1 (en) 2005-01-21 2006-07-27 University Of California Methods for fabricating a long-range ordered periodic array of nano-features, and articles comprising same
US7087267B2 (en) 2001-11-29 2006-08-08 International Business Machines Corporation Materials and methods for immobilization of catalysts on surfaces and for selective electroless metallization
US20060192283A1 (en) 2004-04-29 2006-08-31 Benson Peter A Semiconductor wafer assemblies
US20060205875A1 (en) 2005-03-11 2006-09-14 Cha Jennifer N Materials having predefined morphologies and methods of formation thereof
US20060211871A1 (en) 2003-12-31 2006-09-21 Sheng Dai Synthesis of ionic liquids
US7112617B2 (en) 2003-04-22 2006-09-26 International Business Machines Corporation Patterned substrate with hydrophilic/hydrophobic contrast, and method of use
US20060217285A1 (en) 2002-11-07 2006-09-28 Mathias Destarac Controlled structure copolymer comprising an amphoteric or zwitterionic part
TW200633925A (en) 2004-11-23 2006-10-01 Agilent Technologies Inc System and method for controlling the size and/or distribution of catalyst nanoparticles for nanostructure growth
US7115995B2 (en) 2000-12-20 2006-10-03 Intel Corporation Structural reinforcement of highly porous low k dielectric films by Cu diffusion barrier structures
US7115305B2 (en) 2002-02-01 2006-10-03 California Institute Of Technology Method of producing regular arrays of nano-scale objects using nano-structured block-copolymeric materials
US7119321B2 (en) 2003-07-01 2006-10-10 Micron Technology, Inc. Optical channels for multi-level metal optical imagers
US7118784B1 (en) 2005-06-27 2006-10-10 The Regents Of The University Of California Method and apparatus for controlling nucleation in self-assembled films
US20060228635A1 (en) 2005-04-07 2006-10-12 Suleski Thomas J Method and apparatus for fabricating shaped structures and shaped structures including one- , two- or three-dimensional patterns incorporated therein
US7132370B2 (en) 2003-08-01 2006-11-07 Interuniversitair Microelektronica Centrum (Imec) Method for selective removal of high-k material
US20060249784A1 (en) 2005-05-06 2006-11-09 International Business Machines Corporation Field effect transistor device including an array of channel elements and methods for forming
US7135523B2 (en) 2003-03-14 2006-11-14 Industrial Technology Research Institute Nanoscale helical microstructures and channels from chiral poly(L-lactide) block containing block copolymers
US7135241B2 (en) 2002-05-24 2006-11-14 Board Of Regents, The University Of Texas System Light-emitting block copolymers composition, process and use
US20060258159A1 (en) 2005-05-16 2006-11-16 International Business Machines Corporation Process for preparing electronics structures using a sacrificial multilayer hardmask scheme
US20060254440A1 (en) 2005-04-13 2006-11-16 Korea Institute Of Machinery & Materials Method and apparatus for manufacturing electronic device using roll-to-roll rotary pressing process
US20060255505A1 (en) 2005-05-11 2006-11-16 Micron Technology, Inc. Imprint templates for imprint lithography, and methods of patterning a plurality of substrates
US20060257633A1 (en) 2005-04-27 2006-11-16 Hitachi Maxell, Ltd. Method for modifying surface of polymer substrate, method for forming plated film on polymer substrate, method for producing polymer member, and coating member
KR20060128378A (en) 2005-06-10 2006-12-14 주식회사 하이닉스반도체 Method of manufacturing phase change ram device
US20060278158A1 (en) 2005-06-08 2006-12-14 Tolbert Sarah H Ordered vertically oriented porous inorganic films produced through solution processing
US20060281266A1 (en) 2005-06-09 2006-12-14 Wells David H Method and apparatus for adjusting feature size and position
US20060286305A1 (en) 2003-05-20 2006-12-21 Thies Jens Christoph J Hydrophobic coatings comprising reactive nano-particles
US20060286490A1 (en) 2005-06-17 2006-12-21 Sandhu Gurtej S Methods of making templates for use in imprint lithography and related structures
US20060292777A1 (en) 2005-06-27 2006-12-28 3M Innovative Properties Company Method for making electronic devices using metal oxide nanoparticles
US7163712B2 (en) 2000-03-03 2007-01-16 Duke University Microstamping activated polymer surfaces
US7166304B2 (en) 1998-01-07 2007-01-23 Debio Recherche Pharmaceutique S.A. Degradable heterobifunctional poly(ethylene glycol) acrylates
US20070020749A1 (en) 2005-03-23 2007-01-25 Wisconsin Alumni Research Foundation Materials and methods for creating imaging layers
US20070023247A1 (en) 2005-07-27 2007-02-01 Ulicny John C Magnetorheological fluid device
US20070023805A1 (en) 2005-07-26 2007-02-01 Wells David H Reverse construction memory cell
US20070045642A1 (en) 2005-08-25 2007-03-01 Micron Technology, Inc. Solid-state imager and formation method using anti-reflective film for optical crosstalk reduction
WO2007024241A2 (en) 2004-09-10 2007-03-01 Ut-Battelle Llc Highly ordered porous carbon materials having well defined nanostructures and method of synthesis
US20070045562A1 (en) 2005-08-29 2007-03-01 Micron Technology, Inc. Systems and methods for controlling ambient pressure during processing of microfeature workpieces, including during immersion lithography
WO2007024323A2 (en) 2005-06-17 2007-03-01 The University Of North Carolina At Chapel Hill Nanoparticle fabrication methods, systems, and materials
US7186613B2 (en) 2002-05-30 2007-03-06 Air Products And Chemicals, Inc. Low dielectric materials and methods for making same
US7189430B2 (en) 2002-02-11 2007-03-13 Rensselaer Polytechnic Institute Directed assembly of highly-organized carbon nanotube architectures
US20070072403A1 (en) 2005-09-27 2007-03-29 Oki Electric Industry Co., Ltd. Semiconductor device and method for fabricating the same
US20070071881A1 (en) 2003-08-11 2007-03-29 Lay-Lay Chua Method of making a polymer device
US7202308B2 (en) 2000-12-21 2007-04-10 Atofina Hydrogenation method for unsaturated block copolymers and hydrogenated unsaturated block copolymers
US7208836B2 (en) 1996-02-06 2007-04-24 Micron Technology, Inc. Integrated circuitry and a semiconductor processing method of forming a series of conductive lines
WO2007019439A3 (en) 2005-08-04 2007-05-03 Angiotech Int Ag Block copolymer compositions and uses thereof
WO2007055041A1 (en) 2005-11-10 2007-05-18 National University Corporation Kyoto Institute Of Technology Membrane of block copolymer with oriented cylinder structure and process for producing the same
US20070122749A1 (en) 2005-11-30 2007-05-31 Fu Peng F Method of nanopatterning, a resist film for use therein, and an article including the resist film
US20070122932A1 (en) 2001-10-05 2007-05-31 Cabot Corporation Methods and compositions for the formation of recessed electrical features on a substrate
US20070138131A1 (en) 2003-11-05 2007-06-21 Dirk Burdinski Method of forming a patterned layer on a substrate
US20070175859A1 (en) 2006-02-02 2007-08-02 International Business Machines Corporation Methods for forming improved self-assembled patterns of block copolymers
JP2007194175A (en) 2006-01-23 2007-08-02 Seiko Epson Corp Ink for conductor pattern, conductor pattern, wiring board, electro-optical device and electronic equipment
US7252791B2 (en) 2001-09-17 2007-08-07 Peter Wasserscheid Ionic liquids
US20070181870A1 (en) 2006-01-18 2007-08-09 Consiglio Nazionale Delle Ricerche Nanometric Device for the Measurement of the Conductivity and Quantum Effects of Individual Molecules and Methods for the Manufacture and Use Thereof
US20070183035A1 (en) 2005-10-31 2007-08-09 Koji Asakawa Short-wavelength polarizing elements and the manufacture and use thereof
US7259101B2 (en) 2003-01-08 2007-08-21 Kovio, Inc. Nanoparticles and method for making the same
US20070194403A1 (en) 2006-02-23 2007-08-23 International Business Machines Corporation Methods for fabricating semiconductor device structures with reduced susceptibility to latch-up and semiconductor device structures formed by the methods
US20070208159A1 (en) 2006-03-02 2007-09-06 General Electric Company Poly(arylene ether) block copolymer compositions, methods, and articles
US20070224819A1 (en) 2006-03-23 2007-09-27 Micron Technology, Inc. Topography directed patterning
US20070222995A1 (en) 2006-03-27 2007-09-27 Jennifer Lu Artifact having a textured metal surface with nanometer-scale features and method for fabricating same
US7279396B2 (en) 2004-04-01 2007-10-09 Micron Technology, Inc. Methods of forming trench isolation regions with nitride liner
US7282240B1 (en) 1998-04-21 2007-10-16 President And Fellows Of Harvard College Elastomeric mask and use in fabrication of devices
US20070249117A1 (en) 2006-04-19 2007-10-25 Kang Kyong-Rim Polymer resin composition, related method for forming a pattern, and related method for fabricating a capacitor
KR100771886B1 (en) 2006-09-27 2007-11-01 삼성전자주식회사 Method of forming fine contact hole and method of fabricating semiconductor device using block copolymer
TW200740602A (en) 2006-01-20 2007-11-01 Plextronics Inc Electrostatic coatings and articles comprising polythiophenes
US20070281220A1 (en) 2006-06-02 2007-12-06 Sandhu Gurtej S Topography based patterning
US20070289943A1 (en) 2006-06-14 2007-12-20 Jennifer Lu Block copolymer mask for defining nanometer-scale structures
US20070293041A1 (en) 2006-06-19 2007-12-20 International Business Machines Corporation Sub-lithographic feature patterning using self-aligned self-assembly polymers
US7326514B2 (en) 2003-03-12 2008-02-05 Cornell Research Foundation, Inc. Organoelement resists for EUV lithography and methods of making the same
US20080038923A1 (en) 2004-01-30 2008-02-14 International Business Machines Corporation Device and methodology for reducing effective dielectric constant in semiconductor devices
US20080038467A1 (en) 2006-08-11 2008-02-14 Eastman Kodak Company Nanostructured pattern method of manufacture
JP2008036491A (en) 2006-08-03 2008-02-21 Nippon Telegr & Teleph Corp <Ntt> Pattern formation method and mold
US20080041818A1 (en) 2006-08-15 2008-02-21 Kabushiki Kaisha Toshiba Method for pattern formation
US20080047930A1 (en) 2006-08-23 2008-02-28 Graciela Beatriz Blanchet Method to form a pattern of functional material on a substrate
US20080064217A1 (en) 2006-09-11 2008-03-13 Samsung Electronics Company, Ltd. Methods of Forming Semiconductor Devices Using Di-Block Polymer Layers
US20080073743A1 (en) 2006-02-17 2008-03-27 Lockheed Martin Corporation Templated growth of semiconductor nanostructures, related devices and methods
US20080078982A1 (en) 2006-09-29 2008-04-03 Min Kyu S Current focusing memory architecture for use in electrical probe-based memory storage
US20080078999A1 (en) 2006-10-02 2008-04-03 Han-Chung Lai Multi-domain vertical alignment pixel structure and fabrication method thereof
US20080083991A1 (en) 2006-10-04 2008-04-10 International Business Machines Corporation Sub-lithographic local interconnects, and methods for forming same
US20080093743A1 (en) 2006-10-19 2008-04-24 International Business Machines Corporation Sub-lithographic nano interconnect structures, and method for forming same
US20080103256A1 (en) 2006-10-30 2008-05-01 Kim Ho-Cheol Self-assembled lamellar microdomains and method of alignment
US7368314B2 (en) 2005-02-04 2008-05-06 Infineon Technologies Ag Method for fabricating a resistive memory
WO2008055137A2 (en) 2006-10-31 2008-05-08 International Business Machines Corporation Si-containing polymers for nano-pattern device fabrication
US20080164558A1 (en) 2007-01-09 2008-07-10 International Business Machines Corporation Method for fabricating shallow trench isolation structures using diblock copolymer patterning
US20080176767A1 (en) 2007-01-24 2008-07-24 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
US20080174726A1 (en) 2007-01-22 2008-07-24 Samsung Electronics Co., Ltd. Liquid Crystal Display
WO2008097736A2 (en) 2007-02-08 2008-08-14 Micron Technology, Inc. Methods using block copolymer self-assembly for sub-lithographic patterning
WO2008096335A2 (en) 2007-02-07 2008-08-14 Yeda Research And Development Co. Ltd. Producing an array of nanoscale structures on a substrate surface via a self-assembled template
US20080217292A1 (en) 2007-03-06 2008-09-11 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US20080233323A1 (en) 2007-03-23 2008-09-25 Joy Cheng Orienting, positioning, and forming nanoscale structures
US20080233297A1 (en) 2007-03-23 2008-09-25 Xerox Corporation Methods of forming a photoreceptor device having a self-assembled patterned binder layer
US20080241218A1 (en) 2007-03-01 2008-10-02 Mcmorrow David Coated medical devices for abluminal drug delivery
WO2008118635A2 (en) 2007-03-22 2008-10-02 Micron Technology, Inc. Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphipilic monolayers
US20080257187A1 (en) 2007-04-18 2008-10-23 Micron Technology, Inc. Methods of forming a stamp, methods of patterning a substrate, and a stamp and a patterning system for same
WO2008130847A1 (en) 2007-04-20 2008-10-30 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a 'bootstrap' self-templating method
WO2008145268A1 (en) 2007-05-26 2008-12-04 Forschungszentrum Karlsruhe Gmbh Die for micro-contact printing and method for the production thereof
US20080311347A1 (en) 2007-06-12 2008-12-18 Millward Dan B Alternating Self-Assembling Morphologies of Diblock Copolymers Controlled by Variations in Surfaces
US20080318005A1 (en) 2007-06-19 2008-12-25 Millward Dan B Crosslinkable Graft Polymer Non-Preferentially Wetted by Polystyrene and Polyethylene Oxide
US20080315270A1 (en) 2007-06-21 2008-12-25 Micron Technology, Inc. Multilayer antireflection coatings, structures and devices including the same and methods of making the same
US20090062470A1 (en) 2007-08-31 2009-03-05 Micron Technology, Inc. Zwitterionic block copolymers and methods
US20090087664A1 (en) 2005-10-14 2009-04-02 Wisconsin Alumni Research Foundation Directed assembly of triblock copolymers
US7521090B1 (en) 2008-01-12 2009-04-21 International Business Machines Corporation Method of use of epoxy-containing cycloaliphatic acrylic polymers as orientation control layers for block copolymer thin films
US20090196488A1 (en) 2007-12-07 2009-08-06 Wisconsin Alumni Research Foundation Density multiplication and improved lithography by directed block copolymer assembly
WO2009099924A2 (en) 2008-02-05 2009-08-13 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
US20090200646A1 (en) 2008-02-13 2009-08-13 Millward Dan B One-Dimensional Arrays of Block Copolymer Cylinders and Applications Thereof
US20090206489A1 (en) 2008-02-20 2009-08-20 International Business Machines Corporation Dual damascene metal interconnect structure having a self-aligned via
US20090212016A1 (en) 2008-02-22 2009-08-27 International Business Machines Corporation Aligning polymer films
US20090218567A1 (en) 2008-02-29 2009-09-03 Varughese Mathew Conductive bridge random access memory device and method of making the same
US7585741B2 (en) 2004-07-19 2009-09-08 Micron Technology, Inc. Methods of forming capacitors
US20090236309A1 (en) 2008-03-21 2009-09-24 Millward Dan B Thermal Anneal of Block Copolymer Films with Top Interface Constrained to Wet Both Blocks with Equal Preference
WO2009117238A2 (en) 2008-03-21 2009-09-24 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
US20090263628A1 (en) 2008-04-21 2009-10-22 Millward Dan B Multi-Layer Method for Formation of Registered Arrays of Cylindrical Pores in Polymer Films
US20090267058A1 (en) 2006-05-22 2009-10-29 Ebinazar Benjamin Namdas Solution-processed inorganic films for organic thin film transistors
WO2009134635A2 (en) 2008-05-02 2009-11-05 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
US20090317540A1 (en) 2008-06-18 2009-12-24 Gurtej Sandhu Methods Of Forming A Non-Volatile Resistive Oxide Memory Array
US7655383B2 (en) 2005-09-05 2010-02-02 DWI an der RWTH Photochemical method for manufacturing nanometrically surface-decorated substrates
US7658773B2 (en) 2006-09-29 2010-02-09 Qimonda Ag Method for fabricating a solid electrolyte memory device and solid electrolyte memory device
US20100092873A1 (en) 2008-10-09 2010-04-15 Scott Sills Methods Of Utilizing Block Copolymer To Form Patterns
US20100102415A1 (en) 2008-10-28 2010-04-29 Micron Technology, Inc. Methods for selective permeation of self-assembled block copolymers with metal oxides, methods for forming metal oxide structures, and semiconductor structures including same
US20100124826A1 (en) 2008-11-17 2010-05-20 Dan Millward Methods Of Utilizing Block Copolymer To Form Patterns
US20100137496A1 (en) 2008-12-02 2010-06-03 Dan Millward Block Copolymer-Comprising Compositions and Methods of Purifying PS-b-PXVP
EP1593164B1 (en) 2003-02-12 2010-06-30 Nantero, Inc. Devices having vertically-disposed nanofabric articles and methods of making the same
US7767099B2 (en) 2007-01-26 2010-08-03 International Business Machines Corporaiton Sub-lithographic interconnect patterning using self-assembling polymers
US20100323096A1 (en) 2009-06-22 2010-12-23 Scott Sills Methods Of Utlizing Block Copolymer To Form Patterns
US7888228B2 (en) 2007-04-05 2011-02-15 Adesto Technology Corporation Method of manufacturing an integrated circuit, an integrated circuit, and a memory module
US8039196B2 (en) 2007-08-09 2011-10-18 Samsung Electronics Co., Ltd. Method of forming fine patterns using a block copolymer
US8083958B2 (en) 2007-12-05 2011-12-27 International Business Machines Corporation Patterning method using a combination of photolithography and copolymer self-assemblying lithography techniques
US20120028471A1 (en) 2010-02-19 2012-02-02 Tokyo Electron Limited Method of manufacturing a semiconductor device
US8114306B2 (en) 2009-05-22 2012-02-14 International Business Machines Corporation Method of forming sub-lithographic features using directed self-assembly of polymers
US20120135159A1 (en) 2010-11-30 2012-05-31 Seagate Technology Llc System and method for imprint-guided block copolymer nano-patterning
US20120135146A1 (en) 2010-11-30 2012-05-31 Jsr Corporation Methods of forming topographical features using segregating polymer mixtures
US8206601B2 (en) 2009-12-18 2012-06-26 Hitachi Global Storage Technologies Netherlands B.V. Supporting membranes on nanometer-scale self-assembled films
US20120164389A1 (en) 2010-12-28 2012-06-28 Yang Xiaomin Imprint template fabrication and repair based on directed block copolymer assembly
US20120202017A1 (en) 2011-02-07 2012-08-09 Wisconsin Alumni Research Foundation Solvent annealing block copolymers on patterned substrates
US20120211871A1 (en) 2008-09-19 2012-08-23 Russell Thomas P Method of producing nanopatterned articles, and articles produced thereby
US8287749B2 (en) 2008-12-22 2012-10-16 Hitachi, Ltd. High-molecular thin film, pattern medium and manufacturing method thereof
US20130330688A1 (en) 2010-06-25 2013-12-12 Nanovis, Inc. Method for producing nanosurfaces with nano, micron, and/or submicron structures on a polymer
US20130330668A1 (en) 2012-06-08 2013-12-12 Az Electronic Materials (Luxembourg) S.A.R.L. Neutral layer polymer composition for directed self assembly and processes thereof
US20140127626A1 (en) 2010-10-07 2014-05-08 Riken Resist composition for negative development which is used for formation of guide pattern, guide pattern formation method, and method for forming pattern on layer containing block copolymer
US8808557B1 (en) 2013-02-19 2014-08-19 Kabushiki Kaisha Toshiba Pattern forming method
US20140272723A1 (en) 2013-03-14 2014-09-18 Tokyo Electron Limited Chemi-epitaxy in directed self-assembly applications using photo-decomposable agents
US20150021293A1 (en) 2011-11-16 2015-01-22 University College Cork-National University Of Ireland, Cork Method for providing a nanopattern of metal oxide nanostructures on a substrate

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5633117A (en) 1995-04-27 1997-05-27 Imation Corp. Providing imagewise variation in glossiness to a receptor
US7799416B1 (en) 1998-07-02 2010-09-21 Massachusetts Institute Of Technology Periodic porous and relief nanostructured articles
US20060014083A1 (en) 2004-03-01 2006-01-19 University Of Washington Methods and systems for fabricating electronic and/or microfluidic structures on elastomeric substrates
US8687274B2 (en) * 2005-10-31 2014-04-01 Kabushiki Kaisha Toshiba Short-wavelength polarizing elements and the manufacture and use thereof
US7568603B2 (en) 2006-01-31 2009-08-04 Ethicon Endo-Surgery, Inc. Motor-driven surgical cutting and fastening instrument with articulatable end effector
CN101529293B (en) 2006-10-31 2012-02-22 株式会社日本触媒 Flexible optical waveguide, method for producing the same, and epoxy resin composition for flexible optical waveguide
US7999020B2 (en) 2007-02-08 2011-08-16 Regents Of The University Of Minnesota Ion gels and electronic devices utilizing ion gels
US20090020924A1 (en) 2007-02-21 2009-01-22 Iowa State University Research Foundation, Inc. Drying-mediated self-assembly of ordered or hierarchically ordered micro- and sub-micro scale structures and their uses as multifunctional materials
KR20090076408A (en) 2008-01-08 2009-07-13 삼성에스디아이 주식회사 Biphase platinum catalyst and solar cell using the same
US8900963B2 (en) 2011-11-02 2014-12-02 Micron Technology, Inc. Methods of forming semiconductor device structures, and related structures
US9087699B2 (en) 2012-10-05 2015-07-21 Micron Technology, Inc. Methods of forming an array of openings in a substrate, and related methods of forming a semiconductor device structure
US9229328B2 (en) 2013-05-02 2016-01-05 Micron Technology, Inc. Methods of forming semiconductor device structures, and related semiconductor device structures
US9177795B2 (en) 2013-09-27 2015-11-03 Micron Technology, Inc. Methods of forming nanostructures including metal oxides

Patent Citations (443)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4623674A (en) 1985-06-21 1986-11-18 Union Carbide Corporation Polymer/polyols of substituted styrenes and polyurethanes made therefrom
US4877647A (en) 1986-04-17 1989-10-31 Kansas State University Research Foundation Method of coating substrates with solvated clusters of metal particles
US4797357A (en) 1986-05-30 1989-01-10 Eastman Kodak Company Light-stable reducible compounds and analytical compositions, elements and methods utilizing same
US4818713A (en) 1987-10-20 1989-04-04 American Telephone And Telegraph Company, At&T Bell Laboratories Techniques useful in fabricating semiconductor devices having submicron features
WO1990007575A1 (en) 1988-12-30 1990-07-12 Anderson David M Stabilized microporous materials and hydrogel materials
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5891356A (en) 1990-08-30 1999-04-06 Asahi Kasei Kogyo Kabushiki Kaisha Homogeneous electrorheological fluid
US5622668A (en) 1992-02-07 1997-04-22 The United States Of America As Represented By The Secretary Of The Air Force Method for preparing oriented polymer structures and said structures
US5374367A (en) 1992-08-07 1994-12-20 Fujikura Kasei Co., Ltd. Electro-sensitive composition
US5382373A (en) 1992-10-30 1995-01-17 Lord Corporation Magnetorheological materials based on alloy particles
US5580700A (en) 1992-11-25 1996-12-03 Hoechst Celanese Corporation Metal ion reduction in bottom anti-reflective coatings for use in semiconductor device formation
US5482656A (en) 1993-03-04 1996-01-09 Kabushiki Kaisha Toshiba Non-linear optical devices employing a polysilane composition and a polysilane composition therefor
US5849810A (en) 1993-08-06 1998-12-15 Ciba Vision Corporation Photocrosslinked polymers
US5512131A (en) 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US20040159633A1 (en) 1993-10-04 2004-08-19 President & Fellows Of Harvard University Methods of etching articles via micro contact printing
US5538655A (en) 1994-06-29 1996-07-23 Arthur D. Little, Inc. Molecular complexes for use as electrolyte components
US6207787B1 (en) 1994-07-27 2001-03-27 International Business Machines Corporation Antireflective coating for microlithography
US5879582A (en) 1994-08-19 1999-03-09 The Lubrizol Corporation Electrorheological fluids of polar solids and organic semiconductors
US5620850A (en) 1994-09-26 1997-04-15 President And Fellows Of Harvard College Molecular recognition at surfaces derivatized with self-assembled monolayers
US5834583A (en) 1995-07-27 1998-11-10 Circe Biomedical, Inc. Block copolymers
EP0784543B1 (en) 1995-08-04 2000-04-26 International Business Machines Corporation Lithographic surface or thin layer modification
US5925259A (en) 1995-08-04 1999-07-20 International Business Machines Corporation Lithographic surface or thin layer modification
WO1997006013A1 (en) 1995-08-04 1997-02-20 International Business Machines Corporation Lithographic surface or thin layer modification
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US6309580B1 (en) 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US5879853A (en) 1996-01-18 1999-03-09 Kabushiki Kaisha Toshiba Top antireflective coating material and its process for DUV and VUV lithography systems
US7208836B2 (en) 1996-02-06 2007-04-24 Micron Technology, Inc. Integrated circuitry and a semiconductor processing method of forming a series of conductive lines
US20010024768A1 (en) 1996-02-26 2001-09-27 Matsushita Electric Industrial Co., Ltd. Pattern forming material and pattern forming method
US20030143375A1 (en) 1996-05-22 2003-07-31 Takashi Noguchi Silicon thin film, group of silicon single crystal grains and formation process thereof, and semiconductor device, flash memory cell and fabrication process thereof
US6548830B1 (en) 1996-05-22 2003-04-15 Sony Corporation Semiconductor device formed of single crystal grains in a grid pattern
US6051869A (en) 1996-06-26 2000-04-18 Intel Corporation Silicon-rich block copolymers to achieve unbalanced vias
US6797202B2 (en) 1996-09-06 2004-09-28 Bridgestone Corporaton Particles for electro-rheological fluid
US5904824A (en) 1997-03-07 1999-05-18 Beckman Instruments, Inc. Microfluidic electrophoresis device
WO1998039645A1 (en) 1997-03-07 1998-09-11 Beckman Coulter, Inc. A novel capillary
US5958704A (en) 1997-03-12 1999-09-28 Ddx, Inc. Sensing system for specific substance and molecule detection
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US6143647A (en) 1997-07-24 2000-11-07 Intel Corporation Silicon-rich block copolymers to achieve unbalanced vias
US7135144B2 (en) 1997-08-13 2006-11-14 Cepheid Method for the manipulation of a fluid sample
US6368871B1 (en) 1997-08-13 2002-04-09 Cepheid Non-planar microstructures for manipulation of fluid samples
JPH1180414A (en) 1997-08-29 1999-03-26 Res Dev Corp Of Japan Double-structure continuous porous body and its production
US6884842B2 (en) 1997-10-14 2005-04-26 Alnis Biosciences, Inc. Molecular compounds having complementary surfaces to targets
US6592764B1 (en) 1997-12-09 2003-07-15 The Regents Of The University Of California Block copolymer processing for mesostructured inorganic oxide materials
US6111323A (en) 1997-12-30 2000-08-29 International Business Machines Corporation Reworkable thermoplastic encapsulant
US7166304B2 (en) 1998-01-07 2007-01-23 Debio Recherche Pharmaceutique S.A. Degradable heterobifunctional poly(ethylene glycol) acrylates
US6153495A (en) 1998-03-09 2000-11-28 Intersil Corporation Advanced methods for making semiconductor devices by low temperature direct bonding
WO1999047570A1 (en) 1998-03-18 1999-09-23 University Of Rochester Macromolecular self-assembly of microstructures, nanostructures, objects and mesoporous solids
US7282240B1 (en) 1998-04-21 2007-10-16 President And Fellows Of Harvard College Elastomeric mask and use in fabrication of devices
US6310138B1 (en) 1998-06-05 2001-10-30 Asahi Kasei Kabushiki Kaisha Hydrogenated block copolymer and polypropylene resin composition containing the same
US20020167117A1 (en) 1998-06-30 2002-11-14 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US20030034329A1 (en) 1998-06-30 2003-02-20 Chou Stephen Y. Lithographic method for molding pattern with nanoscale depth
US20060035387A1 (en) 1998-07-14 2006-02-16 Zyomyx, Inc. Non-specific binding resistant protein arrays and methods for making the same
US6682660B2 (en) 1998-09-04 2004-01-27 Mds Proteomics, Inc. Ultrasonically generated paramagnetic polymer particles
US6713238B1 (en) 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
WO2000031183A1 (en) 1998-11-24 2000-06-02 The Dow Chemical Company A composition containing a cross-linkable matrix precursor and a poragen, and a porous matrix prepared therefrom
TWI253456B (en) 1998-11-24 2006-04-21 Dow Global Technologies Inc A composition containing a cross-linkable matrix precursor and a poragen, and a porous matrix prepared therefrom
US6630520B1 (en) 1998-11-24 2003-10-07 Dow Global Technologies Inc. Composition containing a cross-linkable matrix precursor and a poragen, and a porous matrix prepared therefrom
US6403382B1 (en) 1998-12-08 2002-06-11 Regents Of The University Of Minnesota Attachment chemistry for organic molecules to silicon
US6780492B2 (en) 1999-03-02 2004-08-24 International Business Machines Corporation Substrates prepared by chemical amplification of self-assembled monolayers with spatially localized polymer brushes
US6270946B1 (en) 1999-03-18 2001-08-07 Luna Innovations, Inc. Non-lithographic process for producing nanoscale features on a substrate
US20060231525A1 (en) 1999-06-07 2006-10-19 Koji Asakawa Method for manufacturing porous structure and method for forming pattern
JP2005029779A (en) 1999-06-07 2005-02-03 Toshiba Corp Pattern-forming material, pattern-forming method, method for producing porous structure, electrochemical cell, method for producing porous carbon structure, and the porous carbon structure
JP2005008882A (en) 1999-06-07 2005-01-13 Toshiba Corp Method for producing porous structure, porous structure forming material, method for forming pattern, pattern forming material, electrochemical cell, and hollow fiber filter
US20030222048A1 (en) 1999-06-07 2003-12-04 Kabushiki Kaisha Toshiba Method for manufacturing porous structure and method for forming pattern
US7090784B2 (en) 1999-06-07 2006-08-15 Kabushiki Kaisha Toshiba Method for manufacturing porous structure and method for forming pattern
US6565763B1 (en) 1999-06-07 2003-05-20 Kabushiki Kaisha Toshiba Method for manufacturing porous structure and method for forming pattern
US6781166B2 (en) 1999-07-02 2004-08-24 President & Fellows Of Harvard College Nanoscopic wire-based devices and arrays
US20070272951A1 (en) 1999-07-02 2007-11-29 President And Fellows Of Harvard College Nanoscopic wire-based devices and arrays
US20070161237A1 (en) 1999-07-02 2007-07-12 President And Fellows Of Harvard College Nanoscopic wired-based devices and arrays
US7172953B2 (en) 1999-07-02 2007-02-06 President And Fellows Of Harvard College Methods of forming nanoscopic wire-based devices and arrays
US6251791B1 (en) 1999-07-20 2001-06-26 United Microelectronics Corp. Eliminating etching microloading effect by in situ deposition and etching
US6312971B1 (en) 1999-08-31 2001-11-06 E Ink Corporation Solvent annealing process for forming a thin semiconductor film with advantageous properties
US6679996B1 (en) 1999-10-05 2004-01-20 Hoya Corporation Metal oxide pattern forming method
US6998152B2 (en) 1999-12-20 2006-02-14 Micron Technology, Inc. Chemical vapor deposition methods utilizing ionic liquids
US6517933B1 (en) 2000-01-18 2003-02-11 Nano-Tex, Llc Hybrid polymer materials
US6423465B1 (en) 2000-01-28 2002-07-23 International Business Machines Corporation Process for preparing a patterned continuous polymeric brush on a substrate surface
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US20010049195A1 (en) 2000-02-25 2001-12-06 Chartered Semiconductor Manufacturing Ltd. Non-metallic barrier formations for copper damascene type interconnects
US7163712B2 (en) 2000-03-03 2007-01-16 Duke University Microstamping activated polymer surfaces
US6423474B1 (en) 2000-03-21 2002-07-23 Micron Technology, Inc. Use of DARC and BARC in flash memory processing
US20020055239A1 (en) 2000-03-22 2002-05-09 Mark Tuominen Nanocylinder arrays
US7190049B2 (en) 2000-03-22 2007-03-13 University Of Massachusetts Nanocylinder arrays
US6887332B1 (en) 2000-04-21 2005-05-03 International Business Machines Corporation Patterning solution deposited thin films with self-assembled monolayers
US20040163758A1 (en) 2000-04-21 2004-08-26 International Business Machines Corporation Patterning solution deposited thin films with self-assembled monolayers
US6890624B1 (en) 2000-04-25 2005-05-10 Nanogram Corporation Self-assembled structures
US20050271805A1 (en) 2000-04-25 2005-12-08 Nanogram Corporation Self-assembled structures
US7291284B2 (en) 2000-05-26 2007-11-06 Northwestern University Fabrication of sub-50 nm solid-state nanostructures based on nanolithography
US20060014001A1 (en) 2000-05-26 2006-01-19 Hua Zhang Fabrication of sub-50 nm solid-state nanostructures based on nanolithography
US6503841B1 (en) 2000-07-07 2003-01-07 Agere Systems Inc. Oxide etch
US6414164B1 (en) 2000-07-12 2002-07-02 International Business Machines Corporation Synthesis of soluble derivatives of sexithiophene and their use as the semiconducting channels in thin-film filed-effect transistors
US6825358B2 (en) 2000-07-12 2004-11-30 International Business Machines Corporation Synthesis of soluble derivatives of sexithiophene and their use as the semiconducting channels in thin-film field-effect transistors
WO2002018080A1 (en) 2000-08-03 2002-03-07 Upepo & Maji Inc. Metal colloidal solution composition and conductor or ink for forming semiconductor pattern comprising it and method for forming conductor or semiconductor pattern
US6565764B2 (en) 2000-08-11 2003-05-20 Kabushiki Kaisha Toshiba Method of manufacturing a material having a fine structure
US6635912B2 (en) 2000-09-07 2003-10-21 Nec Electronics Corporation CMOS image sensor and manufacturing method thereof
US20020084429A1 (en) 2000-10-17 2002-07-04 Craighead Harold G. Electron-beam patterning of functionalized self-assembled monolayers
US6952436B2 (en) 2000-11-14 2005-10-04 Regents Of The University Of California Inorganic/block copolymer-dye composites and dye doped mesoporous materials for optical and sensing applications
US6506660B2 (en) 2000-11-15 2003-01-14 International Business Machines Corporation Semiconductor with nanoscale features
US20040028875A1 (en) 2000-12-02 2004-02-12 Van Rijn Cornelis Johannes Maria Method of making a product with a micro or nano sized structure and product
US7115995B2 (en) 2000-12-20 2006-10-03 Intel Corporation Structural reinforcement of highly porous low k dielectric films by Cu diffusion barrier structures
US7202308B2 (en) 2000-12-21 2007-04-10 Atofina Hydrogenation method for unsaturated block copolymers and hydrogenated unsaturated block copolymers
US6518194B2 (en) 2000-12-28 2003-02-11 Thomas Andrew Winningham Intermediate transfer layers for nanoscale pattern transfer and nanostructure formation
US20030108879A1 (en) 2001-01-10 2003-06-12 Symyx Technologies, Inc. Polymer brushes for immobilizing molecules to a surface or substrate having improved stability
US6566248B1 (en) 2001-01-11 2003-05-20 Advanced Micro Devices, Inc. Graphoepitaxial conductor cores in integrated circuit interconnects
US6913697B2 (en) 2001-02-14 2005-07-05 Science & Technology Corporation @ Unm Nanostructured separation and analysis devices for biological membranes
US20070200477A1 (en) 2001-03-14 2007-08-30 University Of Massachusetts Nanofabrication
US20020158342A1 (en) 2001-03-14 2002-10-31 Mark Tuominen Nanofabrication
US7189435B2 (en) 2001-03-14 2007-03-13 University Of Massachusetts Nanofabrication
US6537920B1 (en) 2001-03-16 2003-03-25 Advanced Micro Devices, Inc. Formation of vertical transistors using block copolymer lithography
US20030010241A1 (en) 2001-03-28 2003-01-16 Masamichi Fujihira Patterning method with micro- contact printing and its printed product
US6924341B2 (en) 2001-03-30 2005-08-02 The Uab Research Foundation Polymer formation in room temperature ionic liquids
US20030185741A1 (en) 2001-04-06 2003-10-02 Krzysztof Matyjaszewski Process for the preparation of nanostructured materials
US7056455B2 (en) 2001-04-06 2006-06-06 Carnegie Mellon University Process for the preparation of nanostructured materials
WO2002081372A2 (en) 2001-04-06 2002-10-17 Carnegie Mellon University A process for the preparation of nanostructured materials
US20050120902A1 (en) 2001-04-25 2005-06-09 David Adams Edge transfer lithography
US20020158432A1 (en) 2001-04-30 2002-10-31 Wain Amir Waheed Infocart
US6809210B2 (en) 2001-06-12 2004-10-26 Lucent Technologies Inc. Method of solvating a metal in an aromatic organic liquid
US7332627B2 (en) 2001-06-12 2008-02-19 Lucent Technologies Inc. Compositions comprising a solvated metal
US20040242688A1 (en) 2001-06-12 2004-12-02 Lucent Technologies, Inc. Compositions comprising a solvated metal
US6569528B2 (en) 2001-06-23 2003-05-27 Pacific Corporation Amphiphilic biodegradable block copolymers and self-assembled polymer aggregates formed from the same in aqueous milieu
US20040256615A1 (en) 2001-07-09 2004-12-23 Henning Sirringhaus Lamellar polymer architecture
US6689473B2 (en) 2001-07-17 2004-02-10 Surmodics, Inc. Self assembling monolayer compositions
US20030077452A1 (en) 2001-07-17 2003-04-24 Guire Patrick E. Self assembling monolayer compositions
US20030068639A1 (en) 2001-08-31 2003-04-10 Thomas Haneder Detecting biochemical reactions
US20030100822A1 (en) 2001-09-01 2003-05-29 Seok Lew Analyte measuring biosensor chip using image scanning system
US7252791B2 (en) 2001-09-17 2007-08-07 Peter Wasserscheid Ionic liquids
US6926953B2 (en) 2001-10-05 2005-08-09 Wisconsin Alumni Research Foundation Guided self-assembly of block copolymer films on interferometrically nanopatterned substrates
US20030091752A1 (en) 2001-10-05 2003-05-15 Nealey Paul F. Guided self-assembly of block copolymer films on interferometrically nanopatterned substrates
US20070122932A1 (en) 2001-10-05 2007-05-31 Cabot Corporation Methods and compositions for the formation of recessed electrical features on a substrate
US6746825B2 (en) 2001-10-05 2004-06-08 Wisconsin Alumni Research Foundation Guided self-assembly of block copolymer films on interferometrically nanopatterned substrates
US20040175628A1 (en) 2001-10-05 2004-09-09 Wisconsin Alumni Research Foundation Guided self-assembly of block copolymer films on interferometrically nanopatterned substrates
US20030080472A1 (en) 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method with bonded release layer for molding small patterns
US20030080471A1 (en) 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method for molding pattern with nanoscale features
US20040058059A1 (en) 2001-11-07 2004-03-25 Linford Mathew Richard Funtionalized patterned surfaces
US7419772B2 (en) 2001-11-21 2008-09-02 University Of Massachusetts Mesoporous materials and methods
US20030157248A1 (en) 2001-11-21 2003-08-21 Watkins James J. Mesoporous materials and methods
WO2003045840A2 (en) 2001-11-21 2003-06-05 University Of Massachusetts Mesoporous materials and methods
JP2003155365A (en) 2001-11-22 2003-05-27 Toshiba Corp Processing method and formed body
US7087267B2 (en) 2001-11-29 2006-08-08 International Business Machines Corporation Materials and methods for immobilization of catalysts on surfaces and for selective electroless metallization
US7037738B2 (en) 2002-01-18 2006-05-02 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor light-emitting element
US7700157B2 (en) 2002-02-01 2010-04-20 California Institute Of Technology Method of producing regular arrays of nano-scale objects using nano-structured block-copolymeric materials
US7115305B2 (en) 2002-02-01 2006-10-03 California Institute Of Technology Method of producing regular arrays of nano-scale objects using nano-structured block-copolymeric materials
US7408186B2 (en) 2002-02-06 2008-08-05 Ut-Battelle Llc Controlled alignment catalytically grown nanostructures
US20050167651A1 (en) 2002-02-06 2005-08-04 Merkulov Vladimir I. Controlled alignment catalytically grown nanostructures
US20070218202A1 (en) 2002-02-11 2007-09-20 Rensselaer Polytechnic Institute Directed assembly of highly-organized carbon nanotube architectures
US7189430B2 (en) 2002-02-11 2007-03-13 Rensselaer Polytechnic Institute Directed assembly of highly-organized carbon nanotube architectures
US7060774B2 (en) 2002-02-28 2006-06-13 Merck Patent Gesellschaft Prepolymer material, polymer material, imprinting process and their use
US6992115B2 (en) 2002-03-06 2006-01-31 International Business Machines Corporation Preparation of crosslinked particles from polymers having activatible crosslinking groups
US6890703B2 (en) 2002-03-06 2005-05-10 International Business Machines Corporation Preparation of crosslinked particles from polymers having activatible crosslinking groups
US6946332B2 (en) 2002-03-15 2005-09-20 Lucent Technologies Inc. Forming nanoscale patterned thin film metal layers
US20030180966A1 (en) 2002-03-20 2003-09-25 Wisconsin Alumni Research Foundation Optical imaging of nanostructured substrates
US20030178707A1 (en) 2002-03-21 2003-09-25 Abbott Donald C. Preplated stamped small outline no-lead leadframes having etched profiles
US20050147841A1 (en) 2002-03-22 2005-07-07 Avto Tavkhelidze Influence of surface geometry on metal properties
US20030180522A1 (en) 2002-03-22 2003-09-25 Desimone Joseph M. Methods of forming polymeric structures using carbon dioxide and polymeric structures formed thereby
US20060249796A1 (en) 2002-03-22 2006-11-09 Avto Tavkhelidze Influence of surface geometry on metal properties
TW200400990A (en) 2002-03-22 2004-01-16 Univ North Carolina Methods of forming polymeric structures using carbon dioxide and polymeric structures formed thereby
US20030218644A1 (en) 2002-03-25 2003-11-27 Takamitsu Higuchi Board for electronic device, electronic device, ferroelectric memory, electronic apparatus, ink-jet recording head, and ink-jet printer
US20040142578A1 (en) 2002-03-28 2004-07-22 Ulrich Wiesner Thin film nanostructures
US20040109263A1 (en) 2002-03-29 2004-06-10 Shoichi Suda Manufacturing process of a magnetic head, magnetic head, pattern formation method
US6962823B2 (en) 2002-04-02 2005-11-08 Nanosys, Inc. Methods of making, positioning and orienting nanostructures, nanostructure arrays and nanostructure devices
US7151209B2 (en) 2002-04-02 2006-12-19 Nanosys, Inc. Methods of making, positioning and orienting nanostructures, nanostructure arrays and nanostructure devices
US20030196748A1 (en) 2002-04-22 2003-10-23 International Business Machines Corporation Process of fabricating a precision microcontact printing stamp
US6656308B2 (en) 2002-04-22 2003-12-02 International Business Machines Corporation Process of fabricating a precision microcontact printing stamp
US7135241B2 (en) 2002-05-24 2006-11-14 Board Of Regents, The University Of Texas System Light-emitting block copolymers composition, process and use
US7186613B2 (en) 2002-05-30 2007-03-06 Air Products And Chemicals, Inc. Low dielectric materials and methods for making same
US6995439B1 (en) 2002-06-12 2006-02-07 Novellus Systems, Inc. Method of fabricating low dielectric constant dielectric films
US20030235930A1 (en) 2002-06-25 2003-12-25 Lucent Technologies Inc. Multi-impression nanofeature production
US7338275B2 (en) 2002-07-11 2008-03-04 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US6908861B2 (en) 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US20060062867A1 (en) 2002-07-11 2006-03-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US20040076757A1 (en) 2002-07-17 2004-04-22 Jacobson Joseph M. Templated monolayer polymerization and replication
US7311943B2 (en) 2002-07-17 2007-12-25 Massachusetts Institute Of Technology Templated monolayer polymerization and replication
US20050008828A1 (en) 2002-07-25 2005-01-13 Trustees Of Stevens Institute Of Technology Patterned polymer microgel and method of forming same
US6767693B1 (en) 2002-07-30 2004-07-27 Advanced Micro Devices, Inc. Materials and methods for sub-lithographic patterning of contact, via, and trench structures in integrated circuit devices
US20040023287A1 (en) 2002-08-02 2004-02-05 Oliver Harnack Method of attaching hydrophilic species to hydrophilic macromolecules and immobilizing the hydrophilic macromolecules on a hydrophobic surface
US6957608B1 (en) 2002-08-02 2005-10-25 Kovio, Inc. Contact print methods
EP1416303A2 (en) 2002-10-30 2004-05-06 Hitachi, Ltd. Functioning substrate with a group of columnar micro pillars and its manufacturing method
US20040125266A1 (en) 2002-10-30 2004-07-01 Akihiro Miyauchi Functioning substrate with a group of columnar micro pillars and its manufacturing method
US20040084298A1 (en) 2002-10-31 2004-05-06 Y.D. Yao Fabrication of nanocomposite thin films for high density magnetic recording media
US6949456B2 (en) 2002-10-31 2005-09-27 Asm Japan K.K. Method for manufacturing semiconductor device having porous structure with air-gaps
TW584670B (en) 2002-10-31 2004-04-21 Academia Sinica Fabrication of nanocomposite thin films for high density magnetic recording media
US6911400B2 (en) 2002-11-05 2005-06-28 International Business Machines Corporation Nonlithographic method to produce self-aligned mask, articles produced by same and compositions for same
US20060217285A1 (en) 2002-11-07 2006-09-28 Mathias Destarac Controlled structure copolymer comprising an amphoteric or zwitterionic part
US6699797B1 (en) 2002-12-17 2004-03-02 Intel Corporation Method of fabrication of low dielectric constant porous metal silicate films
US20040127001A1 (en) 2002-12-27 2004-07-01 International Business Machines Corporation Robust ultra-low k interconnect structures using bridge-then-metallization fabrication sequence
US20040124092A1 (en) 2002-12-30 2004-07-01 Black Charles T. Inorganic nanoporous membranes and methods to form same
US7259101B2 (en) 2003-01-08 2007-08-21 Kovio, Inc. Nanoparticles and method for making the same
US6940485B2 (en) 2003-01-15 2005-09-06 Xerox Corporation Flexible micron-thin display device
EP1593164B1 (en) 2003-02-12 2010-06-30 Nantero, Inc. Devices having vertically-disposed nanofabric articles and methods of making the same
TW200511364A (en) 2003-02-12 2005-03-16 Nantero Inc Devices having horizontally-disposed nanofabric articles and methods of making the same
US7066801B2 (en) 2003-02-21 2006-06-27 Dow Global Technologies, Inc. Method of manufacturing a fixed abrasive material
US7001795B2 (en) 2003-02-27 2006-02-21 Micron Technology, Inc. Total internal reflection (TIR) CMOS imager
US20040222415A1 (en) 2003-03-11 2004-11-11 Industrial Technology Research Institute Organic device including semiconducting layer aligned according to microgrooves of photoresist layer
US7326514B2 (en) 2003-03-12 2008-02-05 Cornell Research Foundation, Inc. Organoelement resists for EUV lithography and methods of making the same
US7135523B2 (en) 2003-03-14 2006-11-14 Industrial Technology Research Institute Nanoscale helical microstructures and channels from chiral poly(L-lactide) block containing block copolymers
US6812132B2 (en) 2003-03-21 2004-11-02 Intel Corporation Filling small dimension vias using supercritical carbon dioxide
TW200419017A (en) 2003-03-31 2004-10-01 Boe Hydis Technology Co Ltd Method for fabricating single crystal silicon film
US20040192013A1 (en) 2003-03-31 2004-09-30 Ryu Myung Kwan Method for fabricating single crystal silicon film
US7135388B2 (en) 2003-03-31 2006-11-14 Boe Hydis Technology Co., Ltd. Method for fabricating single crystal silicon film
US7112617B2 (en) 2003-04-22 2006-09-26 International Business Machines Corporation Patterned substrate with hydrophilic/hydrophobic contrast, and method of use
JP2004335962A (en) 2003-05-12 2004-11-25 Seiko Epson Corp Method for forming thin film pattern, device, its manufacturing method, electro-optical device and electronic apparatus
US20040265548A1 (en) 2003-05-20 2004-12-30 Rong-Ming Ho Nanopatterned templates from oriented degradable diblock copolymer thin films
US7632544B2 (en) 2003-05-20 2009-12-15 Industrial Technology Research Institute Nanopatterned templates from oriented degradable diblock copolymer thin films
US20060124467A1 (en) 2003-05-20 2006-06-15 Industrial Technology Research Institute Metal nanodot arrays and fabrication methods thereof
US20060286305A1 (en) 2003-05-20 2006-12-21 Thies Jens Christoph J Hydrophobic coatings comprising reactive nano-particles
US6989426B2 (en) 2003-06-12 2006-01-24 The Hong Kong Polytechnic University Methods for producing di-block polymers
US20040254317A1 (en) 2003-06-12 2004-12-16 Jinlian Hu Methods for producing di-block polymers
US7009227B2 (en) 2003-06-16 2006-03-07 Micron Technology, Inc. Photodiode structure and image pixel structure
US20040256662A1 (en) 2003-06-20 2004-12-23 International Business Machines Corporation Nonvolatile memory device using semiconductor nanocrystals and method of forming same
CN1799131A (en) 2003-06-20 2006-07-05 国际商业机器公司 Nonvolatile memory device with a floating gate comprising semiconductor nanocrystals
US20060163646A1 (en) 2003-06-20 2006-07-27 International Business Machines Corporation Nonvolatile memory device using semiconductor nanocrystals and method of forming same
US7045851B2 (en) 2003-06-20 2006-05-16 International Business Machines Corporation Nonvolatile memory device using semiconductor nanocrystals and method of forming same
US7119321B2 (en) 2003-07-01 2006-10-10 Micron Technology, Inc. Optical channels for multi-level metal optical imagers
US20050238889A1 (en) 2003-07-10 2005-10-27 Nancy Iwamoto Layered components, materials, methods of production and uses thereof
US7132370B2 (en) 2003-08-01 2006-11-07 Interuniversitair Microelektronica Centrum (Imec) Method for selective removal of high-k material
US20070071881A1 (en) 2003-08-11 2007-03-29 Lay-Lay Chua Method of making a polymer device
US20050062165A1 (en) 2003-09-19 2005-03-24 International Business Machines Corporation Method of forming closed air gap interconnects and structures formed thereby
US20050079486A1 (en) 2003-09-23 2005-04-14 Wiscnsin Alumni Research Foundation - Using liquid crystals to detect affinity microcontact printed biomolecules
US20050074706A1 (en) 2003-10-06 2005-04-07 Robert Bristol Enhancing photoresist performance using electric fields
US20060046480A1 (en) 2003-10-16 2006-03-02 Ting Guo Nanostructures, nanogrooves, and nanowires
US7407887B2 (en) 2003-10-16 2008-08-05 The Regents Of The University Of California Nanostructures, nanogrooves, and nanowires
US20060141245A1 (en) 2003-10-17 2006-06-29 Francesco Stellacci Nanocontact printing
US20050100830A1 (en) 2003-10-27 2005-05-12 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
US20070138131A1 (en) 2003-11-05 2007-06-21 Dirk Burdinski Method of forming a patterned layer on a substrate
US20050124135A1 (en) 2003-11-25 2005-06-09 Farrokh Ayazi Methods of forming oxide masks with submicron openings and microstructures formed thereby
US20050133697A1 (en) 2003-12-23 2005-06-23 Potyrailo Radislav A. Sensor devices containing co-polymer substrates for analysis of chemical and biological species in water and air
US20060211871A1 (en) 2003-12-31 2006-09-21 Sheng Dai Synthesis of ionic liquids
US20060154466A1 (en) 2004-01-15 2006-07-13 Seung-Heon Lee Fabrication method for arranging ultra-fine particles
US7470954B2 (en) 2004-01-15 2008-12-30 The Regents Of The University Of California Fabrication method for arranging ultra-fine particles
US7056849B2 (en) 2004-01-16 2006-06-06 General Electric Company Nanoscale ordered composites of covalent ceramics for high-temperature structural applications via block-copolymer-assisted assembly and method of making
US20050159293A1 (en) 2004-01-16 2005-07-21 General Electric Company Nanoscale ordered composites of covalent ceramics for high-temperature structural applications via block-copolymer-assisted assembly and method of making
US20080038923A1 (en) 2004-01-30 2008-02-14 International Business Machines Corporation Device and methodology for reducing effective dielectric constant in semiconductor devices
US20050176256A1 (en) 2004-02-10 2005-08-11 Infineon Technologies Ag Method for expanding a trench in a semiconductor structure
US7037744B2 (en) 2004-03-19 2006-05-02 International Business Machines Corporation Method for fabricating a self-aligned nanocolumnar airbridge and structure produced thereby
US7030495B2 (en) 2004-03-19 2006-04-18 International Business Machines Corporation Method for fabricating a self-aligned nanocolumnar airbridge and structure produced thereby
US20050272341A1 (en) 2004-03-19 2005-12-08 International Business Machines Corp. Method for fabricating a self-aligned nanocolumnar airbridge and structure produced thereby
US20050208752A1 (en) 2004-03-19 2005-09-22 Colburn Matthew E Method for fabricating a self-aligned nanocolumnar airbridge and structure produced thereby
CN1562730A (en) 2004-03-24 2005-01-12 哈尔滨工业大学 Method for adjusting and controlling configuration of self-assembling block copolymer template oriented to nano micro machining
US7279396B2 (en) 2004-04-01 2007-10-09 Micron Technology, Inc. Methods of forming trench isolation regions with nitride liner
US20060013956A1 (en) 2004-04-20 2006-01-19 Angelescu Dan E Method and apparatus for providing shear-induced alignment of nanostructure in thin films
US20050238967A1 (en) 2004-04-27 2005-10-27 The Board Of Trustees Of The University Of Illinois Composite patterning devices for soft lithography
US7195733B2 (en) 2004-04-27 2007-03-27 The Board Of Trustees Of The University Of Illinois Composite patterning devices for soft lithography
US20060192283A1 (en) 2004-04-29 2006-08-31 Benson Peter A Semiconductor wafer assemblies
US20050250053A1 (en) 2004-05-06 2005-11-10 Marsh Eugene P Selective provision of a diblock copolymer material
TWI256110B (en) 2004-05-18 2006-06-01 Ind Tech Res Inst Nanopatterned templates from oriented degradable diblock copolymer thin films
WO2006076016A2 (en) 2004-05-21 2006-07-20 Krzysztof Matyjaszewski Conducting polymers
US20060038182A1 (en) 2004-06-04 2006-02-23 The Board Of Trustees Of The University Stretchable semiconductor elements and stretchable electrical circuits
WO2005122285A2 (en) 2004-06-04 2005-12-22 The Board Of Trustees Of The University Of Illinois Methods and devices for fabricating and assembling printable semiconductor elements
WO2006003594A2 (en) 2004-06-30 2006-01-12 Koninklijke Philips Electronics N.V. Soft lithographic stamp with a chemically patterned surface
US20070227383A1 (en) 2004-06-30 2007-10-04 Koninklijke Philips Electronics, N.V. Soft Lithographic Stamp with a Chemically Patterned Surface
KR20070029762A (en) 2004-06-30 2007-03-14 코닌클리케 필립스 일렉트로닉스 엔.브이. Soft lithographic stamp with a chemically patterned surface
WO2006003592A2 (en) 2004-06-30 2006-01-12 Koninklijke Philips Electronics N.V. Soft lithographic stamp with a chemically patterned surface
US7585741B2 (en) 2004-07-19 2009-09-08 Micron Technology, Inc. Methods of forming capacitors
JP2006036923A (en) 2004-07-27 2006-02-09 National Institute Of Advanced Industrial & Technology Highly oriented film of block copolymer-clay nano-composite material and method for producing the same
US20060024590A1 (en) 2004-07-29 2006-02-02 Sandhu Gurtej S Methods of forming patterns in semiconductor constructions, methods of forming container capacitors, and methods of forming reticles configured for imprint lithography
US20060030495A1 (en) 2004-08-06 2006-02-09 Gregg George L Jr Bullet lubrication formula
JP2006055982A (en) 2004-08-23 2006-03-02 Ind Technol Res Inst Nanopatterned template from organizing decomposition diblock copolymer thin film
US20060046079A1 (en) 2004-09-01 2006-03-02 Samsung Corning Co., Ltd. Method for preparing surfactant-templated, mesoporous low dielectric film
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US20060046484A1 (en) 2004-09-02 2006-03-02 Abatchev Mirzafer K Method for integrated circuit fabrication using pitch multiplication
WO2007024241A2 (en) 2004-09-10 2007-03-01 Ut-Battelle Llc Highly ordered porous carbon materials having well defined nanostructures and method of synthesis
US20060060863A1 (en) 2004-09-22 2006-03-23 Jennifer Lu System and method for controlling nanostructure growth
JP2006110434A (en) 2004-10-13 2006-04-27 Toshiba Corp Pattern forming method and working method of structure using the same
US20060078681A1 (en) 2004-10-13 2006-04-13 Kabushiki Kaisha Toshiba Pattern forming method and method of processing a structure by use of same
US20060097134A1 (en) 2004-11-09 2006-05-11 Rhodes Howard E Image sensor and pixel having an anti-reflective coating over the photodiode
US20060137554A1 (en) 2004-11-10 2006-06-29 Gregor Kron Stamp for soft lithography, in particular micro contact printing and a method of preparing the same
US20060105562A1 (en) 2004-11-12 2006-05-18 Seagate Technology Llc Method to make nano structure below 25 nanometer with high uniformity on large scale
US20060134556A1 (en) 2004-11-22 2006-06-22 Wisconsin Alumni Research Foundation Methods and compositions for forming aperiodic patterned copolymer films
WO2006112887A2 (en) 2004-11-22 2006-10-26 Wisconsin Alumni Research Foundation Methods and compositions for forming aperiodic patterned copolymer films
TW200633925A (en) 2004-11-23 2006-10-01 Agilent Technologies Inc System and method for controlling the size and/or distribution of catalyst nanoparticles for nanostructure growth
WO2007013889A2 (en) 2004-11-23 2007-02-01 Agilent Technologies, Inc. System and method for controlling the size and/or distribution of catalyst nanoparticles for nanostructure growth
US20080032238A1 (en) 2004-11-23 2008-02-07 Lu Jennifer Q System and method for controlling the size and/or distribution of catalyst nanoparticles for nanostructure growth
US20060128165A1 (en) 2004-12-13 2006-06-15 3M Innovative Properties Company Method for patterning surface modification
US20060141222A1 (en) 2004-12-29 2006-06-29 Fischer Paul B Introducing nanotubes in trenches and structures formed thereby
WO2006078952A1 (en) 2005-01-21 2006-07-27 University Of California Methods for fabricating a long-range ordered periodic array of nano-features, and articles comprising same
US20080260941A1 (en) 2005-01-21 2008-10-23 Sungho Jin Method for Fabricating a Long-Range Ordered Periodic Array of Nano-Features, and Articles Comprising Same
US7368314B2 (en) 2005-02-04 2008-05-06 Infineon Technologies Ag Method for fabricating a resistive memory
US20080113169A1 (en) 2005-03-11 2008-05-15 Cha Jennifer N Materials having predefined morphologies and methods of formation thereof
US20060205875A1 (en) 2005-03-11 2006-09-14 Cha Jennifer N Materials having predefined morphologies and methods of formation thereof
US20070020749A1 (en) 2005-03-23 2007-01-25 Wisconsin Alumni Research Foundation Materials and methods for creating imaging layers
US20060228635A1 (en) 2005-04-07 2006-10-12 Suleski Thomas J Method and apparatus for fabricating shaped structures and shaped structures including one- , two- or three-dimensional patterns incorporated therein
US20060254440A1 (en) 2005-04-13 2006-11-16 Korea Institute Of Machinery & Materials Method and apparatus for manufacturing electronic device using roll-to-roll rotary pressing process
US20060257633A1 (en) 2005-04-27 2006-11-16 Hitachi Maxell, Ltd. Method for modifying surface of polymer substrate, method for forming plated film on polymer substrate, method for producing polymer member, and coating member
US20060249784A1 (en) 2005-05-06 2006-11-09 International Business Machines Corporation Field effect transistor device including an array of channel elements and methods for forming
US20060255505A1 (en) 2005-05-11 2006-11-16 Micron Technology, Inc. Imprint templates for imprint lithography, and methods of patterning a plurality of substrates
US20060258159A1 (en) 2005-05-16 2006-11-16 International Business Machines Corporation Process for preparing electronics structures using a sacrificial multilayer hardmask scheme
US20060278158A1 (en) 2005-06-08 2006-12-14 Tolbert Sarah H Ordered vertically oriented porous inorganic films produced through solution processing
US20060281266A1 (en) 2005-06-09 2006-12-14 Wells David H Method and apparatus for adjusting feature size and position
US7332370B2 (en) 2005-06-10 2008-02-19 Hynix Semiconductor Inc. Method of manufacturing a phase change RAM device utilizing reduced phase change current
KR20060128378A (en) 2005-06-10 2006-12-14 주식회사 하이닉스반도체 Method of manufacturing phase change ram device
WO2007024323A2 (en) 2005-06-17 2007-03-01 The University Of North Carolina At Chapel Hill Nanoparticle fabrication methods, systems, and materials
US20060286490A1 (en) 2005-06-17 2006-12-21 Sandhu Gurtej S Methods of making templates for use in imprint lithography and related structures
WO2007001294A1 (en) 2005-06-27 2007-01-04 The Regents Of The University Of California Method and apparatus for controlling nucleation in self-assembled films
US20060292777A1 (en) 2005-06-27 2006-12-28 3M Innovative Properties Company Method for making electronic devices using metal oxide nanoparticles
US7118784B1 (en) 2005-06-27 2006-10-10 The Regents Of The University Of California Method and apparatus for controlling nucleation in self-assembled films
US20070023805A1 (en) 2005-07-26 2007-02-01 Wells David H Reverse construction memory cell
US20070023247A1 (en) 2005-07-27 2007-02-01 Ulicny John C Magnetorheological fluid device
WO2007019439A3 (en) 2005-08-04 2007-05-03 Angiotech Int Ag Block copolymer compositions and uses thereof
US20070045642A1 (en) 2005-08-25 2007-03-01 Micron Technology, Inc. Solid-state imager and formation method using anti-reflective film for optical crosstalk reduction
US20070045562A1 (en) 2005-08-29 2007-03-01 Micron Technology, Inc. Systems and methods for controlling ambient pressure during processing of microfeature workpieces, including during immersion lithography
US7655383B2 (en) 2005-09-05 2010-02-02 DWI an der RWTH Photochemical method for manufacturing nanometrically surface-decorated substrates
US20070072403A1 (en) 2005-09-27 2007-03-29 Oki Electric Industry Co., Ltd. Semiconductor device and method for fabricating the same
US20090087664A1 (en) 2005-10-14 2009-04-02 Wisconsin Alumni Research Foundation Directed assembly of triblock copolymers
US20070183035A1 (en) 2005-10-31 2007-08-09 Koji Asakawa Short-wavelength polarizing elements and the manufacture and use thereof
WO2007055041A1 (en) 2005-11-10 2007-05-18 National University Corporation Kyoto Institute Of Technology Membrane of block copolymer with oriented cylinder structure and process for producing the same
US20070122749A1 (en) 2005-11-30 2007-05-31 Fu Peng F Method of nanopatterning, a resist film for use therein, and an article including the resist film
US20070181870A1 (en) 2006-01-18 2007-08-09 Consiglio Nazionale Delle Ricerche Nanometric Device for the Measurement of the Conductivity and Quantum Effects of Individual Molecules and Methods for the Manufacture and Use Thereof
US20090155579A1 (en) 2006-01-20 2009-06-18 Plextronics, Inc. Electrostatic coatings and articles comprising polythiophenes
TW200740602A (en) 2006-01-20 2007-11-01 Plextronics Inc Electrostatic coatings and articles comprising polythiophenes
JP2007194175A (en) 2006-01-23 2007-08-02 Seiko Epson Corp Ink for conductor pattern, conductor pattern, wiring board, electro-optical device and electronic equipment
CN101013662A (en) 2006-02-02 2007-08-08 国际商业机器公司 Methods for forming self-assembled patterns of block copolymers and related semiconductor structure
US20070175859A1 (en) 2006-02-02 2007-08-02 International Business Machines Corporation Methods for forming improved self-assembled patterns of block copolymers
TW200802421A (en) 2006-02-02 2008-01-01 Ibm Methods for forming improved self-assembled patterns of block copolymers
US20080102252A1 (en) 2006-02-02 2008-05-01 International Business Machines Corporation Methods for forming improved self-assembled patterns of block copolymers
US7347953B2 (en) 2006-02-02 2008-03-25 International Business Machines Corporation Methods for forming improved self-assembled patterns of block copolymers
US20080073743A1 (en) 2006-02-17 2008-03-27 Lockheed Martin Corporation Templated growth of semiconductor nanostructures, related devices and methods
US20070194403A1 (en) 2006-02-23 2007-08-23 International Business Machines Corporation Methods for fabricating semiconductor device structures with reduced susceptibility to latch-up and semiconductor device structures formed by the methods
US20070208159A1 (en) 2006-03-02 2007-09-06 General Electric Company Poly(arylene ether) block copolymer compositions, methods, and articles
US20070224819A1 (en) 2006-03-23 2007-09-27 Micron Technology, Inc. Topography directed patterning
US20070224823A1 (en) 2006-03-23 2007-09-27 Sandhu Gurtej S Topography directed patterning
US20070222995A1 (en) 2006-03-27 2007-09-27 Jennifer Lu Artifact having a textured metal surface with nanometer-scale features and method for fabricating same
US20070249117A1 (en) 2006-04-19 2007-10-25 Kang Kyong-Rim Polymer resin composition, related method for forming a pattern, and related method for fabricating a capacitor
US20090267058A1 (en) 2006-05-22 2009-10-29 Ebinazar Benjamin Namdas Solution-processed inorganic films for organic thin film transistors
US20070281220A1 (en) 2006-06-02 2007-12-06 Sandhu Gurtej S Topography based patterning
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
US20070289943A1 (en) 2006-06-14 2007-12-20 Jennifer Lu Block copolymer mask for defining nanometer-scale structures
US7605081B2 (en) 2006-06-19 2009-10-20 International Business Machines Corporation Sub-lithographic feature patterning using self-aligned self-assembly polymers
US20070293041A1 (en) 2006-06-19 2007-12-20 International Business Machines Corporation Sub-lithographic feature patterning using self-aligned self-assembly polymers
JP2008036491A (en) 2006-08-03 2008-02-21 Nippon Telegr & Teleph Corp <Ntt> Pattern formation method and mold
US20080038467A1 (en) 2006-08-11 2008-02-14 Eastman Kodak Company Nanostructured pattern method of manufacture
EP1906237A2 (en) 2006-08-11 2008-04-02 Rohm and Haas Denmark Finance A/S Nanostructured pattern method of manufacture
JP2008043873A (en) 2006-08-15 2008-02-28 Toshiba Corp Pattern forming method
US20080041818A1 (en) 2006-08-15 2008-02-21 Kabushiki Kaisha Toshiba Method for pattern formation
US20080047930A1 (en) 2006-08-23 2008-02-28 Graciela Beatriz Blanchet Method to form a pattern of functional material on a substrate
US20080064217A1 (en) 2006-09-11 2008-03-13 Samsung Electronics Company, Ltd. Methods of Forming Semiconductor Devices Using Di-Block Polymer Layers
KR100771886B1 (en) 2006-09-27 2007-11-01 삼성전자주식회사 Method of forming fine contact hole and method of fabricating semiconductor device using block copolymer
US20080085601A1 (en) 2006-09-27 2008-04-10 Sung-Chan Park Method of forming fine contact hole and method of fabricating semiconductor device using block copolymers
US20080078982A1 (en) 2006-09-29 2008-04-03 Min Kyu S Current focusing memory architecture for use in electrical probe-based memory storage
US7658773B2 (en) 2006-09-29 2010-02-09 Qimonda Ag Method for fabricating a solid electrolyte memory device and solid electrolyte memory device
US7569855B2 (en) 2006-10-02 2009-08-04 Au Optronics Corporation Multi-domain vertical alignment pixel structure
US20080078999A1 (en) 2006-10-02 2008-04-03 Han-Chung Lai Multi-domain vertical alignment pixel structure and fabrication method thereof
US7592247B2 (en) 2006-10-04 2009-09-22 International Business Machines Corporation Sub-lithographic local interconnects, and methods for forming same
US20080083991A1 (en) 2006-10-04 2008-04-10 International Business Machines Corporation Sub-lithographic local interconnects, and methods for forming same
US7553760B2 (en) 2006-10-19 2009-06-30 International Business Machines Corporation Sub-lithographic nano interconnect structures, and method for forming same
US20080093743A1 (en) 2006-10-19 2008-04-24 International Business Machines Corporation Sub-lithographic nano interconnect structures, and method for forming same
US20080103256A1 (en) 2006-10-30 2008-05-01 Kim Ho-Cheol Self-assembled lamellar microdomains and method of alignment
WO2008055137A2 (en) 2006-10-31 2008-05-08 International Business Machines Corporation Si-containing polymers for nano-pattern device fabrication
US7514339B2 (en) 2007-01-09 2009-04-07 International Business Machines Corporation Method for fabricating shallow trench isolation structures using diblock copolymer patterning
US20080164558A1 (en) 2007-01-09 2008-07-10 International Business Machines Corporation Method for fabricating shallow trench isolation structures using diblock copolymer patterning
US20080174726A1 (en) 2007-01-22 2008-07-24 Samsung Electronics Co., Ltd. Liquid Crystal Display
WO2008091741A2 (en) 2007-01-24 2008-07-31 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
US8394483B2 (en) 2007-01-24 2013-03-12 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
US20080176767A1 (en) 2007-01-24 2008-07-24 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
US8512846B2 (en) 2007-01-24 2013-08-20 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
US7767099B2 (en) 2007-01-26 2010-08-03 International Business Machines Corporaiton Sub-lithographic interconnect patterning using self-assembling polymers
WO2008096335A2 (en) 2007-02-07 2008-08-14 Yeda Research And Development Co. Ltd. Producing an array of nanoscale structures on a substrate surface via a self-assembled template
WO2008097736A2 (en) 2007-02-08 2008-08-14 Micron Technology, Inc. Methods using block copolymer self-assembly for sub-lithographic patterning
US20080193658A1 (en) 2007-02-08 2008-08-14 Micron Technology, Inc. Methods using block copolymer self-assembly for sub-lithographic patterning
US7964107B2 (en) 2007-02-08 2011-06-21 Micron Technology, Inc. Methods using block copolymer self-assembly for sub-lithographic patterning
US20080241218A1 (en) 2007-03-01 2008-10-02 Mcmorrow David Coated medical devices for abluminal drug delivery
WO2008124219A2 (en) 2007-03-06 2008-10-16 Micron Technology, Inc. Registered structure formation via the apllication of directed thermal energy to diblock copolymer films
US8409449B2 (en) 2007-03-06 2013-04-02 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US20080217292A1 (en) 2007-03-06 2008-09-11 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US20100163180A1 (en) 2007-03-22 2010-07-01 Millward Dan B Sub-10 NM Line Features Via Rapid Graphoepitaxial Self-Assembly of Amphiphilic Monolayers
WO2008118635A2 (en) 2007-03-22 2008-10-02 Micron Technology, Inc. Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphipilic monolayers
US8557128B2 (en) 2007-03-22 2013-10-15 Micron Technology, Inc. Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers
US20080274413A1 (en) 2007-03-22 2008-11-06 Micron Technology, Inc. Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers
US20080233323A1 (en) 2007-03-23 2008-09-25 Joy Cheng Orienting, positioning, and forming nanoscale structures
US20080233297A1 (en) 2007-03-23 2008-09-25 Xerox Corporation Methods of forming a photoreceptor device having a self-assembled patterned binder layer
US7888228B2 (en) 2007-04-05 2011-02-15 Adesto Technology Corporation Method of manufacturing an integrated circuit, an integrated circuit, and a memory module
US7959975B2 (en) 2007-04-18 2011-06-14 Micron Technology, Inc. Methods of patterning a substrate
US20110232515A1 (en) 2007-04-18 2011-09-29 Micron Technology, Inc. Methods of forming a stamp, a stamp and a patterning system
US20080257187A1 (en) 2007-04-18 2008-10-23 Micron Technology, Inc. Methods of forming a stamp, methods of patterning a substrate, and a stamp and a patterning system for same
US20080286659A1 (en) 2007-04-20 2008-11-20 Micron Technology, Inc. Extensions of Self-Assembled Structures to Increased Dimensions via a "Bootstrap" Self-Templating Method
US20120225243A1 (en) 2007-04-20 2012-09-06 Millward Dan B Extensions of Self-Assembled Structures to Increased Dimensions via a "Bootstrap" Self-Templating Method
US8372295B2 (en) 2007-04-20 2013-02-12 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
WO2008130847A1 (en) 2007-04-20 2008-10-30 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a 'bootstrap' self-templating method
WO2008145268A1 (en) 2007-05-26 2008-12-04 Forschungszentrum Karlsruhe Gmbh Die for micro-contact printing and method for the production thereof
WO2008156977A2 (en) 2007-06-12 2008-12-24 Micron Technology, Inc. Methods of fabricating nanostructures by use of thin films of self-assembling of diblock copolymers, and devices resulting from those methods
US8404124B2 (en) 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US20140060736A1 (en) 2007-06-12 2014-03-06 Micron Technology, Inc. Templates including self-assembled block copolymer films
US20100279062A1 (en) 2007-06-12 2010-11-04 Millward Dan B Alternating Self-Assembling Morphologies of Diblock Copolymers Controlled by Variations in Surfaces
US20080311347A1 (en) 2007-06-12 2008-12-18 Millward Dan B Alternating Self-Assembling Morphologies of Diblock Copolymers Controlled by Variations in Surfaces
US8445592B2 (en) 2007-06-19 2013-05-21 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
US8513359B2 (en) 2007-06-19 2013-08-20 Micron Technology, Inc. Crosslinkable graft polymer non preferentially wetted by polystyrene and polyethylene oxide
US20080318005A1 (en) 2007-06-19 2008-12-25 Millward Dan B Crosslinkable Graft Polymer Non-Preferentially Wetted by Polystyrene and Polyethylene Oxide
US20130295323A1 (en) 2007-06-19 2013-11-07 Micron Technology, Inc. Crosslinkable graft polymer non preferentially wetted by polystyrene and polyethylene oxide
US8080615B2 (en) 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
US8294139B2 (en) 2007-06-21 2012-10-23 Micron Technology, Inc. Multilayer antireflection coatings, structures and devices including the same and methods of making the same
US20080315270A1 (en) 2007-06-21 2008-12-25 Micron Technology, Inc. Multilayer antireflection coatings, structures and devices including the same and methods of making the same
US8551808B2 (en) 2007-06-21 2013-10-08 Micron Technology, Inc. Methods of patterning a substrate including multilayer antireflection coatings
US8039196B2 (en) 2007-08-09 2011-10-18 Samsung Electronics Co., Ltd. Method of forming fine patterns using a block copolymer
US20090062470A1 (en) 2007-08-31 2009-03-05 Micron Technology, Inc. Zwitterionic block copolymers and methods
US20100204402A1 (en) 2007-08-31 2010-08-12 Micron Technology, Inc. Zwitterionic Block Copolymers And Methods
US8083958B2 (en) 2007-12-05 2011-12-27 International Business Machines Corporation Patterning method using a combination of photolithography and copolymer self-assemblying lithography techniques
US20090196488A1 (en) 2007-12-07 2009-08-06 Wisconsin Alumni Research Foundation Density multiplication and improved lithography by directed block copolymer assembly
US7521090B1 (en) 2008-01-12 2009-04-21 International Business Machines Corporation Method of use of epoxy-containing cycloaliphatic acrylic polymers as orientation control layers for block copolymer thin films
US20100316849A1 (en) 2008-02-05 2010-12-16 Millward Dan B Method to Produce Nanometer-Sized Features with Directed Assembly of Block Copolymers
WO2009099924A2 (en) 2008-02-05 2009-08-13 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
US8101261B2 (en) 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
WO2009102551A2 (en) 2008-02-13 2009-08-20 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US20090200646A1 (en) 2008-02-13 2009-08-13 Millward Dan B One-Dimensional Arrays of Block Copolymer Cylinders and Applications Thereof
US20090206489A1 (en) 2008-02-20 2009-08-20 International Business Machines Corporation Dual damascene metal interconnect structure having a self-aligned via
US20090212016A1 (en) 2008-02-22 2009-08-27 International Business Machines Corporation Aligning polymer films
US20090218567A1 (en) 2008-02-29 2009-09-03 Varughese Mathew Conductive bridge random access memory device and method of making the same
US20090236309A1 (en) 2008-03-21 2009-09-24 Millward Dan B Thermal Anneal of Block Copolymer Films with Top Interface Constrained to Wet Both Blocks with Equal Preference
US8426313B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US8425982B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
US20090240001A1 (en) 2008-03-21 2009-09-24 Jennifer Kahl Regner Methods of Improving Long Range Order in Self-Assembly of Block Copolymer Films with Ionic Liquids
WO2009117243A1 (en) 2008-03-21 2009-09-24 Micron Technology, Inc. Thermal anneal of a block copolymer films with top interface constrained to wet both blocks with equal preference
WO2009117238A2 (en) 2008-03-21 2009-09-24 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
US20120223053A1 (en) 2008-03-21 2012-09-06 Millward Dan B Thermal Anneal of Block Copolymer Films with Top Interface Constrained to Wet Both Blocks with Equal Preference
US8114300B2 (en) 2008-04-21 2012-02-14 Micron Technology, Inc. Multi-layer method for formation of registered arrays of cylindrical pores in polymer films
US20090263628A1 (en) 2008-04-21 2009-10-22 Millward Dan B Multi-Layer Method for Formation of Registered Arrays of Cylindrical Pores in Polymer Films
US20120138570A1 (en) 2008-05-02 2012-06-07 Millward Dan B Graphoepitaxial Self-Assembly of Arrays of Downward Facing Half-Cylinders
US8518275B2 (en) 2008-05-02 2013-08-27 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
WO2009134635A2 (en) 2008-05-02 2009-11-05 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
US20090274887A1 (en) 2008-05-02 2009-11-05 Millward Dan B Graphoepitaxial Self-Assembly of Arrays of Downward Facing Half-Cylinders
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
US20120122292A1 (en) 2008-06-18 2012-05-17 Micron Technology Methods of Forming a Non-Volatile Resistive Oxide Memory Array
US20090317540A1 (en) 2008-06-18 2009-12-24 Gurtej Sandhu Methods Of Forming A Non-Volatile Resistive Oxide Memory Array
US20120211871A1 (en) 2008-09-19 2012-08-23 Russell Thomas P Method of producing nanopatterned articles, and articles produced thereby
US20100092873A1 (en) 2008-10-09 2010-04-15 Scott Sills Methods Of Utilizing Block Copolymer To Form Patterns
US20100102415A1 (en) 2008-10-28 2010-04-29 Micron Technology, Inc. Methods for selective permeation of self-assembled block copolymers with metal oxides, methods for forming metal oxide structures, and semiconductor structures including same
US8097175B2 (en) 2008-10-28 2012-01-17 Micron Technology, Inc. Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure
US20120133017A1 (en) 2008-10-28 2012-05-31 Micron Technology, Inc. Semiconductor structures including polymer material permeated with metal oxide
US20100124826A1 (en) 2008-11-17 2010-05-20 Dan Millward Methods Of Utilizing Block Copolymer To Form Patterns
US20100137496A1 (en) 2008-12-02 2010-06-03 Dan Millward Block Copolymer-Comprising Compositions and Methods of Purifying PS-b-PXVP
US8287749B2 (en) 2008-12-22 2012-10-16 Hitachi, Ltd. High-molecular thin film, pattern medium and manufacturing method thereof
US8114306B2 (en) 2009-05-22 2012-02-14 International Business Machines Corporation Method of forming sub-lithographic features using directed self-assembly of polymers
US20100323096A1 (en) 2009-06-22 2010-12-23 Scott Sills Methods Of Utlizing Block Copolymer To Form Patterns
US8206601B2 (en) 2009-12-18 2012-06-26 Hitachi Global Storage Technologies Netherlands B.V. Supporting membranes on nanometer-scale self-assembled films
US20120028471A1 (en) 2010-02-19 2012-02-02 Tokyo Electron Limited Method of manufacturing a semiconductor device
US20130330688A1 (en) 2010-06-25 2013-12-12 Nanovis, Inc. Method for producing nanosurfaces with nano, micron, and/or submicron structures on a polymer
US20140127626A1 (en) 2010-10-07 2014-05-08 Riken Resist composition for negative development which is used for formation of guide pattern, guide pattern formation method, and method for forming pattern on layer containing block copolymer
US20120135146A1 (en) 2010-11-30 2012-05-31 Jsr Corporation Methods of forming topographical features using segregating polymer mixtures
US20120135159A1 (en) 2010-11-30 2012-05-31 Seagate Technology Llc System and method for imprint-guided block copolymer nano-patterning
US20120164389A1 (en) 2010-12-28 2012-06-28 Yang Xiaomin Imprint template fabrication and repair based on directed block copolymer assembly
US20120202017A1 (en) 2011-02-07 2012-08-09 Wisconsin Alumni Research Foundation Solvent annealing block copolymers on patterned substrates
US20150021293A1 (en) 2011-11-16 2015-01-22 University College Cork-National University Of Ireland, Cork Method for providing a nanopattern of metal oxide nanostructures on a substrate
US20130330668A1 (en) 2012-06-08 2013-12-12 Az Electronic Materials (Luxembourg) S.A.R.L. Neutral layer polymer composition for directed self assembly and processes thereof
US8808557B1 (en) 2013-02-19 2014-08-19 Kabushiki Kaisha Toshiba Pattern forming method
US20140272723A1 (en) 2013-03-14 2014-09-18 Tokyo Electron Limited Chemi-epitaxy in directed self-assembly applications using photo-decomposable agents

Non-Patent Citations (214)

* Cited by examiner, † Cited by third party
Title
1. Zaumseil et al., Three-Dimensional and Multilayer Nanostructures Formed by Nanotransfer Printing, Nano Letters, vol. 3, No. 9,(2003), pp. 1223-1227.
Ali et al., Properties of Self-assembled ZnO Nanostructures, Solid-State Electronics 46 (2002), 1639-1642.
Arshady et al., The Introduction of Chloromethyl Groups into Styrene-based Polymers, 1, Makromol. Chem., vol. 177, 1976, p. 2911-2918.
Asakawa et al., Fabrication of Subwavelength Structure for Improvement in Light-Extraction Efficiency of Light-Emitting Devices Using a Self-Assembled Pattern of Block Copolymer, Applied Optics, vol. 44, No. 34, (Dec. 1, 2005), pp. 7475-7482.
Bae, Joonwon, Surface Modification Using Photo-Crosslinkable Random Copolymers, Abstract submitted for the Mar. 2006 meeting of the American Physical Society, submitted Nov. 30, 2005. (Accessed via the Internet [retrieved on Apr. 5, 2010], URL: http://absimage.aps.org/image/MWS-MAR06-2005-003641.pdf).
Balsara et al., CPIMA, IRG Technical Programs, Synthesis and application of Nanostructured Materials, Leland Stanford Junior Univ., 2006, http://www.stanford.edu/group/cpima/irg/irg-1.htm.
Bang, J., The Effect of Humidity on the Ordering of Tri-block Copolymer Thin Films, Abstract submitted for the Mar. 2007 meeting of The American Physical Society, submitted Nov. 20, 2006.
Bass et al., Microcontact Printing with Octadecanethiol, Applied Surface Science, vol. 226, No. 4, (Apr. 2004), pp. 335-340.
Bearinger et al., Chemisorbed Poly(propylene sulphide)-based Copolymers Resist Biomolecular Interactions, Nature Materials 2, (2003), pp. 259-264.
Berry et al., Effects of Zone Annealing on Thin Films of Block Copolymers, National Institute of Standard and Technology, Polymers Division, Maryland, USA, (2007), 2 pages.
Berry et al., Orientational Order in Block Copolymer Films Zone Annealed Below the Order-Disorder Transition Temperature, Nano Letters vol. 7, No. (Aug. 2007), pp. 2789-2794.
Black et al., High-Capacity, Self-Assembled Metal-Oxide-Semiconductor Decoupling Capacitors, IEEE Electron Device Letters, vol. 25, No. 9, (Sep. 2004), pp. 622-624.
Black et al., Integration of Self Assembly for Semiconductor Microelectronics, IEEE 2005 Custom Integrated Circuits Conference, IBM T.J. Watson Research Center, (2005), pp. 87-91.
Black et al., Integration of Self-Assembled Diblock Copolymers for Semiconductor Capacitor Fabrication, Applied Physics Letters, vol. 79, No. 3, (2001), pp. 409-411.
Black et al., Nanometer-Scale Pattern Registration and Alignment by Directed Diblock Copolymer Self-Assembly, IEEE Transactions on Nanotechnology, vol. 3, No. 3, (Sep. 2004), pp. 412-415.
Black et al., Polymer Self Assembly in Semiconductor Microelectronics, IBM J. Res. & Dev. vol. 51, No. 5, (Sep. 2007), pp. 605-633.
Black et al., Self Assembly in Semiconductor Microelectronics: Self-Aligned Sub-Lithographic Patterning Using Diblock Copolymer Thin Films, Proc. of SPIE, vol. 6153, 615302 (2006).
Black, C. T., Polymer Self-Assembly as a Novel Extension to Optical Lithography, American Chemical Society, ACSNano, vol. 1, No. 3, (2007), pp. 147-150.
Black, C. T., Self-aligned self-assembly of multi-nanowire silicon field effect transistors, Appl. Phys. Lett., vol. 87, (2005), pp. 163116-1 through 163116-3.
Botelho et al., Diblock Copolymer Ultrathin Films Studied by High Resolution Electron Energy Loss Spectroscopy, Surface Science, 482-485 (2001), pp. 1228-1234.
Brydson et al. (chapter authors), Generic Methodologies for Nanotechnology: Classification and Fabrication, Nanoscale Science and Technology, John Wiley & Sons, Ltd., (Dec. 20, 2005), pp. 1-55.
Bulpitt et al., New Strategy for Chemical Modification of Hyaluronic Acid: Preparation of Functionalized Derivatives and Their Use in the Formation of Novel Biocompatible Hydrogels, Journal of Biomedical Materials Research, vol. 47, Issue 2, (Aug. 1999) pp. 152-169, Abstract only.
Canaria et al., Formation and Removal of Alkylthiolate Self-Assembled Monolayers on Gold in Aqueous Solutions, Lab Chip 6, (2006). pp. 289-295. Abstract only.
Candau et al, Synthesis and Characterization of Polystyrene-poly(ethylene oxide) Graft Copolymers, Polymer, vol. 18, (1977), pp. 1253-1257.
Cavicchi et al., Solvent Annealed Thin Films of Asymmetric Polyisoprene-Polylactide Diblock Copolymers, Macromolecules 2007, vol. 40, (2007), pp. 1181-1186.
Cha et al., Biomimetic Approaches for Fabricating High-Density Nanopatterned Arrays, Chem. Mater. vol. 19, (2007), pp. 839-843.
Chai et al., Assembly of Aligned Linear Metallic Patterns on Silicon, Nature Nanotechnology, vol. 2, (Aug. 2007), pp. 500-506.
Chai et al., Using Cylindrical Domains of Block Copolymers to Self-Assemble and Align Metallic Nanowires, American Chemical Society, www.acsnano.org, (2008), pp. A-M.
Chandekar et al., Template-Directed Adsorption of block Copolymers on Alkanethiol-Patterned Gold Surfaces, (circa 2006), http://www.nano.neu.edu/industry/industry-showcase/industry-day/documents/Chandekar.pdf) (Powerpoint template for scientific posters (Swarthmore College)), 1 page.
Chang, Li-Wen, Diblock Copolymer Directed Self-Assembly for CMOS Device Fabrication, Proc. Of SPIE, vol. 6156, ( 2006), 615611-1 to 615611-6.
Chang, Li-Wen, Experimental Demonstration of Aperiodic Patterns of Directed Self-Assembly of Block Copolymer Lithography for Random Logic Circuit Layout, IEEE International Electron Devices Meeting (IEDM), paper 33.2, (Dec. 6-8, 2010), pp. 33.2.1-33.2.4.
Chen et al., Highly Ordered Arrays of Mesoporous Silica Nanorods with Tunable Aspect Ratios from Block Copolymer Thin Films, Advanced Materials, vol. 20, (2008), pp. 763-767.
Cheng et al., Rapid Directed Self Assembly of Lamellar Microdomains from a Block Copolymer Containing Hybrid, Applied Physics Letters, vol. 91, (2007), pp. 143106-143106-3.
Cheng et al., Self-Assembled One-Dimensional Nanostructure Arrays, Nano Letters, vol. 6, No. 9, (2006), pp. 2099-2103.
Cheng et al., Templated Self-Assembly of Block Copolymers: Effect of Substrate Topography, Adv. Mater., vol. 15, No. 19, (2003), pp. 1599-1602.
Cheng, J., C. Ross, H. Smith, E. Thomas; "Templated Self-Assembly of Block Copolymers: Top-Down Helps Bottom-Up". Adv. Mater. (2006), 18, p. 2505-2521.
Cho et al., Nanoporous Block Copolymer Micelle/Micelle Multilayer Films with Dual Optical Properties, J. Am. Chem. Soc., vol. 128, No. 30, (2006), pp. 9935-9942.
Choi et al., Magnetorheology of Synthesized Core-Shell Structured Nanoparticle, IEEE Transactions on Magnetics, vol. 41, No. 10, (Oct. 2005), pp. 3448-3450.
Clark et al., Selective Deposition in Multilayer Assembly: SAMs as Molecular Templates, Supramolecular Science, vol. 4, (1997), pp. 141-146.
Daoulas et al., Fabrication of Complex Three-Dimensional Nanostructures from Self-Assembling Block Copolymer Materials on Two-Dimensional Chemically Patterned Templates with Mismatched Symmetry, Physical Review Letters 96, week ending Jan. 27, 2006, pp. 036104-1-3.
Darling, Directing the Self-assembly of Block Copolymers, Progress in Polymer Science, vol. 32, No. 10, (Sep. 28, 2007), pp. 1152-1204.
Desai et al., Engineered Silicon Surfaces for Biomimetic Interfaces, Business Briefing: Medical Device Manufacturing & Technology, (2002), pp. 1-4.
Edwards et al., Mechanism and Kinetics of Ordering in Diblock Copolymer Thin Films on Chemically Nanopatterned Substrates, Journal of Polymer Science: Part B Polymer Physics, vol. 43, (2005), pp. 3444-3459.
Edwards et al., Precise Control over Molecular Dimensions of Block-Copolymer Domains Using the Interfacial Energy of Chemically Nanopatterned Substrates, Advanced Mater., 16, No. 15, (Aug. 4, 2004), pp. 1315-1319.
Electronegativity- website, visited Aug. 28, 2013, 1 page.
Electronegativity-<http://www.princeton.edu/˜achaney/tmve/wiki100k/docs/Electronegativity.html> website, visited Aug. 28, 2013, 1 page.
Elisseeff et al., Photoencapsulation of Chondrocytes in Poly(ethylene oxide)-based Semi-interpenetrating Networks, Journal of Biomedical Materials Research, vol. 51, No. 2, (Aug. 2000), pp. 164-171, Abstract only.
Erlandsson et al., Metallic Zinc Reduction of Disulfide Bonds Between Cysteine Residues in Peptides and Proteins, Int'l J. Peptide Res. & Therapeutics, vol. 11, No. 4, (Dec. 2005), pp. 261-265.
Fasolka et al., Block Copolymer Thin Films: Physics and Applications, Annual Reviews Materials Res., vol. 31, (Aug. 2001), pp. 323-355.
Fasolka et al., Morphology of Ultrathin Supported Diblock Copolymer Films: Theory and Experiment, Macromolecules 2000, vol. 33, No. 15, (2000), pp. 5702-5712.
Fujita et al., Thin Silica Film with a Network Structure as Prepared by Surface Sol-Gel Transcription on the Poly (styrene-b-4-vinylpyridine) Polymer Film, Chemistry Letters, vol. 32, No. 4, (Dec. 31, 2003), pp. 352-353.
Fukunaga et al., Self-Assembly of Block Copolymer Thin Films Having a Half-Domain-Spacing Thickness: Nonequilibrium Pathways to Achieve Equilibrium Brush Layers Parallel to Substrate, Macromolecules vol. 39, (Aug. 2006), pp. 6171-6179.
Gates et al., Unconventional Nanofabrication, Annu. Rev. Mater. Res., vol. 34, (2004), pp. 339-372.
Gates, Nanofabrication with Molds & Stamps, Materials Today, (Feb. 2005), pp. 44-49.
Ge et al., Thermal Conductance of Hydrophilic and Hydrophobic Interfaces, The American Physical Society , PRL 96, (May 12, 2006), pp. 186101-1-186101-4.
Gelest Inc., Silane Coupling Agents: Connecting Across Boundaries, v2.0, (2006), pp. 1-56.
Genua et al., Functional Patterns Obtained by Nanoimprinting Lithography and Subsequent Growth of Polymer Brushes, Nanotechnology, vol. 18, (2007), pp. 1-7.
Gillmor et al., Hydrophilic/Hydrophobic Patterned Surfaces as Templates for DNA Arrays, Langmuir 2000, vol. 16, No. 18, (2000), pp. 7223-7228.
Grubbs, Hybrid Metal-Polymer Composites from Functional Block Copolymers, J. of Polymer Sci.: Part A: Polymer Chemistry, vol. 43, Issue 19, (Oct. 1, 2005), pp. 4323-4336.
Guarini et al., Nanoscale Patterning Using Self-Assembled Polymers for Semiconductor Applications, J. Vac. Sci. Technol. B 19(6), (Nov./Dec. 2001), pp. 2784-2788.
Gudipati et al., Hyperbranched Fluoropolymer and Linear Poly(ethylene glycol) Based Amphiphilic Crosslinked Networks as Efficient Antifouling Coatings: An Insight into the Surface Compositions, Topographies, and Morphologies, Journal of Polymer Science Part A: Polymer Chemistry, vol. 42, (2004), pp. 6193-6208.
Guo et al., Synthesis and Characterization of Novel Biodegradable Unsaturated Poly(ester amide)/Poly(ethylene glycol) Diacrylate Hydrogels, Abstract only, Journal of Polymer Science Part A: Polymer Chemistry, vol. 43, Issue 17, (2005), pp. 3932-3944.
Hadziioannou, Semiconducting Block Copolymers for Self-Assembled Photovoltaic Devices, MRS Bulletin, (Jun. 2002), pp. 456-460.
Hamers, Passivation and Activation: How Do Monovalent Atoms Modify the Reactivity of Silicon Surfaces? A Perspective on the Article, "The Mechanism of Amine Formation on Si(100) Activated with Chlorine Atoms," by C.C. Fustad, A.D. Thorsness, and A.J. Muscat, Surface Sci., vol. 600, (2006), pp. 3361-3362.
Hamley, I. W., Introduction to Block Copolymers, Developments in Block Copolymers Science and Technology, John Wiley & Sons, Ltd., (2004), pp. 1-29.
Hammond et al., Temperature Dependence of Order, Disorder, and Defects in Laterally Confined Diblock Copolymer Cylinder Monolayers, Macromolecules, American Chemical Society, vol. 38, (Jul. 2005), pp. 6575-6585.
Harrison et al., Layer by Layer Imaging of Diblock Copolymer Films with a Scanning Electron Microscope, Polymer, vol. 39, No. 13, (1998), pp. 2733-2744.
Hawker et al., Facile Synthesis of Block Copolymers for Nanolithographic Applications, Polymer Reprints, American Chemical Society, (2005), 2 pages.
Hawker et al., Improving the Manufacturability and Structural Control of Block Copolymer Lithography, Abstracts of Papers, 232nd ACS National Meeting, San Francisco, CA, (Sep. 10-14, 2006), 1 page, abstract only.
Hayward et al., Crosslinked Poly(styrene)-block-Poly(2-vinylpyridine) Thin Films as Swellable Templates for Mesostructured Silica and Titania, Advanced Materials, vol. 17, (2005), pp. 2591-2595.
He et al., Self-Assembly of Block Copolymer Micelles in an Ionic Liquid, J. Am. Chem. Soc., vol. 128, (2006), pp. 2745-2750.
Helmbold et al., Optical Absorption of Amorphous Hydrogenated Carbon Thin Films, Thin Solid Films, vol. 283, (1996), pp. 196-203.
Helmuth et al., High-Speed Microcontact Printing, J. Am. Chem. Soc., vol. 128, No. 29, (2006), pp. 9296-9297.
Hermans et al., Application of Solvent-Directed Assembly of Block Copolymers to the Synthesis of Nanostructured Materials with Low Dielectric Constants, Angewandte Chem. Int. Ed., vol. 45, Issue 40, (Oct. 13, 2006), pp. 6648-6652.
Horiuchi et al., Three-Dimensional Nanoscale Alignment of Metal Nanoparticles Using Block Copolymer Films as Nanoreactors, Langmuir, vol. 19, (2003), pp. 2963-2973.
Huang et al., Stretchable Gold Conductors on Elastomeric Substrates, Applied Physics Letters, vol. 82, No. 15, (Apr. 14, 2003), pp. 2404-2406.
Huang et al., Using Surface Active Random Copolymers to Control the Domain Orientation in Diblock Copolymer Thin Films, Macromolecules, vol. 31, (1998), pp. 7641-7650.
Hur et al., Nanotransfer Printing by Use of Noncovalent Surface Forces: Applications to Thin-Film Transistors That Use Single-Walled Carbon Nanotube Networks and Semiconducting Polymers, Applied Physics Letters, vol. 85, No. 23, (Dec. 6, 2004), pp. 5730-5732.
Hutchison et al., Polymerizable Living Free Radical Initiators as a Platform to Synthesize Functional Networks, Chem. Mater., vol. 17, No. 19, (2005), pp. 4789-4797.
Ikeda et al., Control of Orientation of Thin Films of Organic Semiconductors by Graphoepitaxy, NanotechJapan Bulletin-NIMS International Center for Nanotechnology Network., vol. 3, No. 3,(Dec. 17, 2010), pp. 1-23.
In et al., Side-Chain-Grafted Random Copolymer Brushes as Neutral Surfaces for Controlling the Orientation of Block Copolymer Microdomains in Thin Films, Langmuir, Department of Materials Science and Engineering and Chemical and Biological Engineering, Univ. of Wisconsin-Madison, vol. 22, No. 18, (2006), pp. 7855-7860.
International Preliminary Report on Patentability for Application No. PCT/US2009/035861 dated Sep. 21, 2010, 7 pages.
International Search Report for International Application No. PCT/US2009/035861 dated Jun. 2, 2009, 4 pages.
International Written Opinion for International Application No. PCT/US2009/035861 dated Jun. 2, 2009, 6 pages.
Ji et al., Generalization of the Use of Random Copolymers to Control the Wetting Behaviors of Block Copolymer Films, Macromolecules, vol. 41, No. 23, (2008), pp. 9098-9103.
Ji et al., Molecular Transfer Printing Using Block Copolymers, ACS Nano, vol. 4, No. 2, (2010), pp. 599-609.
Ji et al., Preparation of Neutral Wetting Brushes for Block Copolymer Films from Homopolymer Blends, submitted to Advanced Materials, vol. 20, No. 16, (Jul. 7, 2008), pp. 3054-3060.
Jiang et al., Electrochemical Desorption of Self-Assembled Monolayers Noninvasively Releases Patterned Cells from Geometrical Confinements, J. Am. Chem. Soc., vol. 125, No. 9, (2003), pp. 2366-2367.
Johnson et al., Probing the Stability of the Disulfide Radical Intermediate of Thioredoxin Using Direct Electrochemistry, Letters in Peptide Sci., vol. 10, (2003), pp. 495-500.
Jun et al., Microcontact Printing Directly on the Silicon Surface, Langmuir, vol. 18, No. 9 (2002), pp. 3415-3417, abstract only.
Jun et al., Patterning Protein Molecules on Poly(ethylene glycol) Coated Si(111), Biomaterials, vol. 25, (2004), pp. 3503-3509.
Karim et al., Control of Ordering Kinetics and Morphology Using Zone Annealing of Thin Block Copolymer Films, Abstract submitted for the Mar. 2007 Meeting of the American Physical Society, (Nov. 20, 2006), 2 pages.
Kavakli et al., Single and Double-Layer Antireflection Coatings on Silicon, Turk J. Phys., vol. 26, (2002), pp. 349-354.
Kim et al., Epitaxial Self-assembly of Block Copolymers on Lithographically Defined Nanopatterned Substrates, Nature, vol. 424, (Jul. 24, 2003), pp. 411-414.
Kim et al., Highly Oriented and Ordered Arrays from Block Copolymers via Solvent Evaporation, Adv. Mater. 2004, 16, No. 3, (Feb. 3, 2004), pp. 226-231.
Kim et al., Hybrid Nanofabrication Processes Utilizing Diblock Copolymer Nanotemplate Prepared by Self-assembled Monolayer Based Surface Neutralization, J. Vac. Sci. Technol. vol. B26, No. 1, (Jan./Feb. 2008), pp. 189-194.
Kim et al., In Vitro Release Behavior of Dextran-methacrylate Hydrogels Using Doxorubicin and Other Model Compounds, J Biomater Appl., vol. 15, No. 1, (Jul. 2000), pp. 23-46, abstract only.
Kim et al., Novel Complex Nanostructure from Directed Assembly of Block Copolymers on Incommensurate Surface Patterns, Adv. Mater, vol. 19, (2007), pp. 3271-3275.
Kim et al., Salt Complexation in Block Copolymer Thin Films, Macromolecules 2006, vol. 39, No. 24, (2006), pp. 8473-8479.
Kim et al., Self-assembled Hydrogel Nanoparticles Composed of Dextran and Poly (ethylene glycol) Macromer, Int J Pharm., vol. 205, No. 1-2, (Sep. 15, 2000), pp. 109-116, abstract only.
Kim et al., Solvent-Induced Ordering in Thin Film Diblock Copolymer/Homopolymer Mixtures, Advanced Mater., vol. 16, No. 23-24, (Dec. 17, 2004), pp. 2119-2123.
Kim et al., Synthesis and characterization of Dextran-methacrylate Hydrogels and Structural Study by SEM, J Biomater Res.,vol. 49, No. 4, (Mar. 15, 2000), pp. 517-527, abstract only.
Knoll et al., Phase Behavior in Thin Films of Cylinder-Forming Block Copolymers, Physical Review Letters, vol. 89, No. 3, (Jul. 15, 2002), pp. 035501-1 to 035501-4.
Krishnamoorthy et al., Block Copolymer Micelles as Switchable Templates for Nanofabrication, Languir, vol. 22, No. 8, (2006), pp. 3450-3452.
Krishnamoorthy et al., Nanopatterned Self-Assembled Monolayers by Using Diblock Copolymer Micelles as Nanometer-Scale Adsorption and Etch Masks, Advanced Materials, (2008), pp. 1-4.
Krishnamoorthy et al., Nanoscale Patterning with Block Copolymers, Materials Today, vol. 9, No. 9, (Sep. 2006), pp. 40-47.
Kuhnline et al., Detecting Thiols in a Microchip Device Using Micromolded Carbon Ink Electrodes Modified with Cobalt Phthalocyanine, Analyst, vol. 131, (2006), pp. 202-207.
La et al., Directed Assembly of Cylinder-Forming Block Copolymers into Patterned Structures to Fabricate Arrays of Spherical Domains and Nanoparticles, Chem. Mater., vol. 19, No. 18, (2007), pp. 4538-4544.
La et al., Pixelated Chemically Amplified Resists: Investigation of Material Structure on the Spatial Distribution of Photoacids and Line Edge Roughness, J. Vac. Sci. Technol. vol. B 25, No. 6, (Nov./Dec. 2007), pp. 2508-2513.
Laracuente et al., Step Structure and Surface Morphology of Hydrogen-terminated Silicon: (001) to (114), Surface Science 545, (2003), pp. 70-84.
Lentz et al., Whole Wafer Imprint Patterning Using Step and Flash Imprint Lithography: A Manufacturing Solution for Sub 100 nm Patterning, SPIE Advanced Lithography Paper, Molecular Imprints, Inc., Texas, USA, (Feb. 2007), pp. 1-10.
Li et al., A Method for Patterning Multiple Types of Cells by Using Electrochemical Desorption of Self-Assembled Monolayers within Microfluidic Channels, Angew. Chem. Int. Ed., vol. 46, (2007), pp. 1094-1096.
Li et al., Block Copolymer Patterns and Templates, Materials Today, vol. 9, No. 9, (Sep. 2006), pp. 30-39.
Li et al., Creation of Sub-20-nm Contact Using Diblock Copolymer on a 300 mm Wafer for Complementary Metal Oxide Semiconductor Applications, J. Vac. Sci. Technol., vol. B 25, No. 6, (Nov./Dec. 2007), pp. 1982-1984.
Li et al., Morphology Change of Asymmetric Diblock Copolymer Micellar Films During Solvent Annealing, ScienceDirect, Polymer 48, (2007), pp. 2434-2443.
Li, H, W. Huck; "Ordered Block-Copolymer Assembly Using Nanoimprint Lithography". Nano. Lett (2004), vol. 4, No. 9, p. 1633-1636.
Lin et al., A Rapid Route to Arrays of Nanostructures in Thin Films, Adv. Mater. 2002, vol. 14, No. 19, (Oct. 2, 2002), pp. 1373-1376.
Lin-Gibson et al., Structure-Property Relationships of Photopolymerizable Poly(ethylene glycol) Dimethacrylate Hydrogels, Macromolecules 2005, 38, American Chemical Society, (2005), pp. 2897-2902.
Liu et al., Pattern Transfer Using Poly(styrene-block-methyl methacrylate) Copolymer Films and Reactive Ion Etching, J. Vac. Sci. Technol. B, vol. 25, No. 6, (Nov./Dec. 2007), pp. 1963-1968.
Loo et al., Additive, Nanoscale Patterning of Metal Films with a Stamp and a Surface Chemistry Mediated Transfer Process: Applications in Plastic Electronics, Applied Physics Letters, vol. 81, No. 3, (Jul. 15, 2002), pp. 562-564.
Lopes et al., Hierarchical Self-Assembly of Metal Nanostructures on Diblock Copolymer Scaffolds, Nature, vol. 414, (Dec. 13, 2001), pp. 735-738.
Lutolf et al., Cell-Responsive Synthetic Hydrogels, Adv. Mater., vol. 15, No. 11, (Jun. 2003), pp. 888-892.
Lutolf et al., Synthetic Biomaterials as Instructive Extracellular Microenvironments for Morphogenesis in Tissue Engineering, Nature Biotechnology, vol. 23, (2005), pp. 47-55, abstract only.
Lutz, 1,3-Dipolar Cycloadditions of Azides and Alkynes: A Universal Ligation Tool in Polymer and Materials Science, Angew. Chem. Int. Ed., vol. 46, (2007), pp. 1018-1025.
Malenfant et al., Self-Assembly of an Organic-Inorganic Block Copolymer for Nano-Ordered Ceramics, Nature Nanotechnology, vol. 2, (Jan. 2007), pp. 43-46.
Malkoch et al., Synthesis of Well-defined Hydrogel Networks Using Click Chemistry, Chem. Commun., The Royal Society of Chemistry, (2006), pp. 2774-2776.
Mansky et al., Controlling Polymer-Surface Interactions with Random Copolymer Brushes, Science, vol. 275, (Mar. 7, 1997), pp. 1458-1460.
Martens et al., Characterization of Hydrogels Formed from Acrylate Modified Poly(vinyl alcohol) Macromers, Polymer, vol. 41, Issue 21, (Oct. 2000), pp. 7715-7722, abstract only.
Matsuda et al., Photoinduced Prevention of Tissue Adhesion, ASAIO J, vol. 38, No. 3, (Jul.-Sep. 1992), pp. M154-7, abstract only.
Maye et al., Chemical Analysis Using Force Microscopy, Journal of Chemical Education, vol. 79, No. 2, (Feb. 2002), pp. 207-210.
Melde et al., Silica Nanostructures Templated by Oriented Block Copolymer Thin Films Using Pore-Filling and Selective-Mineralization Routes, Chem. Mater., vol. 17, No. 18, (Aug. 13, 2005), pp. 4743-4749.
Metters et al., Network Formation and Degradation Behavior of Hydrogels Formed by Michael-Type Addition Reactions, Biomacromolecules 2005, vol. 6, (2005), pp. 290-301.
Meyer et al., Controlled Dewetting Processes on Microstructured Surfaces-a New Procedure for Thin Film Microstructuring, Macromollecular Mater. Eng., vol. 276/277, (2000), pp. 44-50.
Mezzenga et al., On the Role of Block Copolymers in Self-Assembly of Dense Colloidal Polymeric Systems, Langmuir 2003, vol. 19, No. 20, (2003), pp. 8144-8147.
Mindel et al., A Study of Bredig Platinum Sols, The Chemical Laboratories of New York University, vol. 65, (Jun. 10, 1943), pp. 2112.
Naito et al., 2.5-Inch Disk Patterned Media Prepared by an Artificially Assisted Self-Assembling Method, IEEE Transactions on Magnetics, vol. 38, No. 5, (Sep. 2002), pp. 1949-1951.
Nealey et al., Self-Assembling Resists for Nanolithography, IProceedings of the IEEE International Electron Devices Meeting, IEDM Technical Digest, (2005), pp. 356-359.
Nguyen, K. T., et al., Photopolymerizable Hydrogels for Tissue Engineering Applications, Biomaterials 23, (2002), pp. 4307-4314.
Nishikubo, T., Chemical Modification of Polymers via a Phase-Transfer Catalyst or Organic Strong Base, American Chemical Society Symposium Series, (1997), pp. 214-230.
Niu et al., Selective Assembly of Nanoparticles on Block Copolymer by Surface Modification, Nanotechnology, vol. 18, (2007), pp. 1-4.
Niu et al., Stability of Order in Solvent-Annealed Block Copolymer Thin Films, Macromolecules, vol. 36, No. 7, 2003, Univ. Of Nebraska, USA, pp. 2428-2440, (web release date: Mar. 13, 2003) (http://digitalcommons.uni.edu/cgi/viewcontent.cgi?article+1005&contect=chemeng-nanotechnology).
Olayo-Valles et al. Large Area Nanolithographic Templates by Selective Etching of Chemically Stained Block Copolymer Thin Films, J. Mater. Chem., vol. 14, (2004), pp. 2729-2731.
Parejo et al., Highly Efficient UV-absorbing Thin-film Coatings for Protection of Organic Materials Against Photodegradation, J. Mater. Chem., vol. 16, (2006), pp. 2165-2169.
Park et al., Block Copolymer Lithography: Periodic Arrays of 1011 Holes in 1 Square Centimeter, Science, vol. 276, No. 5317, (May 30, 1997), pp. 1401-1404.
Park et al., Block Copolymer Multiple Patterning Integrated with Conventional ArF Lithography, Soft Matter, vol. 6, (2010), pp. 120-125.
Park et al., Controlled Ordering of Block Copolymer Thin Films by the Addition of Hydrophilic Nanoparticles, Macromolecules 2007, vol. 40, No. 22, (2007), pp. 8119-8124.
Park et al., Directed assembly of lamellae-forming block copolymers using chemically and topographically patterned substrates, Advanced Materials, vol. 19, No. 4, (Feb. 2007), pp. 607-611.
Park et al., Enabling Nanotechnology with Self Assembled Block Copolymer Patterns, Polymer 44, 2003, pp. 6725-6760.
Park et al., Fabrication of Highly Ordered Silicon Oxide Dots and Stripes from Block Copolymer Thin Films, Advanced Materials, vol. 20, (2008), pp. 681-685.
Park et al., High-Aspect-Ratio Cylindrical Nanopore Arrays and Their Use for Templating Titania Nanoposts, Advanced Materials, vol. 20, (2008), pp. 738-742.
Park et al., The Fabrication of Thin Films with Nanopores and Nanogrooves from Block Copolymer Thin Films on the Neutral Surface of Self-assembled Monolayers, Nanotechnology, vol. 18, (2007), pp. 1-7.
Peng, J., et al., Development of Nanodomain and Fractal Morphologies in Solvent Annealed Block copolymer Thin Films, Macromol. Rapid Commun., vol. 28, (2007), pp. 1422-1428.
Peters et al., Combining Advanced Lithographic Techniques and Self-assembly of Thin Films of Diblock Copolymers to Produce Templates for Nanofabrication, J. Vac. Sci. Technol. B, vol. 18, No. 6, (Nov./Dec. 2000), pp. 3530-3532.
Peters et al., Morphology of Thin Films of Diblock Copolymers on Surfaces Micropatterned with Regions of Different Interfacial Energy, Macromolecules, vol. 35, No. 5, (2002), pp. 1822-1834.
Potemkin et al., Effect of the Molecular Weight of AB Diblock Copolymers on the Lamellar Orientation in Thin Films: Theory and Experiment, Macromol. Rapid Commun., (2007), 28, pp. 579-584.
Reed et al., Molecular Random Access Memory Cell, Appl. Phys. Lett., vol. 78, No. 23, (Jun. 4, 2001), pp. 3735-3737.
Resnick et al., Initial Study of the Fabrication of Step and Flash Imprint Lithography Templates for the Printing of Contact Holes, Microlith., Microfab., Microsyst., vol. 3, No. 2, (Apr. 2004), pp. 316-321.
Rogers, J. A., Slice and Dice, Peel and Stick: Emerging Methods for Nanostructure Fabrication, ACS Nano, vol. 1, No. 3, (2007), pp. 151-153.
Rozkiewicz, Dorota I., et al., 'Click' Chemistry by Microcontact Printing, Angew. Chem. Int. Ed., vol. 45, (Jul. 12, 2006); pp. 5292-5296, 2006.
Ruiz et al., Density Multiplication and Improved Lithography by Directed Block Copolymer Assembly, Science, vol. 321, (Aug. 15, 2008), pp. 936-939.
Ruiz et al., Induced Orientational Order in Symmetric Diblock Copolymer Thin-Films, Advanced Materials, vol. 19, No. 4, (2007), pp. 587-591.
Ryu et a., Surface Modification with Cross-Linked Random Copolymers: Minimum Effective Thickness, Macromolecules, vol. 40, No. 12, (2007), pp. 4296-4300.
Sang et al., Epitaxial Self-Assembly of Block Copolymers on Lithographically Defined Nanopatterned Substrates, Nature, vol. 24, (Jul. 24, 2003), pp. 411-414.
Saraf et al., Spontaneous Planarization of Nanoscale Phase Separated Thin Film, Applied Physics Letters, vol. 80, No. 23, (Jun. 10, 2002), pp. 4425-4427.
Sato et al., Novel Antireflective Layer Using Polysilane for Deep Ultraviolet Lithography, J. Vac. Sci. Technol. B, vol. 17, No. 6, (Nov./Dec. 1999), pp. 3398-3401.
Sawhney et al., Bioerodible Hydrogels Based on Photopolymerized Poly(ethylene glycol)-co-poly(a-hydroxy acid) Diacrylate Macromers, Macromolecules 1993, vol. 26, (1993), pp. 581-587, abstract only.
Search Report of the IPO, Taiwanese Application No. 098109253, issued Aug. 22, 2012, one page.
Segalman, R. A., Patterning with Block Copolymer Thin Films, Materials Science and Engineering R 48, (2005), pp. 191-226.
Shahrjerdi et al., Fabrication of Ni Nanocrystal Flash Memories Using a Polymeric Self-Assembly Approach, IEEE Electron Device Letters, vol. 28, No. 9, (Sep. 2007), pp. 793-796.
Sharma et al., Ultrathin Poly(ethylene glycol) Films for Silicon-based Microdevices, Applied Surface Science, vol. 206, (2003), pp. 218-229.
Sigma-Aldrich, 312-315 Tutorial regarding Materials for Lithography/Nanopatterning, http://www.sigmaaldrich.com/Area-of-Interest/Chemistry/Materials-Science/Micro-and-Nanoelectronic website, (retrieved Aug. 27, 2007), 8 pages.
Sivaniah et al., Observation of Perpendicular Orientation in Symmetric Diblock Copolymer Thin Films on Rough Substrates, Macromolecules 2003, vol. 36, (2003), pp. 5894-5896.
Sivaniah et al., Symmetric Diblock Copolymer Thin Films on Rough Substrates, Kinetics and Structure Formation in Pure Block Copolymer Thin Films, Macromolecules 2005, vol. 38, (2005), pp. 1837-1849.
Sohn et al., Fabrication of the Multilayered Nanostructure of Alternating Polymers and Gold Nanoparticles with Thin Films of Self-Assembling Diblock Copolymers, Chem. Mater., vol. 13, (2001), pp. 1752-1757.
Solak, H. H., Nanolithography with Coherent Extreme Ultraviolet Light, Journal of Physics D: Applied Physics, vol. 39, (2006), pp. R171-188.
Srinvivasan et al., Scanning Electron Microscopy of Nanoscale Chemical Patterns, ACS Nano, vol. 1, No. 3, (2007), pp. 191-201.
Stoykovich et al., Directed Assembly of Block Copolymer Blends into Nonregular Device-Oriented Structures, Science, vol. 308, (Jun. 3, 2005), pp. 1442-1446.
Stoykovich, M. P., et al., Directed Self-Assembly of Block Copolymers for Nanolithography: Fabrication of Isolated Features and Essential Integrated Circuit Geometries, ACS Nano, vol. 1, No. 3, (2007), pp. 168-175.
Sundrani et al., Guiding Polymers to Perfection: Macroscopic Alignment of Nanoscale Domains, Nano Lett., vol. 4, No. 2, (2004), pp. 273-276.
Sundrani et al., Hierarchical Assembly and Compliance of Aligned Nanoscale Polymer Cylinders in Confinement, Langmuir 2004, vol. 20, No. 12, (2004), pp. 5091-5099.
Tadd et al, Spatial Distribution of Cobalt Nanoclusters in Block Copolymers, Langmuir, vol. 18, (2002), pp. 2378-2384.
Tang et al., Evolution of Block Copolymer Lithography to Highly Ordered Square Arrays, Science, vol. 322, No. 5900, (Sep. 25, 2008), pp. 429-432.
Trimbach et al., Block Copolymer Thermoplastic Elastomers for Microcontact Printing, Langmuir, vol. 19, (2003), pp. 10957-10961.
Truskett et al., Trends in Imprint Lithography for Biological Applications, Trends in Biotechnology, vol. 24, No. 7, (Jul. 2006), pp. 312-315.
Tseng et al., Enhanced Block Copolymer Lithography Using Sequential Infiltration Synthesis, J. of Physical Chemistry, (Jul. 11, 2011), 16 pgs.
Van Poll et al., Self-Assembly Approach to Chemical Micropatterning of Poly(dimethylsiloxane), Angew. Chem. Int. Ed. 2007, vol. 46, (2007), pp. 6634-6637.
Wang et al., One Step Fabrication and characterization of Platinum Nanopore Electrode Ensembles formed via Amphiphilic Block Copolymer Self-assembly, Electrochimica Acta 52, (2006), pp. 704-709.
Wathier et al., Dendritic Macromers as in Situ Polymerizing Biomaterials for Securing Cataract Incisions, J. Am. Chem. Soc., vol. 126, No. 40, (2004), pp. 12744-12745, abstract only.
Winesett et al., Tuning Substrate Surface Energies for Blends of Polystyrene and Poly(methyl methacrylate), Langmuir 2003, vol. 19, (2003), pp. 8526-8535.
WIPF, Handbook of Reagents for Organic Synthesis, John Wiley & Sons Ltd., (2005), p. 320.
Wu et al., Self-Assembled Two-Dimensional Block Copolymers on Pre-patterned Templates with Laser Interference Lithography, IEEE, (2007), pp. 153-154.
Xia et al., An Approach to Lithographically Defined Self-Assembled Nanoparticle Films, Advanced Materials, vol. 18, (2006), pp. 930-933.
Xia et al., Soft Lithography, Annu. Rev. Mater. Sci., vol. 28, (1998), pp. 153-184.
Xiao et al., Graphoepitaxy of Cylinder-forming Block Copolymers for Use as Templates to Pattern Magnetic Metal Dot Arrays, Nanotechnology 16, IPO Publishing Ltd, UK (2005), pp. S324-S329.
Xu et al., Electric Field Alignment of Symmetric Diblock Copolymer Thin Films, Macromolecules, (2003), 5 pgs.
Xu et al., Interfacial Interaction Dependence of Microdomain Orientation in Diblock Copolymer Thin Films, Macromolecules, vol. 38, (2005), pp. 2802-2805.
Xu et al., Surface-Initiated Atom Transfer Radical Polymerization from Halogen-Terminated Si(111) (Si-X, X =Cl, Br) Surfaces for the Preparation of Well-Defined Polymer-Si Hybrids, Langmuir, vol. 21, No. 8, (2005), pp. 3221-3225.
Xu et al., The Influence of Molecular Weight on Nanoporous Polymer Films, Polymer 42, Elsevier Science Ltd., (2001), pp. 9091-9095.
Yamaguchi et al., Resist-Pattern Guided Self-Assembly of Symmetric Diblock Copolymer, Journal of Photopolymer Science and Technology, vol. 19, No. 3, (2006), pp. 385-388.
Yamaguchi et al., Two-dimensional Arrangement of Vertically Oriented Cylindrical Domains of Diblock Copolymers Using Graphoepitaxy with Artificial Guiding Pattern Layout, Microprocesses and Nanotechnology, 2007, Conference date Nov. 5-8, 2007, pp. 434-435.
Yan et al., Preparation and Phase Segregation of Block Copolymer Nanotube Multiblocks, J. Am. Chem. Soc., vol. 126, No. 32, (2004), pp. 10059-10066.
Yang et al., Covalently Attached Graft Polymer Monolayer on Organic Polymeric Substrate via Confined Surface Inhibition Reaction, J. Polymer Sci.-A-Polymer Chemistry Ed., vol. 45, Issue 5, (2007), pp. 745-755.
Yang et al., Guided Self-Assembly of Symmetric Diblock Copolymer Films on Chemically Nanopatterned Substrates, Macromolecules 2000, vol. 33, No. 26, (2000), pp. 9575-9582.
Yang et al., Nanoscopic Templates Using Self-assembled Cylindrical Diblock Copolymers for Patterned Media, J. Vac. Sci. Technol. B 22(6), (Nov./Dec. 2004), pp. 3331-3334.
Yu et al., Contact Printing Beyond Surface Roughness: Liquid Supramolecular Nanostamping, Advanced Materials, vol. 19, (2007), pp. 4338-4342.
Yurt et al., Scission of Diblock Copolymers into Their Constituent Blocks, Macromolecules 2006, vol. 39, No. 5, (2006), pp. 1670-1672.
Zehner et al., Selective Decoration of a Phase-Separated Diblock Copolymer with Thiol-Passivated Gold Nanocrystals, Langmuir, vol. 14, No. 2, (Jan. 20, 1998), pp. 241-244.
Zhang et al., Highly Ordered Nanoporous Thin Films from Cleavable Polystyrene-block-poly(ethylene oxide),Adv. Mater., vol. 19, (2007), pp. 1571-1576.
Zhang et al., Phase Change Nanodot Arrays Fabricated Using a Self-Assembly Diblock Copolymer Approach, Applied Physics Letter, vol. 91, (2007), pp. 013104-013104-3.
Zhang Et Al., Self-Assembled Monolayers of Terminal Alkynes on Gold, J. Am. Chem. Soc., vol. 129, No. 16, (2007), pp. 4876-4877.
Zhao et al., Colloidal Subwavelength Nanostructures for Antireflection Optical Coatings, Optics Letters, vol. 30, No. 14, (Jul. 15, 2005), pp. 1885-1887.
Zhou et al., Nanoscale Metal/Self-Assembled Monolayer/Metal Heterostructures, Appl. Phys. Lett., vol. 71, No. 5, (Aug. 4, 1997), pp. 611-613.
Zhu et al., Grafting of High-Density Poly(Ethylene Glycol) Monolayers on Si(111), Langmuir, vol. 17, (2001), pp. 7798-7803.
Zhu et al., Molecular Assemblies on Silicon Surfaces via Si-O Linkages, Langmuir, vol. 16, (2000), pp. 6766-6772.

Also Published As

Publication number Publication date
KR101121303B1 (en) 2012-03-22
US8633112B2 (en) 2014-01-21
US20120223053A1 (en) 2012-09-06
CN101978469A (en) 2011-02-16
JP2011515537A (en) 2011-05-19
TWI391992B (en) 2013-04-01
TW201003741A (en) 2010-01-16
WO2009117243A1 (en) 2009-09-24
JP5136869B2 (en) 2013-02-06
US20160218032A1 (en) 2016-07-28
US20090236309A1 (en) 2009-09-24
US11282741B2 (en) 2022-03-22
US10153200B2 (en) 2018-12-11
CN101978469B (en) 2012-11-21
KR20100128334A (en) 2010-12-07
EP2281299B1 (en) 2014-12-10
EP2281299A1 (en) 2011-02-09
US20190115252A1 (en) 2019-04-18
US20140107296A1 (en) 2014-04-17
US8426313B2 (en) 2013-04-23

Similar Documents

Publication Publication Date Title
US11282741B2 (en) Methods of forming a semiconductor device using block copolymer materials
US8642157B2 (en) One-dimensional arrays of block copolymer cylinders and applications thereof
US8455082B2 (en) Polymer materials for formation of registered arrays of cylindrical pores
US8993088B2 (en) Polymeric materials in self-assembled arrays and semiconductor structures comprising polymeric materials
US9142420B2 (en) Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
US9257256B2 (en) Templates including self-assembled block copolymer films
US8512846B2 (en) Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
US8425982B2 (en) Methods of improving long range order in self-assembly of block copolymer films with ionic liquids

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCF Information on status: patent grant

Free format text: PATENTED CASE

AS Assignment

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT, CALIFORNIA

Free format text: SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038669/0001

Effective date: 20160426

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGEN

Free format text: SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038669/0001

Effective date: 20160426

AS Assignment

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT, MARYLAND

Free format text: PATENT SECURITY AGREEMENT;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038954/0001

Effective date: 20160426

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL

Free format text: PATENT SECURITY AGREEMENT;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038954/0001

Effective date: 20160426

CC Certificate of correction
AS Assignment

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT, CALIFORNIA

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE REPLACE ERRONEOUSLY FILED PATENT #7358718 WITH THE CORRECT PATENT #7358178 PREVIOUSLY RECORDED ON REEL 038669 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:043079/0001

Effective date: 20160426

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGEN

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE REPLACE ERRONEOUSLY FILED PATENT #7358718 WITH THE CORRECT PATENT #7358178 PREVIOUSLY RECORDED ON REEL 038669 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:043079/0001

Effective date: 20160426

AS Assignment

Owner name: JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT, ILLINOIS

Free format text: SECURITY INTEREST;ASSIGNORS:MICRON TECHNOLOGY, INC.;MICRON SEMICONDUCTOR PRODUCTS, INC.;REEL/FRAME:047540/0001

Effective date: 20180703

Owner name: JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT, IL

Free format text: SECURITY INTEREST;ASSIGNORS:MICRON TECHNOLOGY, INC.;MICRON SEMICONDUCTOR PRODUCTS, INC.;REEL/FRAME:047540/0001

Effective date: 20180703

AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT;REEL/FRAME:047243/0001

Effective date: 20180629

AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT;REEL/FRAME:050937/0001

Effective date: 20190731

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4

AS Assignment

Owner name: MICRON SEMICONDUCTOR PRODUCTS, INC., IDAHO

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT;REEL/FRAME:051028/0001

Effective date: 20190731

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT;REEL/FRAME:051028/0001

Effective date: 20190731

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8