US8716750B2 - Semiconductor device having epitaxial structures - Google Patents

Semiconductor device having epitaxial structures Download PDF

Info

Publication number
US8716750B2
US8716750B2 US13/189,570 US201113189570A US8716750B2 US 8716750 B2 US8716750 B2 US 8716750B2 US 201113189570 A US201113189570 A US 201113189570A US 8716750 B2 US8716750 B2 US 8716750B2
Authority
US
United States
Prior art keywords
concentration
layer
epitaxial structures
semiconductor material
single layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active, expires
Application number
US13/189,570
Other versions
US20130026538A1 (en
Inventor
Chin-I Liao
Teng-Chun Hsuan
I-Ming Lai
Chin-Cheng Chien
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
United Microelectronics Corp
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to US13/189,570 priority Critical patent/US8716750B2/en
Assigned to UNITED MICROELECTRONICS CORP. reassignment UNITED MICROELECTRONICS CORP. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHIEN, CHIN-CHENG, HSUAN, TENG-CHUN, LAI, I-MING, LIAO, CHIN-I
Publication of US20130026538A1 publication Critical patent/US20130026538A1/en
Priority to US14/203,581 priority patent/US20140191285A1/en
Application granted granted Critical
Publication of US8716750B2 publication Critical patent/US8716750B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Definitions

  • the present invention relates to a semiconductor device having epitaxial structures, and more particularly, to a semiconductor device having an epitaxial source/drain.
  • Epitaxial structures are used in a wide variety of semiconductor applications.
  • the prior art usually forms an epitaxial layer such as a silicon germanium (hereinafter abbreviated as SiGe) layer in a single crystal substrate by performing a selective epitaxial growth (hereinafter abbreviated as SEG) method. Since the epitaxial layer has the crystalline orientation almost identical to the crystalline orientation of the substrate, the epitaxial layers serves as a raised source/drain or a recessed source/drain for the semiconductor device. Because the lattice constant of the epitaxial SiGe layer is larger than that of the silicon substrate, a strain stress is generated to the channel region of the meta-oxide semiconductor (MOS) transistor device. Accordingly, carrier mobility in the channel region is improved and the speed of the MOS transistor is increased.
  • MOS meta-oxide semiconductor
  • the epitaxial SiGe layer efficiently improves device performance, it increases complexity of the semiconductor fabrication and difficulties of the process control. For example, it is well-known that the stress is increased when the germanium concentration in the SiGe epitaxial structures is increased. However, the germanium concentration cannot be increased as expected: If the thickness of the epitaxial SiGe structure exceeds the critical thickness, it is relaxed and fails to cause stress to the channel region. Except the thickness issue, it is often found that agglomeration is formed by metal and germanium during the silicide process and causes serious junction leakage. Furthermore, the prior art also observes that because the lattice constants in the interface between the SiGe epitaxial structures and the silicon substrate are so different that the threshold voltage (hereinafter abbreviated as Vt) roll-off occurs.
  • Vt threshold voltage
  • the epitaxial structure is able to improve the device performance, it is always in need to improve the epitaxial structure itself.
  • a semiconductor device having epitaxial structures includes a gate structure positioned on a substrate, epitaxial structures formed in the substrate at two sides of the gate structure, and an undoped cap layer formed on the epitaxial structures.
  • the epitaxial structure includes a dopant, a first semiconductor material having a first lattice constant, and a second semiconductor material having a second lattice constant.
  • the second lattice constant is larger than the first lattice constant.
  • the undoped cap layer includes the first semiconductor material and the second semiconductor material.
  • the second semiconductor material in the epitaxial structures has a first concentration
  • the second semiconductor material in the undoped cap layer has a second concentration
  • the second concentration is lower than the first concentration.
  • a semiconductor device having epitaxial structures includes a gate structure positioned on a substrate, a pair of recesses formed in the substrate respectively at two sides of the gate structure, epitaxial structures respectively formed in recesses at the two sides of the gate structure, and an undoped under layer formed in the recesses and in between the epitaxial structure and the substrate.
  • the epitaxial structures include a first semiconductor material having a first lattice constant and a second semiconductor material having a second lattice constant.
  • the second lattice constant is larger than the first lattice constant.
  • the undoped under layer covers sidewalls and a bottom of the recess and has the first semiconductor material and the second semiconductor material.
  • the second semiconductor material in the epitaxial structures has a first concentration
  • the second semiconductor material in the undoped under layer has a second concentration
  • the second concentration is lower than the first concentration.
  • the epitaxial structures serving as the source/drain of the semiconductor device includes the undoped cap layer with lower Ge concentration formed on the surface of the epitaxial structures, therefore the agglomeration formed by the metal and Ge in the silicide process and the junction leakage are both prevented. Furthermore, the semiconductor device having epitaxial structures provided by the present invention also includes the undoped under layer with lower Ge concentration formed in the recess and in between the epitaxial structure and the substrate, therefore the Vt roll-off issue, which is caused by the lattice constant difference between the SiGe epitaxial structure and the silicon substrate, is mitigated.
  • FIG. 1 is a schematic drawing illustrating a semiconductor device having epitaxial structures provided by a first preferred embodiment of the present invention.
  • FIG. 2 is a schematic drawing illustrating a semiconductor device having epitaxial structures provided by a second preferred embodiment of the present invention.
  • FIG. 3 is a schematic drawing illustrating a semiconductor device having epitaxial structures provided by a third preferred embodiment of the present invention.
  • FIG. 4 is a schematic drawing illustrating a semiconductor device having epitaxial structures provided by a fourth preferred embodiment of the present invention.
  • FIG. 5 is a schematic drawing illustrating a semiconductor device having epitaxial structures provided by a fifth preferred embodiment of the present invention.
  • FIG. 1 is a schematic drawing illustrating a semiconductor device having epitaxial structures provided by a first preferred embodiment of the present invention.
  • a semiconductor device 100 having epitaxial structures provided by the preferred embodiment includes a substrate 102 and a gate structure 110 formed on the substrate 102 .
  • the gate structure 110 includes a gate insulating layer 112 , and the gate insulating layer 112 can include high dielectric constant (high-k) material or silicon oxide.
  • the gate structure 110 also includes a gate electrode 114 defined by a patterned hard mask 116 .
  • the gate electrode 114 can include metal or polysilicon.
  • the semiconductor device 100 also includes lightly-doped drains (LDDs) 118 formed in the substrate 102 at two sides of the gate structure 110 , a spacer 120 formed on sidewalls of the gate structure 110 , and a pair of recesses 122 formed in the substrate 102 respectively at the two sides of the gate structure 110 , particularly at two sides of the spacer 120 .
  • LDDs lightly-doped drains
  • the semiconductor device 100 After performing a cleaning process to remove native oxides or other contaminants from the substrate 102 , a SEG method is performed to form an epitaxial structure 130 respectively in the recess 122 .
  • the semiconductor device 100 provided by the preferred embodiment also includes epitaxial structures 130 positioned in the substrate 102 respectively at the two sides of the gate structure 110 , particularly in the recess 122 at the two sides of gate structure 110 .
  • the epitaxial structure 130 includes a first semiconductor material and a second semiconductor material.
  • the first semiconductor material has a first lattice constant while the second semiconductor material has a second lattice constant, and the second lattice constant is larger than the first lattice constant.
  • the first semiconductor material includes Si and the second semiconductor material includes Ge. That means the epitaxial structure 130 includes SiGe, but not limited to this.
  • a concentration of the second semiconductor material that is the Ge concentration, is exemplarily but not limited to about 36% in the preferred embodiment. Because the lattice constant of SiGe is larger than that of the substrate, the epitaxial SiGe structure serves as a strained-silicon structure and causes changes to band structure. Therefore the carrier mobility in the channel region is increased and the performance of the semiconductor device 100 is consequently improved.
  • a surface of the epitaxial structures 130 and a surface of the substrate 102 are not coplanar. In detail, the surface of the epitaxial structure 130 is higher than the surface of the substrate 102 .
  • ion implantation can be performed before or after forming the epitaxial structures 130 , or during forming the epitaxial structures 130 .
  • dopants required by different conductivity types of the semiconductor device 100 are implanted into the epitaxial structures 130 .
  • the implanted epitaxial structures 130 include the required p-type or n-type dopant and serve as a source/drain of the semiconductor device 100 . Because the ion implantation and choices of the dopant are well-known to those skilled in the art, the details are omitted herein in the interest of brevity.
  • a cap layer can be formed on the surface of the epitaxial structure 130 .
  • a pure silicon cap layer (not shown) can be formed on the epitaxial structures 130 for serving as a reacting subject in the silicide process.
  • the Ge concentration in the pure silicon cap layer is 0%, which is distinctly different from the Ge concentration in the epitaxial structures 130 (36%), it is found that the pure silicon cap layer obtains a wavy surface.
  • an atomic force microscope hereinafter abbreviated as AFM
  • RMS root mean square
  • the preferred embodiment provides a not pure silicon undoped cap layer 140 formed on the epitaxial structures 130 .
  • the undoped cap layer 140 includes the first semiconductor material and the second semiconductor material as mentioned above, that is SiGe. According to the preferred embodiment, the undoped cap layer 140 is a single layer as shown in FIG. 1 .
  • a concentration of the second semiconductor material in the undoped cap layer 140 is lower than the Ge concentration in the epitaxial structures 130 , and is exemplarily about 25%.
  • a thickness of the undoped cap layer 140 is, exemplarily but not limited to, 150 angstroms ( ⁇ ) in the preferred embodiment.
  • the semiconductor device 100 provided by the preferred embodiment can further include an undoped under layer 150 positioned in the recess 122 and in between the epitaxial structure 130 and the substrate 102 .
  • the undoped under layer 150 covers sidewalls and a bottom of the recess 122 .
  • the undoped under layer 150 can be a single layer or multi-layer and includes the abovementioned first semiconductor material and second semiconductor material. That means the undoped under layer 150 include SiGe.
  • the second semiconductor material in the undoped under layer 150 has a concentration lower than the Ge concentration in the epitaxial structures 130 , and is between 10% and 25%. More important, the concentration of the second semiconductor material in the undoped under layer 150 is upwardly increased. It is noteworthy that details about the undoped under layer 150 will be described in the following preferred embodiments, therefore those details are omitted herein for simplicity.
  • the not pure silicon undoped cap layer 140 is formed on the surface of the epitaxial structures 130 .
  • the undoped cap layer 140 includes SiGe and the Ge concentration is preferably about 25%. Since the Ge concentration in the undoped cap layer 140 is about 25% and the Ge concentration in the epitaxial structures 130 is about 36%, the difference between the two Ge concentrations are much less. Consequently, the undoped cap layer 140 formed on the surface of the epitaxial structures 130 obtains a smooth surface, and an AFM measured RMS roughness of the undoped cap layer 140 is about 0.75 nm.
  • FIG. 2 is a schematic drawing illustrating a semiconductor device having epitaxial structures provided by a second preferred embodiment of the present invention. It is noteworthy that elements the same in the first and second preferred embodiments are depicted by the same numerals.
  • a semiconductor device 100 having epitaxial structures provided by the preferred embodiment includes a substrate 102 and a gate structure 110 formed on the substrate 102 .
  • the gate structure 110 includes a gate insulating layer 112 , and the gate insulating layer 112 can include high-k material or silicon oxide.
  • the gate structure 110 also includes a gate electrode 114 defined by a patterned hard mask 116 .
  • the gate electrode 114 can include metal or polysilicon.
  • the semiconductor device 100 also includes LDDs 118 formed in the substrate 102 at two sides of the gate structure 110 , a spacer 120 formed on sidewalls of the gate structure 110 , and a pair of recesses 122 formed in the substrate 102 respectively at the two sides of the gate structure 110 , particularly at two sides of the spacer 120 .
  • the semiconductor device 100 provided by the preferred embodiment also includes epitaxial structures 130 positioned in the substrate 102 respectively at the two sides of the gate structure 110 , particularly in the recess 122 at the two sides of gate structure 110 .
  • the epitaxial structure 130 includes a first semiconductor material and a second semiconductor material.
  • the first semiconductor material has a first lattice constant while the second semiconductor material has a second lattice constant, and the second lattice constant is larger than the first lattice constant.
  • the first semiconductor material includes Si and the second semiconductor material includes Ge. That means the epitaxial structure 130 includes SiGe, but not limited to this.
  • a concentration of the second semiconductor material that is the Ge concentration, is exemplarily but not limited to, about 36% in the preferred embodiment.
  • a surface of the epitaxial structures 130 is higher than a surface of the substrate 102 .
  • the preferred embodiment provides an undoped cap layer 140 formed on the epitaxial structures 130 .
  • the undoped cap layer 140 provided by the preferred embodiment includes at least a first single layer 140 a and a second single layer 140 b as shown in FIG. 2 , and the first single layer 140 a is formed between the second single layer 140 b and the epitaxial structure 130 .
  • the undoped cap layer 140 (including the first single layer 140 a and the second single layer 140 b ) includes the first semiconductor material and the second semiconductor material as mentioned above, that is SiGe.
  • Ge in the first single layer 140 a has a first concentration
  • Ge in the second single layer 140 b has a second concentration
  • the first concentration and the second concentration are all lower than the Ge concentration in the epitaxial structures 130
  • the second concentration is lower than the first concentration.
  • the first concentration is preferably about 25% and the second concentration is preferably about 0%.
  • the second preferred embodiment provides a multilayered cap layer 140 having Ge concentration upwardly decreased.
  • a thickness of the undoped cap layer 140 is, exemplarily but not limited to, 150 ⁇ in the preferred embodiment. It is also noteworthy that a thickness of the first single layer 140 a and a thickness of the second single layer 140 b have a ratio, and the ratio is about 1:2 according to the preferred embodiment.
  • the semiconductor device 100 provided by the preferred embodiment can also include an undoped under layer 150 . Since details about the undoped under layer 150 will be described in the following preferred embodiments, therefore those details are omitted herein for simplicity.
  • the multilayered cap layer 140 is formed on the surface of the epitaxial structures 130 .
  • the multilayered cap layer 140 includes the first single layer 140 a and the second single layer 140 b .
  • Both the first single layer 140 a and the second single layer 140 b include SiGe and the Ge concentration in the first single layer 140 a is preferably about 25% while the Ge concentration in the second single layer 140 b is preferably about 0%.
  • a thickness of the second single layer 140 b is larger than a thickness of the first single layer 140 a . That means the multilayered cap layer 140 has the Ge concentration upwardly decreased.
  • the first single layer 140 a (Ge concentration of about 25%) is form between the second single layer 140 b (Ge concentration of about 0%) and the epitaxial structure 130 (Ge concentration of about 36%), the first single layer 140 a serves as a buffer layer between the second single layer 140 b and the epitaxial structure 130 . Consequently, the multilayered cap layer 140 formed on the surface of the epitaxial structures 130 obtains a smoother surface, and an AFM measured RMS roughness of the multilayered cap layer 140 is about 0.76 nm. Compared with the RMS roughness of the pure silicon cap layer, that is 4.21 nm, it is concluded that the surface roughness is substantially improved. Furthermore, since the Ge concentration of the second single layer 140 b in the multilayered cap layer 140 is 0%, the second single layer 140 b serves as the reacting subject in the silicide process. Thus the agglomeration problem in the silicide process is prevented.
  • FIG. 3 is a schematic drawing illustrating a semiconductor device having epitaxial structures provided by a third preferred embodiment of the present invention. It is noteworthy that elements the same in the first and third preferred embodiments such as the substrate 102 , the gate structure 110 , the LDDs 118 , the spacer 120 , the recesses 122 , and the epitaxial structures 130 formed in the recesses 122 are depicted by the same numerals, and those details are omitted herein for simplicity. Please still refer to FIG. 3 .
  • the semiconductor device 100 provided by the preferred embodiment also includes the SiGe epitaxial structures 130 , and the concentration of the second semiconductor material, that is the Ge concentration, is exemplarily but not limited to 36% in the preferred embodiment. In addition, a surface of the epitaxial structures 130 is higher than a surface of the substrate 102 as shown in FIG. 3 .
  • the preferred embodiment provides an undoped cap layer 140 formed on the epitaxial structures 130 .
  • the undoped cap layer 140 provided by the preferred embodiment is a multilayered cap layer 140 as shown in FIG. 3 .
  • the undoped cap layer 140 includes at least a first single layer 140 a , a second single layer 140 b and a third single layer 140 c .
  • the first single layer 140 a and the third single layer 140 c are formed between the second single layer 140 b and the epitaxial structure 130
  • the third single layer 140 c is formed between the first single layer 140 a and the second single layer 140 b .
  • the undoped cap layer 140 (including the first single layer 140 a , the second single layer 140 b and the third single layer 140 c ) includes the first semiconductor material and the second semiconductor material as mentioned above, that is SiGe.
  • Ge in the first single layer 140 a has a first concentration
  • Ge in the second single layer 140 b has a second concentration
  • Ge in the third single layer 140 c has a third concentration.
  • the first concentration, the second concentration, and the third concentration are all lower than the Ge concentration in the epitaxial structures 130 .
  • the first concentration is preferably about 25%
  • the second concentration is preferably about 0%
  • the third concentration is between the first concentration and the second concentration, preferably but not limited to about 10%.
  • the second preferred embodiment provides a multilayered cap layer 140 has the Ge concentration upwardly decreased.
  • a thickness of the undoped cap layer 140 is, exemplarily but not limited to, 150 ⁇ in the preferred embodiment. It is also noteworthy that a thickness of the first single layer 140 a , a thickness of the second single layer 140 b , and a thickness of the third single layer 140 c have a ratio, and the ratio is about 1:1:1 according to the preferred embodiment.
  • the semiconductor device 100 provided by the preferred embodiment can also include an undoped under layer 150 . Since details about the undoped under layer 150 will be described in the following preferred embodiments, therefore those details are omitted herein for simplicity.
  • the multilayered cap layer 140 is formed on the surface of the epitaxial structures 130 .
  • the multilayered cap layer 140 upwardly includes the first single layer 140 a , the third single layer 140 c , and the second single layer 140 b . All of the first single layer 140 a , the third single layer 140 c , and the second single layer 140 b include SiGe.
  • the Ge concentration in the first single layer 140 a is preferably about 25%
  • the Ge concentration in third single layer 140 c is preferably about 10%
  • the Ge concentration in the second single layer 140 b is preferably about 0%.
  • first single layer 140 a , the third single layer 140 c , and second single layer 140 b are substantially identical. That means the multilayered cap layer 140 has the Ge concentration upwardly decreased. Since the first single layer 140 a (Ge concentration of about 25%) and the third single layer 140 c (Ge concentration of about 10%) are formed between the second single layer 140 b (Ge concentration of about 0%) and the epitaxial structure 130 (Ge concentration of about 36%), the first single layer 140 a and the third single layer 140 c serve as buffer layers between the second single layer 140 b and the epitaxial structure 130 . Because the two single layers having upwardly decreased concentration are formed between the second single layer 140 b and the epitaxial structure 130 , the concentration gradient is flatter.
  • the cap layer 140 formed on the surface of the epitaxial structures 130 obtains a much smoother surface, and an AFM measured RMS roughness of the cap layer 140 is about 0.65 nm. Compared with the RMS roughness of the pure silicon cap layer, that is 4.21 nm, it is concluded that the surface roughness is much improved. Furthermore, since the Ge concentration of the second single layer 140 b in the undoped cap layer 140 is 0%, the second single layer 140 b serves as the reacting subject in the silicide process. Thus the agglomeration problem in the silicide process is prevented.
  • FIGS. 4-5 are schematic drawings respectively illustrating a semiconductor device having epitaxial structures provided by a fourth and fifth preferred embodiments of the present invention. It is noteworthy that elements the same in the first and fourth and fifth preferred embodiments such as the substrate 102 , the gate structure 110 , the LDDs 118 , the spacer 120 , the recesses 122 , and the epitaxial structures 130 formed in the recesses 122 are depicted by the same numerals, and those details are omitted herein for simplicity.
  • the fourth preferred embodiment provides an undoped under layer 150 (that is the under layer 150 mentioned in the first, second and third preferred embodiments) formed in the recesses 122 .
  • the undoped under layer 150 is formed between the epitaxial structure 130 and the substrate 102 and covers sidewalls and a bottom of the recess 122 .
  • the undoped under layer 150 includes the first semiconductor material and the second semiconductor material. In other words, the undoped under layer 150 includes SiGe.
  • the preferred embodiment provides a single undoped under layer 150 , and a Ge concentration of the undoped under layer 150 is lower than the Ge concentration of the epitaxial structures 130 , exemplarily is about 25%.
  • the undoped under layer 150 is provided to solve the problem that the lattice constant of the epitaxial structure 130 is distinctly different from that of the substrate 102 , the undoped under layer 150 covering the bottom of the recess 122 cannot be formed so thick that the undoped under layer 150 occupies the space for forming the epitaxial structures 130 , and thus reduces the strain stress provided to the channel region. Accordingly, a thickness of the undoped under layer 150 covering the sidewalls of the recess 122 and the thickness of the undoped under layer 150 covering the bottom of the recess 122 have a ratio and the ratio is about 1:1 in the preferred embodiment.
  • the undoped under layer 150 having Ge concentration of about 25% is formed in a process pressure of about 50 Torr, a ratio of the thickness of the single under layer 150 covering the sidewalls of the recess 122 and the thickness of the single under layer 150 covering the bottom of the recess 122 is about 1:2. Consequently, the space for forming the epitaxial structure 130 is reduced. Therefore the preferred embodiment forms the single under layer 150 in a process pressure of about 10 Torr. Accordingly, the thickness of the single under layer 150 covering the sidewalls of the recess 122 and the thickness of the single under layer 150 covering the bottom of the recess 122 approaches the expected ratio: 1:1.
  • the single under layer 150 is formed in between the epitaxial structure 130 and the substrate 102 .
  • the single under layer 150 includes SiGe and the Ge concentration in the single under layer 150 is preferably about 25%. Since the single under layer 150 (Ge concentration of about 25%) is form between the substrate 102 (Ge concentration of about 0%) and the epitaxial structure 130 (Ge concentration of about 36%), the single under layer 150 serves as a buffer layer between the substrate 102 and the epitaxial structure 130 . And thus the Vt roll-off problem caused by the distinctive difference between the lattice constant of the epitaxial structures 130 and that of the substrate 102 is mitigated.
  • the single under layer 150 is formed not to occupy the precious space used to form the epitaxial structure 130 .
  • the single under layer 150 provided by the preferred embodiment is able to solve the Vt roll-off problem without rendering impact to the strain stress.
  • FIG. 5 is a schematic drawing illustrating a semiconductor device having epitaxial structures provided by a fifth preferred embodiment of the present invention.
  • the fifth preferred embodiment provides an undoped under layer 150 (that is the undoped under layer 150 mentioned in the first, second and third preferred embodiments) formed in the recesses 122 .
  • the undoped under layer 150 is formed between the epitaxial structure 130 and the substrate 102 and covers sidewalls and a bottom of the recess 122 .
  • the undoped under layer 150 includes the first semiconductor material and the second semiconductor material.
  • the undoped under layer 150 includes SiGe.
  • the undoped under layer 150 is a multilayered under layer upwardly including a first single layer 150 a , a second single layer 150 b , and a third single layer 150 c .
  • Ge in the first single layer 150 a has a first concentration
  • Ge in second single layer 150 b has a second concentration
  • Ge in the third single layer 150 c has a third concentration.
  • the first concentration, the second concentration, and the third concentration are all lower than the Ge concentration of the epitaxial structures 130 .
  • the third concentration is larger than the second concentration and the second concentration is larger than the first concentration.
  • the first concentration is about 10%
  • the second concentration is about 17%
  • the third concentration is about 25%.
  • the Ge concentration of the multilayered under layer 150 provided by the preferred embodiment is upwardly increased.
  • a thickness of the first single layer 150 a , a thickness of the second single layer 150 b , and a thickness of the third single layer 150 c have a ratio, and the ratio is between 1:1:1 and 1:1:2. It is noteworthy that with increase of the Ge concentration in the first single layer 150 a , the second single layer 150 b , and the third single layer 150 c , the ratio of the thickness of the multilayered under layer 150 covering the sidewalls of the recess 122 and the thickness of the multilayered under layer 150 covering the bottom of the recess 122 is getting near to 1:1.
  • the multilayered under layer 150 is formed in between the epitaxial structure 130 and the substrate 102 .
  • the multilayered under layer 150 includes the first single layer 150 a , the second single layer 150 b , and the third single layer 150 c .
  • the first single layer 150 a , the second single layer 150 b and the third single layer 150 c all include SiGe.
  • the Ge concentrations in the first single layer 150 a , in the second single under layer 150 b and in the third single layer 150 c is increased from 10%, to 17%, and to 25%.
  • the multilayered under layer 150 serves as a buffer layer between the substrate 102 (having the Ge concentration of 0%) and the epitaxial structure 130 (having the Ge concentration of 36%). Because the three single layers having upwardly increased Ge concentration are formed between the substrate 102 and the epitaxial structure 130 , the concentration gradient is flatter. Consequently, the Vt roll-off problem caused by the distinctive difference between the lattice constant of the epitaxial structures 130 and that of the substrate 102 140 b is mitigated.
  • the multilayered under layer 150 is formed not to occupy the precious space used to form the epitaxial structures 130 .
  • the multilayered under layer 150 provided by the preferred embodiment is able to solve the Vt roll-off problem without rendering impact to the strain stress.
  • the undoped under layer 150 provided by the fourth and fifth preferred embodiments of the present invention and the undoped cap layer 140 provided by the first, second, and third preferred embodiments can be formed in different combination in order to improve the semiconductor device 100 having the epitaxial structures 130 .
  • the epitaxial structures serving as the source/drain of the semiconductor device includes the undoped cap layer with lower Ge concentration formed on the surface of the epitaxial structures, therefore the agglomeration between the metals and Ge in the silicide process and the junction leakage are both prevented. Furthermore, the semiconductor device having epitaxial structures provided by the present invention also includes the undoped under layer with lower Ge concentration formed in the recess and in between the epitaxial structure and the substrate, therefore the Vt roll-off issue, which is caused by lattice constant difference between the SiGe epitaxial structure and the silicon substrate, is mitigated.

Abstract

A semiconductor device having epitaxial structures includes a gate structure positioned on a substrate, epitaxial structures formed in the substrate at two sides of the gate structure, and an undoped cap layer formed on the epitaxial structures. The epitaxial structures include a dopant, a first semiconductor material having a first lattice constant, and a second semiconductor material having a second lattice constant, and the second lattice constant is larger than the first lattice constant. The undoped cap layer also includes the first semiconductor material and the second semiconductor material. The second semiconductor material in the epitaxial structures includes a first concentration, the second semiconductor material in the undoped cap layer includes at least a first concentration, and the second concentration is lower than the first concentration.

Description

BACKGROUND OF THE INVENTION
1. Field of the Invention
The present invention relates to a semiconductor device having epitaxial structures, and more particularly, to a semiconductor device having an epitaxial source/drain.
2. Description of the Prior Art
Epitaxial structures are used in a wide variety of semiconductor applications. For example, the prior art usually forms an epitaxial layer such as a silicon germanium (hereinafter abbreviated as SiGe) layer in a single crystal substrate by performing a selective epitaxial growth (hereinafter abbreviated as SEG) method. Since the epitaxial layer has the crystalline orientation almost identical to the crystalline orientation of the substrate, the epitaxial layers serves as a raised source/drain or a recessed source/drain for the semiconductor device. Because the lattice constant of the epitaxial SiGe layer is larger than that of the silicon substrate, a strain stress is generated to the channel region of the meta-oxide semiconductor (MOS) transistor device. Accordingly, carrier mobility in the channel region is improved and the speed of the MOS transistor is increased.
Although the epitaxial SiGe layer efficiently improves device performance, it increases complexity of the semiconductor fabrication and difficulties of the process control. For example, it is well-known that the stress is increased when the germanium concentration in the SiGe epitaxial structures is increased. However, the germanium concentration cannot be increased as expected: If the thickness of the epitaxial SiGe structure exceeds the critical thickness, it is relaxed and fails to cause stress to the channel region. Except the thickness issue, it is often found that agglomeration is formed by metal and germanium during the silicide process and causes serious junction leakage. Furthermore, the prior art also observes that because the lattice constants in the interface between the SiGe epitaxial structures and the silicon substrate are so different that the threshold voltage (hereinafter abbreviated as Vt) roll-off occurs.
Accordingly, though the epitaxial structure is able to improve the device performance, it is always in need to improve the epitaxial structure itself.
SUMMARY OF THE INVENTION
According to an aspect of the present invention, a semiconductor device having epitaxial structures is provided. The semiconductor device includes a gate structure positioned on a substrate, epitaxial structures formed in the substrate at two sides of the gate structure, and an undoped cap layer formed on the epitaxial structures. The epitaxial structure includes a dopant, a first semiconductor material having a first lattice constant, and a second semiconductor material having a second lattice constant. The second lattice constant is larger than the first lattice constant. The undoped cap layer includes the first semiconductor material and the second semiconductor material. The second semiconductor material in the epitaxial structures has a first concentration, the second semiconductor material in the undoped cap layer has a second concentration, and the second concentration is lower than the first concentration.
According to another aspect of the present invention, a semiconductor device having epitaxial structures is provided. The semiconductor device includes a gate structure positioned on a substrate, a pair of recesses formed in the substrate respectively at two sides of the gate structure, epitaxial structures respectively formed in recesses at the two sides of the gate structure, and an undoped under layer formed in the recesses and in between the epitaxial structure and the substrate. The epitaxial structures include a first semiconductor material having a first lattice constant and a second semiconductor material having a second lattice constant. The second lattice constant is larger than the first lattice constant. The undoped under layer covers sidewalls and a bottom of the recess and has the first semiconductor material and the second semiconductor material. The second semiconductor material in the epitaxial structures has a first concentration, the second semiconductor material in the undoped under layer has a second concentration, and the second concentration is lower than the first concentration.
According to the semiconductor device having epitaxial structures provided by the present invention, the epitaxial structures serving as the source/drain of the semiconductor device includes the undoped cap layer with lower Ge concentration formed on the surface of the epitaxial structures, therefore the agglomeration formed by the metal and Ge in the silicide process and the junction leakage are both prevented. Furthermore, the semiconductor device having epitaxial structures provided by the present invention also includes the undoped under layer with lower Ge concentration formed in the recess and in between the epitaxial structure and the substrate, therefore the Vt roll-off issue, which is caused by the lattice constant difference between the SiGe epitaxial structure and the silicon substrate, is mitigated.
These and other objectives of the present invention will no doubt become obvious to those of ordinary skill in the art after reading the following detailed description of the preferred embodiment that is illustrated in the various figures and drawings.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 is a schematic drawing illustrating a semiconductor device having epitaxial structures provided by a first preferred embodiment of the present invention.
FIG. 2 is a schematic drawing illustrating a semiconductor device having epitaxial structures provided by a second preferred embodiment of the present invention.
FIG. 3 is a schematic drawing illustrating a semiconductor device having epitaxial structures provided by a third preferred embodiment of the present invention.
FIG. 4 is a schematic drawing illustrating a semiconductor device having epitaxial structures provided by a fourth preferred embodiment of the present invention.
FIG. 5 is a schematic drawing illustrating a semiconductor device having epitaxial structures provided by a fifth preferred embodiment of the present invention.
DETAILED DESCRIPTION
Please refer to FIG. 1, which is a schematic drawing illustrating a semiconductor device having epitaxial structures provided by a first preferred embodiment of the present invention. As shown in FIG. 1, a semiconductor device 100 having epitaxial structures provided by the preferred embodiment includes a substrate 102 and a gate structure 110 formed on the substrate 102. The gate structure 110 includes a gate insulating layer 112, and the gate insulating layer 112 can include high dielectric constant (high-k) material or silicon oxide. The gate structure 110 also includes a gate electrode 114 defined by a patterned hard mask 116. The gate electrode 114 can include metal or polysilicon. The semiconductor device 100 also includes lightly-doped drains (LDDs) 118 formed in the substrate 102 at two sides of the gate structure 110, a spacer 120 formed on sidewalls of the gate structure 110, and a pair of recesses 122 formed in the substrate 102 respectively at the two sides of the gate structure 110, particularly at two sides of the spacer 120.
Please still refer to FIG. 1. After performing a cleaning process to remove native oxides or other contaminants from the substrate 102, a SEG method is performed to form an epitaxial structure 130 respectively in the recess 122. In other words, the semiconductor device 100 provided by the preferred embodiment also includes epitaxial structures 130 positioned in the substrate 102 respectively at the two sides of the gate structure 110, particularly in the recess 122 at the two sides of gate structure 110. The epitaxial structure 130 includes a first semiconductor material and a second semiconductor material. The first semiconductor material has a first lattice constant while the second semiconductor material has a second lattice constant, and the second lattice constant is larger than the first lattice constant. In the preferred embodiment, the first semiconductor material includes Si and the second semiconductor material includes Ge. That means the epitaxial structure 130 includes SiGe, but not limited to this. In addition, a concentration of the second semiconductor material, that is the Ge concentration, is exemplarily but not limited to about 36% in the preferred embodiment. Because the lattice constant of SiGe is larger than that of the substrate, the epitaxial SiGe structure serves as a strained-silicon structure and causes changes to band structure. Therefore the carrier mobility in the channel region is increased and the performance of the semiconductor device 100 is consequently improved. As shown in FIG. 1, a surface of the epitaxial structures 130 and a surface of the substrate 102 are not coplanar. In detail, the surface of the epitaxial structure 130 is higher than the surface of the substrate 102.
Furthermore, ion implantation can be performed before or after forming the epitaxial structures 130, or during forming the epitaxial structures 130. Thus dopants required by different conductivity types of the semiconductor device 100 are implanted into the epitaxial structures 130. Accordingly, the implanted epitaxial structures 130 include the required p-type or n-type dopant and serve as a source/drain of the semiconductor device 100. Because the ion implantation and choices of the dopant are well-known to those skilled in the art, the details are omitted herein in the interest of brevity.
As mentioned above, to prevent the epitaxial structure 130 from agglomeration formed by metals and Ge in the silicide process, a cap layer can be formed on the surface of the epitaxial structure 130. For example, a pure silicon cap layer (not shown) can be formed on the epitaxial structures 130 for serving as a reacting subject in the silicide process. However, since the Ge concentration in the pure silicon cap layer is 0%, which is distinctly different from the Ge concentration in the epitaxial structures 130 (36%), it is found that the pure silicon cap layer obtains a wavy surface. In detail, an atomic force microscope (hereinafter abbreviated as AFM) measured root mean square (hereinafter abbreviated as RMS) roughness of the pure silicon cap layer is about 4.21 nanometer (hereinafter abbreviated as nm). This wavy/rough surface renders adverse impact to the following processes, even to the strain stress provided by the epitaxial structures 130.
It is therefore assumed that the undesirable agglomeration problem occurs when the epitaxial structures 130 is provided without the pure silicon cap layer, however the epitaxial structures 130 with the pure silicon cap layer having Ge concentration distinctly different from the Ge concentration in the epitaxial structures 130 results in the wavy surface. As a countermeasure against to the dilemmatic problem, the preferred embodiment provides a not pure silicon undoped cap layer 140 formed on the epitaxial structures 130. The undoped cap layer 140 includes the first semiconductor material and the second semiconductor material as mentioned above, that is SiGe. According to the preferred embodiment, the undoped cap layer 140 is a single layer as shown in FIG. 1. A concentration of the second semiconductor material in the undoped cap layer 140, that is the Ge concentration, is lower than the Ge concentration in the epitaxial structures 130, and is exemplarily about 25%. In addition, a thickness of the undoped cap layer 140 is, exemplarily but not limited to, 150 angstroms (Å) in the preferred embodiment.
In addition, the semiconductor device 100 provided by the preferred embodiment can further include an undoped under layer 150 positioned in the recess 122 and in between the epitaxial structure 130 and the substrate 102. The undoped under layer 150 covers sidewalls and a bottom of the recess 122. The undoped under layer 150 can be a single layer or multi-layer and includes the abovementioned first semiconductor material and second semiconductor material. That means the undoped under layer 150 include SiGe. The second semiconductor material in the undoped under layer 150 has a concentration lower than the Ge concentration in the epitaxial structures 130, and is between 10% and 25%. More important, the concentration of the second semiconductor material in the undoped under layer 150 is upwardly increased. It is noteworthy that details about the undoped under layer 150 will be described in the following preferred embodiments, therefore those details are omitted herein for simplicity.
According to the semiconductor device 100 having epitaxial structures provided by the first preferred embodiment, the not pure silicon undoped cap layer 140 is formed on the surface of the epitaxial structures 130. The undoped cap layer 140 includes SiGe and the Ge concentration is preferably about 25%. Since the Ge concentration in the undoped cap layer 140 is about 25% and the Ge concentration in the epitaxial structures 130 is about 36%, the difference between the two Ge concentrations are much less. Consequently, the undoped cap layer 140 formed on the surface of the epitaxial structures 130 obtains a smooth surface, and an AFM measured RMS roughness of the undoped cap layer 140 is about 0.75 nm. Compared with the RMS roughness of the pure silicon cap layer, that is 4.21 nm, it is concluded that the surface roughness is substantially improved. Furthermore, since the Ge concentration in the undoped cap layer 140 is lower, the agglomeration problem in the silicide process is mitigated.
Please refer to FIG. 2, which is a schematic drawing illustrating a semiconductor device having epitaxial structures provided by a second preferred embodiment of the present invention. It is noteworthy that elements the same in the first and second preferred embodiments are depicted by the same numerals. As shown in FIG. 2, a semiconductor device 100 having epitaxial structures provided by the preferred embodiment includes a substrate 102 and a gate structure 110 formed on the substrate 102. The gate structure 110 includes a gate insulating layer 112, and the gate insulating layer 112 can include high-k material or silicon oxide. The gate structure 110 also includes a gate electrode 114 defined by a patterned hard mask 116. The gate electrode 114 can include metal or polysilicon. The semiconductor device 100 also includes LDDs 118 formed in the substrate 102 at two sides of the gate structure 110, a spacer 120 formed on sidewalls of the gate structure 110, and a pair of recesses 122 formed in the substrate 102 respectively at the two sides of the gate structure 110, particularly at two sides of the spacer 120.
Please still refer to FIG. 2. The semiconductor device 100 provided by the preferred embodiment also includes epitaxial structures 130 positioned in the substrate 102 respectively at the two sides of the gate structure 110, particularly in the recess 122 at the two sides of gate structure 110. The epitaxial structure 130 includes a first semiconductor material and a second semiconductor material. The first semiconductor material has a first lattice constant while the second semiconductor material has a second lattice constant, and the second lattice constant is larger than the first lattice constant. In the preferred embodiment, the first semiconductor material includes Si and the second semiconductor material includes Ge. That means the epitaxial structure 130 includes SiGe, but not limited to this. In addition, a concentration of the second semiconductor material, that is the Ge concentration, is exemplarily but not limited to, about 36% in the preferred embodiment. As shown in FIG. 2, a surface of the epitaxial structures 130 is higher than a surface of the substrate 102.
As mentioned above, to solve the dilemmatic problem, the preferred embodiment provides an undoped cap layer 140 formed on the epitaxial structures 130. It is noteworthy that the undoped cap layer 140 provided by the preferred embodiment includes at least a first single layer 140 a and a second single layer 140 b as shown in FIG. 2, and the first single layer 140 a is formed between the second single layer 140 b and the epitaxial structure 130. The undoped cap layer 140 (including the first single layer 140 a and the second single layer 140 b) includes the first semiconductor material and the second semiconductor material as mentioned above, that is SiGe. According to the preferred embodiment, Ge in the first single layer 140 a has a first concentration, Ge in the second single layer 140 b has a second concentration, the first concentration and the second concentration are all lower than the Ge concentration in the epitaxial structures 130, and the second concentration is lower than the first concentration. In the preferred embodiment, the first concentration is preferably about 25% and the second concentration is preferably about 0%. In other words, the second preferred embodiment provides a multilayered cap layer 140 having Ge concentration upwardly decreased. In addition, a thickness of the undoped cap layer 140 is, exemplarily but not limited to, 150 Å in the preferred embodiment. It is also noteworthy that a thickness of the first single layer 140 a and a thickness of the second single layer 140 b have a ratio, and the ratio is about 1:2 according to the preferred embodiment.
As mentioned above, the semiconductor device 100 provided by the preferred embodiment can also include an undoped under layer 150. Since details about the undoped under layer 150 will be described in the following preferred embodiments, therefore those details are omitted herein for simplicity.
According to the semiconductor device 100 having epitaxial structures provided by the second preferred embodiment, the multilayered cap layer 140 is formed on the surface of the epitaxial structures 130. The multilayered cap layer 140 includes the first single layer 140 a and the second single layer 140 b. Both the first single layer 140 a and the second single layer 140 b include SiGe and the Ge concentration in the first single layer 140 a is preferably about 25% while the Ge concentration in the second single layer 140 b is preferably about 0%. In addition, a thickness of the second single layer 140 b is larger than a thickness of the first single layer 140 a. That means the multilayered cap layer 140 has the Ge concentration upwardly decreased. Since the first single layer 140 a (Ge concentration of about 25%) is form between the second single layer 140 b (Ge concentration of about 0%) and the epitaxial structure 130 (Ge concentration of about 36%), the first single layer 140 a serves as a buffer layer between the second single layer 140 b and the epitaxial structure 130. Consequently, the multilayered cap layer 140 formed on the surface of the epitaxial structures 130 obtains a smoother surface, and an AFM measured RMS roughness of the multilayered cap layer 140 is about 0.76 nm. Compared with the RMS roughness of the pure silicon cap layer, that is 4.21 nm, it is concluded that the surface roughness is substantially improved. Furthermore, since the Ge concentration of the second single layer 140 b in the multilayered cap layer 140 is 0%, the second single layer 140 b serves as the reacting subject in the silicide process. Thus the agglomeration problem in the silicide process is prevented.
Please refer to FIG. 3, which is a schematic drawing illustrating a semiconductor device having epitaxial structures provided by a third preferred embodiment of the present invention. It is noteworthy that elements the same in the first and third preferred embodiments such as the substrate 102, the gate structure 110, the LDDs 118, the spacer 120, the recesses 122, and the epitaxial structures 130 formed in the recesses 122 are depicted by the same numerals, and those details are omitted herein for simplicity. Please still refer to FIG. 3. The semiconductor device 100 provided by the preferred embodiment also includes the SiGe epitaxial structures 130, and the concentration of the second semiconductor material, that is the Ge concentration, is exemplarily but not limited to 36% in the preferred embodiment. In addition, a surface of the epitaxial structures 130 is higher than a surface of the substrate 102 as shown in FIG. 3.
As mentioned above, to solve the dilemmatic problem, the preferred embodiment provides an undoped cap layer 140 formed on the epitaxial structures 130. It is noteworthy that the undoped cap layer 140 provided by the preferred embodiment is a multilayered cap layer 140 as shown in FIG. 3. The undoped cap layer 140 includes at least a first single layer 140 a, a second single layer 140 b and a third single layer 140 c. As shown in FIG. 3, the first single layer 140 a and the third single layer 140 c are formed between the second single layer 140 b and the epitaxial structure 130, while the third single layer 140 c is formed between the first single layer 140 a and the second single layer 140 b. The undoped cap layer 140 (including the first single layer 140 a, the second single layer 140 b and the third single layer 140 c) includes the first semiconductor material and the second semiconductor material as mentioned above, that is SiGe. According to the preferred embodiment, Ge in the first single layer 140 a has a first concentration, Ge in the second single layer 140 b has a second concentration, and Ge in the third single layer 140 c has a third concentration. The first concentration, the second concentration, and the third concentration are all lower than the Ge concentration in the epitaxial structures 130. According to the preferred embodiment, the first concentration is preferably about 25%, the second concentration is preferably about 0%, and the third concentration is between the first concentration and the second concentration, preferably but not limited to about 10%. In other words, the second preferred embodiment provides a multilayered cap layer 140 has the Ge concentration upwardly decreased. In addition, a thickness of the undoped cap layer 140 is, exemplarily but not limited to, 150 Å in the preferred embodiment. It is also noteworthy that a thickness of the first single layer 140 a, a thickness of the second single layer 140 b, and a thickness of the third single layer 140 c have a ratio, and the ratio is about 1:1:1 according to the preferred embodiment.
As mentioned above, the semiconductor device 100 provided by the preferred embodiment can also include an undoped under layer 150. Since details about the undoped under layer 150 will be described in the following preferred embodiments, therefore those details are omitted herein for simplicity.
According to the semiconductor device 100 having epitaxial structures provided by the third preferred embodiment, the multilayered cap layer 140 is formed on the surface of the epitaxial structures 130. The multilayered cap layer 140 upwardly includes the first single layer 140 a, the third single layer 140 c, and the second single layer 140 b. All of the first single layer 140 a, the third single layer 140 c, and the second single layer 140 b include SiGe. The Ge concentration in the first single layer 140 a is preferably about 25%, the Ge concentration in third single layer 140 c is preferably about 10%, and the Ge concentration in the second single layer 140 b is preferably about 0%. In addition, thickness of the first single layer 140 a, the third single layer 140 c, and second single layer 140 b are substantially identical. That means the multilayered cap layer 140 has the Ge concentration upwardly decreased. Since the first single layer 140 a (Ge concentration of about 25%) and the third single layer 140 c (Ge concentration of about 10%) are formed between the second single layer 140 b (Ge concentration of about 0%) and the epitaxial structure 130 (Ge concentration of about 36%), the first single layer 140 a and the third single layer 140 c serve as buffer layers between the second single layer 140 b and the epitaxial structure 130. Because the two single layers having upwardly decreased concentration are formed between the second single layer 140 b and the epitaxial structure 130, the concentration gradient is flatter. Consequently, the cap layer 140 formed on the surface of the epitaxial structures 130 obtains a much smoother surface, and an AFM measured RMS roughness of the cap layer 140 is about 0.65 nm. Compared with the RMS roughness of the pure silicon cap layer, that is 4.21 nm, it is concluded that the surface roughness is much improved. Furthermore, since the Ge concentration of the second single layer 140 b in the undoped cap layer 140 is 0%, the second single layer 140 b serves as the reacting subject in the silicide process. Thus the agglomeration problem in the silicide process is prevented.
Please refer to FIGS. 4-5, which are schematic drawings respectively illustrating a semiconductor device having epitaxial structures provided by a fourth and fifth preferred embodiments of the present invention. It is noteworthy that elements the same in the first and fourth and fifth preferred embodiments such as the substrate 102, the gate structure 110, the LDDs 118, the spacer 120, the recesses 122, and the epitaxial structures 130 formed in the recesses 122 are depicted by the same numerals, and those details are omitted herein for simplicity.
As shown in FIG. 4, the fourth preferred embodiment provides an undoped under layer 150 (that is the under layer 150 mentioned in the first, second and third preferred embodiments) formed in the recesses 122. The undoped under layer 150 is formed between the epitaxial structure 130 and the substrate 102 and covers sidewalls and a bottom of the recess 122. As mentioned above, the undoped under layer 150 includes the first semiconductor material and the second semiconductor material. In other words, the undoped under layer 150 includes SiGe. To solve the Vt roll-off problem due to the distinctive difference between the lattice constant of the epitaxial structures 130 and that of the substrate 102, the preferred embodiment provides a single undoped under layer 150, and a Ge concentration of the undoped under layer 150 is lower than the Ge concentration of the epitaxial structures 130, exemplarily is about 25%.
It is noteworthy that because the undoped under layer 150 is provided to solve the problem that the lattice constant of the epitaxial structure 130 is distinctly different from that of the substrate 102, the undoped under layer 150 covering the bottom of the recess 122 cannot be formed so thick that the undoped under layer 150 occupies the space for forming the epitaxial structures 130, and thus reduces the strain stress provided to the channel region. Accordingly, a thickness of the undoped under layer 150 covering the sidewalls of the recess 122 and the thickness of the undoped under layer 150 covering the bottom of the recess 122 have a ratio and the ratio is about 1:1 in the preferred embodiment. It is found that when the undoped under layer 150 having Ge concentration of about 25% is formed in a process pressure of about 50 Torr, a ratio of the thickness of the single under layer 150 covering the sidewalls of the recess 122 and the thickness of the single under layer 150 covering the bottom of the recess 122 is about 1:2. Consequently, the space for forming the epitaxial structure 130 is reduced. Therefore the preferred embodiment forms the single under layer 150 in a process pressure of about 10 Torr. Accordingly, the thickness of the single under layer 150 covering the sidewalls of the recess 122 and the thickness of the single under layer 150 covering the bottom of the recess 122 approaches the expected ratio: 1:1.
According to the semiconductor device 100 having epitaxial structures provided by the fourth preferred embodiment, the single under layer 150 is formed in between the epitaxial structure 130 and the substrate 102. The single under layer 150 includes SiGe and the Ge concentration in the single under layer 150 is preferably about 25%. Since the single under layer 150 (Ge concentration of about 25%) is form between the substrate 102 (Ge concentration of about 0%) and the epitaxial structure 130 (Ge concentration of about 36%), the single under layer 150 serves as a buffer layer between the substrate 102 and the epitaxial structure 130. And thus the Vt roll-off problem caused by the distinctive difference between the lattice constant of the epitaxial structures 130 and that of the substrate 102 is mitigated. Moreover, since the ratio of the thickness of the single under layer 150 covering the sidewalls of the recess 122 and the thickness of the single under layer 150 covering the bottom of the recess 122 is about 1:1, the single under layer 150 is formed not to occupy the precious space used to form the epitaxial structure 130. Briefly speaking, the single under layer 150 provided by the preferred embodiment is able to solve the Vt roll-off problem without rendering impact to the strain stress.
Please refer to FIG. 5, which is a schematic drawing illustrating a semiconductor device having epitaxial structures provided by a fifth preferred embodiment of the present invention. As shown in FIG. 5, the fifth preferred embodiment provides an undoped under layer 150 (that is the undoped under layer 150 mentioned in the first, second and third preferred embodiments) formed in the recesses 122. The undoped under layer 150 is formed between the epitaxial structure 130 and the substrate 102 and covers sidewalls and a bottom of the recess 122. As mentioned above, the undoped under layer 150 includes the first semiconductor material and the second semiconductor material. In other words, the undoped under layer 150 includes SiGe. It is noteworthy that the undoped under layer 150 provided by the preferred embodiment is a multilayered under layer upwardly including a first single layer 150 a, a second single layer 150 b, and a third single layer 150 c. Ge in the first single layer 150 a has a first concentration, Ge in second single layer 150 b has a second concentration, and Ge in the third single layer 150 c has a third concentration. The first concentration, the second concentration, and the third concentration are all lower than the Ge concentration of the epitaxial structures 130. The third concentration is larger than the second concentration and the second concentration is larger than the first concentration. For example, the first concentration is about 10%, the second concentration is about 17%, and the third concentration is about 25%. In other words, the Ge concentration of the multilayered under layer 150 provided by the preferred embodiment is upwardly increased. In addition, a thickness of the first single layer 150 a, a thickness of the second single layer 150 b, and a thickness of the third single layer 150 c have a ratio, and the ratio is between 1:1:1 and 1:1:2. It is noteworthy that with increase of the Ge concentration in the first single layer 150 a, the second single layer 150 b, and the third single layer 150 c, the ratio of the thickness of the multilayered under layer 150 covering the sidewalls of the recess 122 and the thickness of the multilayered under layer 150 covering the bottom of the recess 122 is getting near to 1:1.
According to the semiconductor device 100 having epitaxial structures provided by the fifth preferred embodiment, the multilayered under layer 150 is formed in between the epitaxial structure 130 and the substrate 102. The multilayered under layer 150 includes the first single layer 150 a, the second single layer 150 b, and the third single layer 150 c. The first single layer 150 a, the second single layer 150 b and the third single layer 150 c all include SiGe. The Ge concentrations in the first single layer 150 a, in the second single under layer 150 b and in the third single layer 150 c is increased from 10%, to 17%, and to 25%. Therefore the multilayered under layer 150 serves as a buffer layer between the substrate 102 (having the Ge concentration of 0%) and the epitaxial structure 130 (having the Ge concentration of 36%). Because the three single layers having upwardly increased Ge concentration are formed between the substrate 102 and the epitaxial structure 130, the concentration gradient is flatter. Consequently, the Vt roll-off problem caused by the distinctive difference between the lattice constant of the epitaxial structures 130 and that of the substrate 102 140 b is mitigated. Moreover, since the ratio of the thickness of the multilayered under layer 150 covering the sidewalls of the recess 122 and the thickness of the multilayered under layer 150 covering the bottom of the recess 122 is about 1:1, the multilayered under layer 150 is formed not to occupy the precious space used to form the epitaxial structures 130. Briefly speaking, the multilayered under layer 150 provided by the preferred embodiment is able to solve the Vt roll-off problem without rendering impact to the strain stress.
It should be noted that the undoped under layer 150 provided by the fourth and fifth preferred embodiments of the present invention and the undoped cap layer 140 provided by the first, second, and third preferred embodiments can be formed in different combination in order to improve the semiconductor device 100 having the epitaxial structures 130.
According to the semiconductor device having epitaxial structures provided by the present invention, the epitaxial structures serving as the source/drain of the semiconductor device includes the undoped cap layer with lower Ge concentration formed on the surface of the epitaxial structures, therefore the agglomeration between the metals and Ge in the silicide process and the junction leakage are both prevented. Furthermore, the semiconductor device having epitaxial structures provided by the present invention also includes the undoped under layer with lower Ge concentration formed in the recess and in between the epitaxial structure and the substrate, therefore the Vt roll-off issue, which is caused by lattice constant difference between the SiGe epitaxial structure and the silicon substrate, is mitigated.
Those skilled in the art will readily observe that numerous modifications and alterations of the device and method may be made while retaining the teachings of the invention.

Claims (19)

What is claimed is:
1. A semiconductor device having epitaxial structures comprising:
a gate structure positioned on a substrate;
epitaxial structures formed in the substrate at two sides of the gate structure, the epitaxial structures comprising a dopant, a first semiconductor material having a first lattice constant, and a second semiconductor material having a second lattice constant, the second lattice constant being larger than the first lattice constant, the second semiconductor material in the epitaxial structures having a first concentration; and
an undoped cap layer formed on the epitaxial structures, the undoped cap layer being a multi-layer and comprising the first semiconductor material and the second semiconductor material, the second semiconductor material in the undoped cap layer having a second concentration, and the second concentration is lower than the first concentration, the second concentration is at least 25%.
2. The semiconductor device having epitaxial structures according to claim 1, further comprising:
lightly-doped drains (LDDs) formed in the substrate respectively at the two sides of the gate structure; and
a spacer formed on sidewalls of the gate structure.
3. The semiconductor device having epitaxial structures according to claim 1, wherein the first semiconductor material comprises silicon (Si) and the second semiconductor material comprises germanium (Ge).
4. The semiconductor device having epitaxial structures according to claim 1, wherein the multi-layer comprises at least a first single layer and a second single layer, the first single layer is formed between the second single layer and the epitaxial structure, the first single layer has the second concentration, the second semiconductor material in the second single layer has a third concentration, and the third concentration is equal to 0%.
5. The semiconductor device having epitaxial structures according to claim 4, wherein a thickness of the first single layer and a thickness of the second single layer have a ratio, and the ratio is about 1:2.
6. The semiconductor device having epitaxial structures according to claim 4, wherein the multi-layer further comprises a third single layer formed between the first single layer and the second single layer, the second semiconductor material in the third single layer has a fourth concentration, and the fourth concentration is between the second concentration and the third concentration.
7. The semiconductor device having epitaxial structures according to claim 6, wherein the fourth concentration is about 10%.
8. The semiconductor device having epitaxial structures according to claim 6, wherein a thickness of the first single layer, a thickness of the second single layer, and a thickness of the third single layer have a ratio, and the ratio is about 1:1:1.
9. The semiconductor device having epitaxial structures according to claim 1, further comprising a multilayered under layer positioned between the epitaxial structure and the substrate, the multilayered under layer comprises the first semiconductor material and the second semiconductor material.
10. The semiconductor device having epitaxial structures according to claim 9, wherein the second semiconductor material in the multilayered under layer is between 10% and 25%.
11. The semiconductor device having epitaxial structures according to claim 10, wherein a concentration of the second semiconductor material in the multilayered under layer is upwardly increased.
12. A semiconductor device having epitaxial structures comprising:
a gate structure positioned on a substrate;
a pair of recesses formed in the substrate at two sides of the gate structure;
epitaxial structures respectively formed in recesses at the two sides of the gate structure, the epitaxial structures comprising a dopant, a first semiconductor material having a first lattice constant, and a second semiconductor material having a second lattice constant, the second lattice constant being larger than the first lattice constant, the second semiconductor material in the epitaxial structures having a first concentration; and
an undoped under layer formed in the recesses and in between the epitaxial structure and the substrate, the undoped under layer being a multi-layer and covering sidewalls and a bottom of the recess and having the first semiconductor material and the second semiconductor material, the second semiconductor material in the undoped under layer having a second concentration, and the second concentration is lower than the first concentration, the second concentration is about 25%,
wherein a thickness of the undoped under layer covering the sidewalls of the recess and a thickness of the undoped under layer covering the bottom of the recess have a ratio, and the ratio is about 1:1 and
a multilayered cap layer positioned on epitaxial structures, the multilayered cap layer comprises the first semiconductor material and the second semiconductor material, wherein the second semiconductor material in the multilayered cap layer has a concentration of at least 25%.
13. The semiconductor device having epitaxial structures according to claim 12, further comprising:
lightly-doped drains formed in the substrate respectively at the two sides of the gate structure; and
a spacer formed on sidewalls of the gate structure.
14. The semiconductor device having epitaxial structures according to claim 12, wherein the first semiconductor material comprises Si and the second semiconductor material comprises Ge.
15. The semiconductor device having epitaxial structures according to claim 12, wherein the multilayered under layer comprises at least a first single layer, a second single layer, and a third single layer upwardly formed on the sidewalls and the bottom of the recess.
16. The semiconductor device having epitaxial structures according to claim 15, wherein the third single layer has the second concentration, the second single layer has a third concentration, and the first single layer has a fourth concentration, the second concentration is larger than the third concentration and the third concentration is larger than the fourth concentration.
17. The semiconductor device having epitaxial structures according to claim 16, wherein the third concentration is about 17% and the fourth concentration is about 10%.
18. The semiconductor device having epitaxial structures according to claim 16, wherein a thickness of the first single layer, a thickness of the second single layer and a thickness of the third single layer have a ratio and the ratio is between 1:1:1 and 1:1:2.
19. The semiconductor device having epitaxial structures according to claim 12, wherein the concentration of the second semiconductor material in the multilayered cap layer is upwardly decreased.
US13/189,570 2011-07-25 2011-07-25 Semiconductor device having epitaxial structures Active 2031-09-08 US8716750B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US13/189,570 US8716750B2 (en) 2011-07-25 2011-07-25 Semiconductor device having epitaxial structures
US14/203,581 US20140191285A1 (en) 2011-07-25 2014-03-11 Semiconductor device having epitaxial structures

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/189,570 US8716750B2 (en) 2011-07-25 2011-07-25 Semiconductor device having epitaxial structures

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US14/203,581 Continuation US20140191285A1 (en) 2011-07-25 2014-03-11 Semiconductor device having epitaxial structures

Publications (2)

Publication Number Publication Date
US20130026538A1 US20130026538A1 (en) 2013-01-31
US8716750B2 true US8716750B2 (en) 2014-05-06

Family

ID=47596522

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/189,570 Active 2031-09-08 US8716750B2 (en) 2011-07-25 2011-07-25 Semiconductor device having epitaxial structures
US14/203,581 Abandoned US20140191285A1 (en) 2011-07-25 2014-03-11 Semiconductor device having epitaxial structures

Family Applications After (1)

Application Number Title Priority Date Filing Date
US14/203,581 Abandoned US20140191285A1 (en) 2011-07-25 2014-03-11 Semiconductor device having epitaxial structures

Country Status (1)

Country Link
US (2) US8716750B2 (en)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8383485B2 (en) * 2011-07-13 2013-02-26 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial process for forming semiconductor devices
US8754448B2 (en) * 2011-11-01 2014-06-17 United Microelectronics Corp. Semiconductor device having epitaxial layer
CN103779278A (en) * 2012-10-22 2014-05-07 中芯国际集成电路制造(上海)有限公司 CMOS (Complementary Metal Oxide Semiconductor) tube forming method
US9029919B2 (en) * 2013-02-01 2015-05-12 Globalfoundries Inc. Methods of forming silicon/germanium protection layer above source/drain regions of a transistor and a device having such a protection layer
US9209175B2 (en) * 2013-07-17 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices having epitaxy regions with reduced facets
US9159811B2 (en) 2013-12-18 2015-10-13 International Business Machines Corporation Growing buffer layers in bulk finFET structures
US9214551B2 (en) * 2014-02-19 2015-12-15 United Microelectronics Corp. Method for fabricating semiconductor device, and semiconductor device made thereby
US10084063B2 (en) * 2014-06-23 2018-09-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
CN105702727B (en) * 2014-11-28 2020-06-16 联华电子股份有限公司 Metal oxide semiconductor device and forming method thereof
KR102303973B1 (en) 2014-12-22 2021-09-23 삼성전자주식회사 Apparatus for forming a thin layer and method of forming a thin layer on a substrate using the same
CN109962108B (en) * 2017-12-22 2022-05-20 中芯国际集成电路制造(上海)有限公司 Semiconductor device, manufacturing method thereof and electronic device
US10854715B2 (en) * 2018-04-13 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Supportive layer in source/drains of FinFET devices
US11264237B2 (en) * 2018-09-28 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method of epitaxy and semiconductor device
US11257928B2 (en) 2018-11-27 2022-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for epitaxial growth and device

Citations (132)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4891303A (en) 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
US5217910A (en) 1990-11-05 1993-06-08 Mitsubishi Denki Kabushiki Kaisha Method of fabricating semiconductor device having sidewall spacers and oblique implantation
US5273930A (en) 1992-09-03 1993-12-28 Motorola, Inc. Method of forming a non-selective silicon-germanium epitaxial film
US5356830A (en) 1988-09-19 1994-10-18 Kabushiki Kaisha Tobshiba Semiconductor device and its manufacturing method
US5372957A (en) 1993-07-22 1994-12-13 Taiwan Semiconductor Manufacturing Company Multiple tilted angle ion implantation MOSFET method
US5385630A (en) 1993-06-29 1995-01-31 Digital Equipment Corporation Process for increasing sacrificial oxide etch rate to reduce field oxide loss
US5399506A (en) 1992-08-13 1995-03-21 Sony Corporation Semiconductor fabricating process
US5625217A (en) 1992-12-11 1997-04-29 Intel Corporation MOS transistor having a composite gate electrode and method of fabrication
US5777364A (en) 1992-11-30 1998-07-07 International Business Machines Corporation Graded channel field effect transistor
US5783479A (en) 1997-06-23 1998-07-21 National Science Council Structure and method for manufacturing improved FETs having T-shaped gates
US5960322A (en) 1997-12-19 1999-09-28 Advanced Micro Devices, Inc. Suppression of boron segregation for shallow source and drain junctions in semiconductors
US6030874A (en) 1997-01-21 2000-02-29 Texas Instruments Incorporated Doped polysilicon to retard boron diffusion into and through thin gate dielectrics
US6048756A (en) 1997-07-31 2000-04-11 Electronics And Telecommunications Research Institute Method for making a silicon-on-insulator MOS transistor using a selective SiGe epitaxy
US6074954A (en) 1998-08-31 2000-06-13 Applied Materials, Inc Process for control of the shape of the etch front in the etching of polysilicon
US6100171A (en) 1998-03-03 2000-08-08 Advanced Micro Devices, Inc. Reduction of boron penetration by laser anneal removal of fluorine
US6110787A (en) 1999-09-07 2000-08-29 Chartered Semiconductor Manufacturing Ltd. Method for fabricating a MOS device
US6165881A (en) 1998-10-23 2000-12-26 Taiwan Semiconductor Manufacturing Company Method of forming salicide poly gate with thin gate oxide and ultra narrow gate width
US6165826A (en) 1994-12-23 2000-12-26 Intel Corporation Transistor with low resistance tip and method of fabrication in a CMOS process
US6191052B1 (en) 1999-01-25 2001-02-20 Taiwan Semiconductor Manufacturing Company Method for fabricating an ultra-shallow junction with low resistance using a screen oxide formed by poly re-oxidation in a nitrogen containing atmosphere
US6228730B1 (en) 1999-04-28 2001-05-08 United Microelectronics Corp. Method of fabricating field effect transistor
US6274447B1 (en) 1996-03-22 2001-08-14 Seiko Epson Corporation Semiconductor device comprising a MOS element and a fabrication method thereof
US6355533B2 (en) 1999-12-24 2002-03-12 Hyundai Electronics Industries Co., Ltd. Method for manufacturing semiconductor device
US6365476B1 (en) 2000-10-27 2002-04-02 Ultratech Stepper, Inc. Laser thermal process for fabricating field-effect transistors
US6368926B1 (en) 2000-03-13 2002-04-09 Advanced Micro Devices, Inc. Method of forming a semiconductor device with source/drain regions having a deep vertical junction
US6444591B1 (en) 2000-09-30 2002-09-03 Newport Fab, Llc Method for reducing contamination prior to epitaxial growth and related structure
US20020160587A1 (en) 2001-04-30 2002-10-31 Basanth Jagannathan Method to increase carbon and boron doping concentrations in Si and SiGe films
US20020182423A1 (en) 2001-04-20 2002-12-05 International Business Machines Corporation Epitaxial and polycrystalline growth of Si1-x-yGexCy and Si1-yCy alloy layers on Si by UHV-CVD
US6537370B1 (en) 1998-09-10 2003-03-25 FRANCE TéLéCOM Process for obtaining a layer of single-crystal germanium on a substrate of single-crystal silicon, and products obtained
US6544822B2 (en) 2000-06-21 2003-04-08 Hynix Semiconductor, Inc. Method for fabricating MOSFET device
US6605498B1 (en) 2002-03-29 2003-08-12 Intel Corporation Semiconductor transistor having a backfilled channel material
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
US6621131B2 (en) 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
US6624068B2 (en) 2001-08-24 2003-09-23 Texas Instruments Incorporated Polysilicon processing using an anti-reflective dual layer hardmask for 193 nm lithography
US20030181005A1 (en) 2002-03-19 2003-09-25 Kiyota Hachimine Semiconductor device and a method of manufacturing the same
US6632718B1 (en) 1998-07-15 2003-10-14 Texas Instruments Incorporated Disposable spacer technology for reduced cost CMOS processing
US20030203599A1 (en) 2000-03-27 2003-10-30 Matsushita Electric Industrial Co. , Ltd. Semiconductor wafer and method for fabricating the same
US6642122B1 (en) 2002-09-26 2003-11-04 Advanced Micro Devices, Inc. Dual laser anneal for graded halo profile
US6664156B1 (en) 2002-07-31 2003-12-16 Chartered Semiconductor Manufacturing, Ltd Method for forming L-shaped spacers with precise width control
US6676764B2 (en) 2001-04-30 2004-01-13 Hynix Semiconductor Inc. Method for cleaning a substrate in selective epitaxial growth process
US6703271B2 (en) 2001-11-30 2004-03-09 Taiwan Semiconductor Manufacturing Company Complementary metal oxide semiconductor transistor technology using selective epitaxy of a strained silicon germanium layer
US20040045499A1 (en) 2002-06-10 2004-03-11 Amberwave Systems Corporation Source and drain elements
US20040067631A1 (en) 2002-10-03 2004-04-08 Haowen Bu Reduction of seed layer roughness for use in forming SiGe gate electrode
US6777275B1 (en) 2000-11-15 2004-08-17 Advanced Micro Devices, Inc. Single anneal for dopant activation and silicide formation
US6806151B2 (en) 2001-12-14 2004-10-19 Texas Instruments Incorporated Methods and apparatus for inducing stress in a semiconductor device
US6809402B1 (en) 2002-08-14 2004-10-26 Advanced Micro Devices, Inc. Reflowable-doped HDP film
US20040227164A1 (en) 2003-05-14 2004-11-18 Samsung Electronics Co., Ltd. MOS transistor with elevated source/drain structure and method of fabricating the same
US6858506B2 (en) 2002-08-08 2005-02-22 Macronix International Co., Ltd. Method for fabricating locally strained channel
US6864135B2 (en) 2002-10-31 2005-03-08 Freescale Semiconductor, Inc. Semiconductor fabrication process using transistor spacers of differing widths
US6869867B2 (en) 1997-10-01 2005-03-22 Kabushiki Kaisha Toshiba Semiconductor device comprising metal silicide films formed to cover gate electrode and source-drain diffusion layers and method of manufacturing the same wherein the silicide on gate is thicker than on source-drain
US20050070076A1 (en) 2001-10-26 2005-03-31 Dion Michel Maurice Method of depositing high-quality sige on sige substrates
US20050079692A1 (en) 2003-10-10 2005-04-14 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US20050082616A1 (en) 2003-10-20 2005-04-21 Huajie Chen High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US6887751B2 (en) 2003-09-12 2005-05-03 International Business Machines Corporation MOSFET performance improvement using deformation in SOI structure
US6887762B1 (en) 1998-11-12 2005-05-03 Intel Corporation Method of fabricating a field effect transistor structure with abrupt source/drain junctions
US6891192B2 (en) 2003-08-04 2005-05-10 International Business Machines Corporation Structure and method of making strained semiconductor CMOS transistors having lattice-mismatched semiconductor regions underlying source and drain regions
US20050139231A1 (en) 2003-12-31 2005-06-30 Commissariat A L'energie Atomique Method of wet cleaning a surface, especially of a material of the silicon-germanium type
US6930007B2 (en) 2003-09-15 2005-08-16 Texas Instruments Incorporated Integration of pre-S/D anneal selective nitride/oxide composite cap for improving transistor performance
US6946350B2 (en) 2003-12-31 2005-09-20 Intel Corporation Controlled faceting of source/drain regions
US6962856B2 (en) 2002-07-19 2005-11-08 Hynix Semiconductor, Inc. Method for forming device isolation film of semiconductor device
US20050260830A1 (en) 2004-03-25 2005-11-24 Doo-Won Kwon Methods of fabricating a semiconductor device using a dilute aqueous solution of an ammonia and peroxide mixture
US6972461B1 (en) 2004-06-30 2005-12-06 International Business Machines Corporation Channel MOSFET with strained silicon channel on strained SiGe
US20050285193A1 (en) 2004-06-28 2005-12-29 Lee Sung-Young Semiconductor device and method of manufacturing same
US20050287752A1 (en) 2004-06-24 2005-12-29 Applied Materials, Inc. Methods for forming a transistor
US6991979B2 (en) 2003-09-22 2006-01-31 International Business Machines Corporation Method for avoiding oxide undercut during pre-silicide clean for thin spacer FETs
US6991991B2 (en) 2003-11-12 2006-01-31 United Microelectronics Corp. Method for preventing to form a spacer undercut in SEG pre-clean process
US20060051922A1 (en) 2004-09-09 2006-03-09 Taiwan Semiconductor Manufacturing Company, Ltd. Strained silicon device manufacturing method
US20060057859A1 (en) 2004-09-16 2006-03-16 International Business Machines Corporation Buffer layer for selective SiGe growth for uniform nucleation
US20060076627A1 (en) 2004-10-12 2006-04-13 International Business Machines Corporation Ultra shallow junction formation by epitaxial interface limited diffusion
US20060088968A1 (en) 2004-06-17 2006-04-27 Samsung Electronics Co., Ltd. Methods of fabricating a semiconductor device using a selective epitaxial growth technique
US7037773B2 (en) 2004-03-29 2006-05-02 United Microelectronics Corp. Method of manufacturing metal-oxide-semiconductor transistor
US20060115949A1 (en) 2004-12-01 2006-06-01 Freescale Semiconductor, Inc. Semiconductor fabrication process including source/drain recessing and filling
US7060576B2 (en) 2003-10-24 2006-06-13 Intel Corporation Epitaxially deposited source/drain
US7060579B2 (en) 2004-07-29 2006-06-13 Texas Instruments Incorporated Increased drive current by isotropic recess etch
US7112495B2 (en) 2003-08-15 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
US7118952B2 (en) 2004-07-14 2006-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making transistor with strained source/drain
US20060228842A1 (en) 2005-04-07 2006-10-12 Freescale Semiconductor, Inc. Transistor fabrication using double etch/refill process
US20060231826A1 (en) 2005-04-18 2006-10-19 Toshiba America Electronic Components, Inc. Step-embedded SiGe structure for PFET mobility enhancement
US20060258126A1 (en) 2003-02-04 2006-11-16 Sumco Corporation Semiconductor substrate, field-effect transistor, and their production methods
US20060281288A1 (en) 2005-06-13 2006-12-14 Fujitsu Limited Semiconductor device fabrication method
US20060292783A1 (en) 2005-02-28 2006-12-28 Young-Eun Lee CMOS transistor and method of manufacturing the same
US20060292779A1 (en) 2004-09-29 2006-12-28 International Business Machines Corporation Structure and method for making strained channel field effect transistor using sacrificial spacer
US7169675B2 (en) 2004-07-07 2007-01-30 Chartered Semiconductor Manufacturing, Ltd Material architecture for the fabrication of low temperature transistor
US20070023847A1 (en) 2005-07-26 2007-02-01 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
US20070034906A1 (en) 2005-08-10 2007-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with reduced recess on substrate surface
US7183596B2 (en) 2005-06-22 2007-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Composite gate structure in an integrated circuit
US20070049014A1 (en) 2005-08-25 2007-03-01 Ming-Tsung Chen Method of performing salicide processes on MOS transistors
US20070072376A1 (en) 2005-09-29 2007-03-29 Semiconductor Manufacturing International (Shanghai) Corporation Strained-induced mobility enhancement nano-device structure and integrated process architecture for CMOS technologies
US20070072353A1 (en) 2005-09-23 2007-03-29 Chih-Ning Wu Method of fabricating strained-silicon transistors and strained-silicon CMOS transistors
US7202124B2 (en) 2004-10-01 2007-04-10 Massachusetts Institute Of Technology Strained gettering layers for semiconductor processes
US7217627B2 (en) 2003-09-18 2007-05-15 Dongbu Electronics Co., Ltd. Semiconductor devices having diffusion barrier regions and halo implant regions and methods of fabricating the same
US20070128783A1 (en) 2005-12-06 2007-06-07 Shyh-Fann Ting Method for fabricating strained-silicon cmos transistors
US20070166929A1 (en) 2006-01-18 2007-07-19 Sumco Corporation Method of producing semiconductor wafer
US7288822B1 (en) 2006-04-07 2007-10-30 United Microelectronics Corp. Semiconductor structure and fabricating method thereof
US20070262396A1 (en) 2006-04-25 2007-11-15 International Business Machines Corporation Transistors Having V-shape Source/Drain Metal Contacts
US7303999B1 (en) 2005-12-13 2007-12-04 Lam Research Corporation Multi-step method for etching strain gate recesses
US20080014688A1 (en) 2006-07-06 2008-01-17 Voon-Yew Thean Selective uniaxial stress modification for use with strained silicon on insulator integrated circuit
US7335959B2 (en) 2005-01-06 2008-02-26 Intel Corporation Device with stepped source/drain region profile
US20080061366A1 (en) 2006-09-11 2008-03-13 United Microelectronics Corp. Complementary metal-oxide-semiconductor device and fabricating method thereof
US20080067545A1 (en) 2006-09-20 2008-03-20 Samsung Electronics Co., Ltd Semiconductor device including field effect transistor and method of forming the same
US20080076236A1 (en) 2006-09-21 2008-03-27 Jih-Shun Chiang Method for forming silicon-germanium epitaxial layer
US20080085577A1 (en) 2006-10-05 2008-04-10 Hung-Lin Shih Method of manufacturing complementary metal oxide semiconductor transistor
US20080116525A1 (en) 2006-11-16 2008-05-22 United Microelectronics Corp. Complementary metal-oxide-semiconductor device
US20080124874A1 (en) 2006-11-03 2008-05-29 Samsung Electronics Co., Ltd. Methods of Forming Field Effect Transistors Having Silicon-Germanium Source and Drain Regions
US20080128746A1 (en) 2006-12-05 2008-06-05 Yin-Pin Wang Dual-SiGe epitaxy for MOS devices
US20080142886A1 (en) 2006-12-18 2008-06-19 Chin-I Liao Treatment method of semiconductor, method for manufacturing mos, and mos structure
US7410859B1 (en) 2005-11-07 2008-08-12 Advanced Micro Devices, Inc. Stressed MOS device and method for its fabrication
US20080220579A1 (en) 2007-03-07 2008-09-11 Advanced Micro Devices, Inc. Stress enhanced mos transistor and methods for its fabrication
US20080233746A1 (en) 2007-03-21 2008-09-25 Hui-Ling Huang Method for manufacturing mos transistors utilizing a hybrid hard mask
US20080233722A1 (en) 2007-03-23 2008-09-25 United Microelectronics Corp. Method of forming selective area compound semiconductor epitaxial layer
US7462239B2 (en) 2001-05-30 2008-12-09 Asm America, Inc. Low temperature load and bake
US20090039389A1 (en) 2007-08-09 2009-02-12 Chu-Yin Tseng Method of fabricating metal oxide semiconductor transistor
US20090045456A1 (en) 2007-08-13 2009-02-19 United Microelectronics Corp. Semiconductor device and method of fabricating the same
US7494856B2 (en) 2006-03-30 2009-02-24 Freescale Semiconductor, Inc. Semiconductor fabrication process using etch stop layer to optimize formation of source/drain stressor
US7494858B2 (en) 2005-06-30 2009-02-24 Intel Corporation Transistor with improved tip profile and method of manufacture thereof
US20090095992A1 (en) 2006-12-22 2009-04-16 Tomoya Sanuki Semiconductor device including mos field effect transistor and method for manufacturing the semiconductor device
US20090108308A1 (en) * 2007-10-31 2009-04-30 Jusung Engineering Co., Ltd Transistor and method of fabricating the same
US20090117715A1 (en) 2006-02-27 2009-05-07 Fujitsu Limited Semiconductor device fabricated by selective epitaxial growth method
US20090124056A1 (en) 2007-11-12 2009-05-14 United Microelectronics Corp. Method of fabricating semiconductor device
US20090166625A1 (en) 2007-12-28 2009-07-02 United Microelectronics Corp. Mos device structure
US20090184402A1 (en) 2008-01-22 2009-07-23 United Microelectronics Corp. Method of fabricating a shallow trench isolation structure including forming a second liner covering the corner of the trench and first liner.
US20090186475A1 (en) 2008-01-21 2009-07-23 Shyh-Fann Ting Method of manufacturing a MOS transistor
US7592231B2 (en) 2006-08-01 2009-09-22 United Microelectronics Corp. MOS transistor and fabrication thereof
US20090246922A1 (en) 2008-03-27 2009-10-01 Meng-Yi Wu Method of forming cmos transistor
US7612389B2 (en) 2005-09-15 2009-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded SiGe stressor with tensile strain for NMOS current enhancement
US20090278170A1 (en) 2008-05-07 2009-11-12 Yun-Chi Yang Semiconductor device and manufacturing method thereof
US20090302348A1 (en) 2008-06-10 2009-12-10 International Business Machines Corporation Stress enhanced transistor devices and methods of making
US20100001317A1 (en) 2008-07-03 2010-01-07 Yi-Wei Chen Cmos transistor and the method for manufacturing the same
US20100015774A1 (en) * 2006-07-28 2010-01-21 Fujitsu Microelectronics Limited Semiconductor device and method of manufacturing the same
US7667227B2 (en) 2004-12-28 2010-02-23 Fujitsu Microelectronics Limited Semiconductor device and fabrication method thereof
US7691752B2 (en) 2007-03-30 2010-04-06 Intel Corporation Methods of forming improved EPI fill on narrow isolation bounded source/drain regions and structures formed thereby
US20100093147A1 (en) * 2008-10-14 2010-04-15 Chin-I Liao Method for forming a semiconductor device
US7838370B2 (en) 2006-12-27 2010-11-23 Texas Instruments Incorporated Highly selective liners for semiconductor fabrication

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100798814B1 (en) * 2006-09-20 2008-01-28 삼성전자주식회사 Semiconductor device including a field effect transistor and method of forming the same

Patent Citations (140)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4891303A (en) 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
US5356830A (en) 1988-09-19 1994-10-18 Kabushiki Kaisha Tobshiba Semiconductor device and its manufacturing method
US5217910A (en) 1990-11-05 1993-06-08 Mitsubishi Denki Kabushiki Kaisha Method of fabricating semiconductor device having sidewall spacers and oblique implantation
US5399506A (en) 1992-08-13 1995-03-21 Sony Corporation Semiconductor fabricating process
US5273930A (en) 1992-09-03 1993-12-28 Motorola, Inc. Method of forming a non-selective silicon-germanium epitaxial film
US5777364A (en) 1992-11-30 1998-07-07 International Business Machines Corporation Graded channel field effect transistor
US5625217A (en) 1992-12-11 1997-04-29 Intel Corporation MOS transistor having a composite gate electrode and method of fabrication
US5783478A (en) 1992-12-11 1998-07-21 Intel Corporation Method of frabricating a MOS transistor having a composite gate electrode
US5385630A (en) 1993-06-29 1995-01-31 Digital Equipment Corporation Process for increasing sacrificial oxide etch rate to reduce field oxide loss
US5372957A (en) 1993-07-22 1994-12-13 Taiwan Semiconductor Manufacturing Company Multiple tilted angle ion implantation MOSFET method
US6165826A (en) 1994-12-23 2000-12-26 Intel Corporation Transistor with low resistance tip and method of fabrication in a CMOS process
US6274447B1 (en) 1996-03-22 2001-08-14 Seiko Epson Corporation Semiconductor device comprising a MOS element and a fabrication method thereof
US6030874A (en) 1997-01-21 2000-02-29 Texas Instruments Incorporated Doped polysilicon to retard boron diffusion into and through thin gate dielectrics
US5783479A (en) 1997-06-23 1998-07-21 National Science Council Structure and method for manufacturing improved FETs having T-shaped gates
US6048756A (en) 1997-07-31 2000-04-11 Electronics And Telecommunications Research Institute Method for making a silicon-on-insulator MOS transistor using a selective SiGe epitaxy
US6869867B2 (en) 1997-10-01 2005-03-22 Kabushiki Kaisha Toshiba Semiconductor device comprising metal silicide films formed to cover gate electrode and source-drain diffusion layers and method of manufacturing the same wherein the silicide on gate is thicker than on source-drain
US5960322A (en) 1997-12-19 1999-09-28 Advanced Micro Devices, Inc. Suppression of boron segregation for shallow source and drain junctions in semiconductors
US6100171A (en) 1998-03-03 2000-08-08 Advanced Micro Devices, Inc. Reduction of boron penetration by laser anneal removal of fluorine
US6632718B1 (en) 1998-07-15 2003-10-14 Texas Instruments Incorporated Disposable spacer technology for reduced cost CMOS processing
US6699763B2 (en) 1998-07-15 2004-03-02 Texas Instruments Incorporated Disposable spacer technology for reduced cost CMOS processing
US6074954A (en) 1998-08-31 2000-06-13 Applied Materials, Inc Process for control of the shape of the etch front in the etching of polysilicon
US6537370B1 (en) 1998-09-10 2003-03-25 FRANCE TéLéCOM Process for obtaining a layer of single-crystal germanium on a substrate of single-crystal silicon, and products obtained
US6165881A (en) 1998-10-23 2000-12-26 Taiwan Semiconductor Manufacturing Company Method of forming salicide poly gate with thin gate oxide and ultra narrow gate width
US6887762B1 (en) 1998-11-12 2005-05-03 Intel Corporation Method of fabricating a field effect transistor structure with abrupt source/drain junctions
US6191052B1 (en) 1999-01-25 2001-02-20 Taiwan Semiconductor Manufacturing Company Method for fabricating an ultra-shallow junction with low resistance using a screen oxide formed by poly re-oxidation in a nitrogen containing atmosphere
US6228730B1 (en) 1999-04-28 2001-05-08 United Microelectronics Corp. Method of fabricating field effect transistor
US6110787A (en) 1999-09-07 2000-08-29 Chartered Semiconductor Manufacturing Ltd. Method for fabricating a MOS device
US6355533B2 (en) 1999-12-24 2002-03-12 Hyundai Electronics Industries Co., Ltd. Method for manufacturing semiconductor device
US6368926B1 (en) 2000-03-13 2002-04-09 Advanced Micro Devices, Inc. Method of forming a semiconductor device with source/drain regions having a deep vertical junction
US20030203599A1 (en) 2000-03-27 2003-10-30 Matsushita Electric Industrial Co. , Ltd. Semiconductor wafer and method for fabricating the same
US6544822B2 (en) 2000-06-21 2003-04-08 Hynix Semiconductor, Inc. Method for fabricating MOSFET device
US6444591B1 (en) 2000-09-30 2002-09-03 Newport Fab, Llc Method for reducing contamination prior to epitaxial growth and related structure
US6365476B1 (en) 2000-10-27 2002-04-02 Ultratech Stepper, Inc. Laser thermal process for fabricating field-effect transistors
US6777275B1 (en) 2000-11-15 2004-08-17 Advanced Micro Devices, Inc. Single anneal for dopant activation and silicide formation
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
US20020182423A1 (en) 2001-04-20 2002-12-05 International Business Machines Corporation Epitaxial and polycrystalline growth of Si1-x-yGexCy and Si1-yCy alloy layers on Si by UHV-CVD
US6676764B2 (en) 2001-04-30 2004-01-13 Hynix Semiconductor Inc. Method for cleaning a substrate in selective epitaxial growth process
US20020160587A1 (en) 2001-04-30 2002-10-31 Basanth Jagannathan Method to increase carbon and boron doping concentrations in Si and SiGe films
US7462239B2 (en) 2001-05-30 2008-12-09 Asm America, Inc. Low temperature load and bake
US6624068B2 (en) 2001-08-24 2003-09-23 Texas Instruments Incorporated Polysilicon processing using an anti-reflective dual layer hardmask for 193 nm lithography
US20050070076A1 (en) 2001-10-26 2005-03-31 Dion Michel Maurice Method of depositing high-quality sige on sige substrates
US6861318B2 (en) 2001-11-01 2005-03-01 Intel Corporation Semiconductor transistor having a stressed channel
US6621131B2 (en) 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
US6703271B2 (en) 2001-11-30 2004-03-09 Taiwan Semiconductor Manufacturing Company Complementary metal oxide semiconductor transistor technology using selective epitaxy of a strained silicon germanium layer
US6806151B2 (en) 2001-12-14 2004-10-19 Texas Instruments Incorporated Methods and apparatus for inducing stress in a semiconductor device
US20030181005A1 (en) 2002-03-19 2003-09-25 Kiyota Hachimine Semiconductor device and a method of manufacturing the same
US6605498B1 (en) 2002-03-29 2003-08-12 Intel Corporation Semiconductor transistor having a backfilled channel material
US20040045499A1 (en) 2002-06-10 2004-03-11 Amberwave Systems Corporation Source and drain elements
US6962856B2 (en) 2002-07-19 2005-11-08 Hynix Semiconductor, Inc. Method for forming device isolation film of semiconductor device
US6664156B1 (en) 2002-07-31 2003-12-16 Chartered Semiconductor Manufacturing, Ltd Method for forming L-shaped spacers with precise width control
US6858506B2 (en) 2002-08-08 2005-02-22 Macronix International Co., Ltd. Method for fabricating locally strained channel
US6809402B1 (en) 2002-08-14 2004-10-26 Advanced Micro Devices, Inc. Reflowable-doped HDP film
US6642122B1 (en) 2002-09-26 2003-11-04 Advanced Micro Devices, Inc. Dual laser anneal for graded halo profile
US20040067631A1 (en) 2002-10-03 2004-04-08 Haowen Bu Reduction of seed layer roughness for use in forming SiGe gate electrode
US6864135B2 (en) 2002-10-31 2005-03-08 Freescale Semiconductor, Inc. Semiconductor fabrication process using transistor spacers of differing widths
US20060258126A1 (en) 2003-02-04 2006-11-16 Sumco Corporation Semiconductor substrate, field-effect transistor, and their production methods
US20040227164A1 (en) 2003-05-14 2004-11-18 Samsung Electronics Co., Ltd. MOS transistor with elevated source/drain structure and method of fabricating the same
US20060163558A1 (en) 2003-05-14 2006-07-27 Samsung Electronics, Co., Ltd. MOS transistor with elevated source/drain structure
US6891192B2 (en) 2003-08-04 2005-05-10 International Business Machines Corporation Structure and method of making strained semiconductor CMOS transistors having lattice-mismatched semiconductor regions underlying source and drain regions
US7112495B2 (en) 2003-08-15 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
US6887751B2 (en) 2003-09-12 2005-05-03 International Business Machines Corporation MOSFET performance improvement using deformation in SOI structure
US6930007B2 (en) 2003-09-15 2005-08-16 Texas Instruments Incorporated Integration of pre-S/D anneal selective nitride/oxide composite cap for improving transistor performance
US7217627B2 (en) 2003-09-18 2007-05-15 Dongbu Electronics Co., Ltd. Semiconductor devices having diffusion barrier regions and halo implant regions and methods of fabricating the same
US6991979B2 (en) 2003-09-22 2006-01-31 International Business Machines Corporation Method for avoiding oxide undercut during pre-silicide clean for thin spacer FETs
US7132338B2 (en) 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US20070082451A1 (en) 2003-10-10 2007-04-12 Samoilov Arkadii V Methods to fabricate mosfet devices using a selective deposition process
US20050079692A1 (en) 2003-10-10 2005-04-14 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US20050082616A1 (en) 2003-10-20 2005-04-21 Huajie Chen High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US7060576B2 (en) 2003-10-24 2006-06-13 Intel Corporation Epitaxially deposited source/drain
US6991991B2 (en) 2003-11-12 2006-01-31 United Microelectronics Corp. Method for preventing to form a spacer undercut in SEG pre-clean process
US6946350B2 (en) 2003-12-31 2005-09-20 Intel Corporation Controlled faceting of source/drain regions
US20050139231A1 (en) 2003-12-31 2005-06-30 Commissariat A L'energie Atomique Method of wet cleaning a surface, especially of a material of the silicon-germanium type
US20050260830A1 (en) 2004-03-25 2005-11-24 Doo-Won Kwon Methods of fabricating a semiconductor device using a dilute aqueous solution of an ammonia and peroxide mixture
US7037773B2 (en) 2004-03-29 2006-05-02 United Microelectronics Corp. Method of manufacturing metal-oxide-semiconductor transistor
US20060088968A1 (en) 2004-06-17 2006-04-27 Samsung Electronics Co., Ltd. Methods of fabricating a semiconductor device using a selective epitaxial growth technique
US20050287752A1 (en) 2004-06-24 2005-12-29 Applied Materials, Inc. Methods for forming a transistor
US20050285193A1 (en) 2004-06-28 2005-12-29 Lee Sung-Young Semiconductor device and method of manufacturing same
US6972461B1 (en) 2004-06-30 2005-12-06 International Business Machines Corporation Channel MOSFET with strained silicon channel on strained SiGe
US7169675B2 (en) 2004-07-07 2007-01-30 Chartered Semiconductor Manufacturing, Ltd Material architecture for the fabrication of low temperature transistor
US7118952B2 (en) 2004-07-14 2006-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making transistor with strained source/drain
US7060579B2 (en) 2004-07-29 2006-06-13 Texas Instruments Incorporated Increased drive current by isotropic recess etch
US20060051922A1 (en) 2004-09-09 2006-03-09 Taiwan Semiconductor Manufacturing Company, Ltd. Strained silicon device manufacturing method
US20060057859A1 (en) 2004-09-16 2006-03-16 International Business Machines Corporation Buffer layer for selective SiGe growth for uniform nucleation
US20060292779A1 (en) 2004-09-29 2006-12-28 International Business Machines Corporation Structure and method for making strained channel field effect transistor using sacrificial spacer
US7202124B2 (en) 2004-10-01 2007-04-10 Massachusetts Institute Of Technology Strained gettering layers for semiconductor processes
US20060076627A1 (en) 2004-10-12 2006-04-13 International Business Machines Corporation Ultra shallow junction formation by epitaxial interface limited diffusion
US20060115949A1 (en) 2004-12-01 2006-06-01 Freescale Semiconductor, Inc. Semiconductor fabrication process including source/drain recessing and filling
US7667227B2 (en) 2004-12-28 2010-02-23 Fujitsu Microelectronics Limited Semiconductor device and fabrication method thereof
US7335959B2 (en) 2005-01-06 2008-02-26 Intel Corporation Device with stepped source/drain region profile
US20060292783A1 (en) 2005-02-28 2006-12-28 Young-Eun Lee CMOS transistor and method of manufacturing the same
US20060228842A1 (en) 2005-04-07 2006-10-12 Freescale Semiconductor, Inc. Transistor fabrication using double etch/refill process
US20060231826A1 (en) 2005-04-18 2006-10-19 Toshiba America Electronic Components, Inc. Step-embedded SiGe structure for PFET mobility enhancement
US20060281288A1 (en) 2005-06-13 2006-12-14 Fujitsu Limited Semiconductor device fabrication method
US7183596B2 (en) 2005-06-22 2007-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Composite gate structure in an integrated circuit
US7494858B2 (en) 2005-06-30 2009-02-24 Intel Corporation Transistor with improved tip profile and method of manufacture thereof
US20070023847A1 (en) 2005-07-26 2007-02-01 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
US20070034906A1 (en) 2005-08-10 2007-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with reduced recess on substrate surface
US20070049014A1 (en) 2005-08-25 2007-03-01 Ming-Tsung Chen Method of performing salicide processes on MOS transistors
US7612389B2 (en) 2005-09-15 2009-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded SiGe stressor with tensile strain for NMOS current enhancement
US20070072353A1 (en) 2005-09-23 2007-03-29 Chih-Ning Wu Method of fabricating strained-silicon transistors and strained-silicon CMOS transistors
US7491615B2 (en) 2005-09-23 2009-02-17 United Microelectronics Corp. Method of fabricating strained-silicon transistors and strained-silicon CMOS transistors
US20070072376A1 (en) 2005-09-29 2007-03-29 Semiconductor Manufacturing International (Shanghai) Corporation Strained-induced mobility enhancement nano-device structure and integrated process architecture for CMOS technologies
US7410859B1 (en) 2005-11-07 2008-08-12 Advanced Micro Devices, Inc. Stressed MOS device and method for its fabrication
US20070128783A1 (en) 2005-12-06 2007-06-07 Shyh-Fann Ting Method for fabricating strained-silicon cmos transistors
US7303999B1 (en) 2005-12-13 2007-12-04 Lam Research Corporation Multi-step method for etching strain gate recesses
US20070166929A1 (en) 2006-01-18 2007-07-19 Sumco Corporation Method of producing semiconductor wafer
US20090117715A1 (en) 2006-02-27 2009-05-07 Fujitsu Limited Semiconductor device fabricated by selective epitaxial growth method
US7494856B2 (en) 2006-03-30 2009-02-24 Freescale Semiconductor, Inc. Semiconductor fabrication process using etch stop layer to optimize formation of source/drain stressor
US7288822B1 (en) 2006-04-07 2007-10-30 United Microelectronics Corp. Semiconductor structure and fabricating method thereof
US20070262396A1 (en) 2006-04-25 2007-11-15 International Business Machines Corporation Transistors Having V-shape Source/Drain Metal Contacts
US20080014688A1 (en) 2006-07-06 2008-01-17 Voon-Yew Thean Selective uniaxial stress modification for use with strained silicon on insulator integrated circuit
US20100015774A1 (en) * 2006-07-28 2010-01-21 Fujitsu Microelectronics Limited Semiconductor device and method of manufacturing the same
US7592231B2 (en) 2006-08-01 2009-09-22 United Microelectronics Corp. MOS transistor and fabrication thereof
US20080061366A1 (en) 2006-09-11 2008-03-13 United Microelectronics Corp. Complementary metal-oxide-semiconductor device and fabricating method thereof
US20080067545A1 (en) 2006-09-20 2008-03-20 Samsung Electronics Co., Ltd Semiconductor device including field effect transistor and method of forming the same
US20080076236A1 (en) 2006-09-21 2008-03-27 Jih-Shun Chiang Method for forming silicon-germanium epitaxial layer
US20080085577A1 (en) 2006-10-05 2008-04-10 Hung-Lin Shih Method of manufacturing complementary metal oxide semiconductor transistor
US20080124874A1 (en) 2006-11-03 2008-05-29 Samsung Electronics Co., Ltd. Methods of Forming Field Effect Transistors Having Silicon-Germanium Source and Drain Regions
US20080116525A1 (en) 2006-11-16 2008-05-22 United Microelectronics Corp. Complementary metal-oxide-semiconductor device
US20080128746A1 (en) 2006-12-05 2008-06-05 Yin-Pin Wang Dual-SiGe epitaxy for MOS devices
US20080142886A1 (en) 2006-12-18 2008-06-19 Chin-I Liao Treatment method of semiconductor, method for manufacturing mos, and mos structure
US20090095992A1 (en) 2006-12-22 2009-04-16 Tomoya Sanuki Semiconductor device including mos field effect transistor and method for manufacturing the semiconductor device
US7838370B2 (en) 2006-12-27 2010-11-23 Texas Instruments Incorporated Highly selective liners for semiconductor fabrication
US20080220579A1 (en) 2007-03-07 2008-09-11 Advanced Micro Devices, Inc. Stress enhanced mos transistor and methods for its fabrication
US20080233746A1 (en) 2007-03-21 2008-09-25 Hui-Ling Huang Method for manufacturing mos transistors utilizing a hybrid hard mask
US20080233722A1 (en) 2007-03-23 2008-09-25 United Microelectronics Corp. Method of forming selective area compound semiconductor epitaxial layer
US7691752B2 (en) 2007-03-30 2010-04-06 Intel Corporation Methods of forming improved EPI fill on narrow isolation bounded source/drain regions and structures formed thereby
US20090039389A1 (en) 2007-08-09 2009-02-12 Chu-Yin Tseng Method of fabricating metal oxide semiconductor transistor
US20090045456A1 (en) 2007-08-13 2009-02-19 United Microelectronics Corp. Semiconductor device and method of fabricating the same
US20090108308A1 (en) * 2007-10-31 2009-04-30 Jusung Engineering Co., Ltd Transistor and method of fabricating the same
US20090124056A1 (en) 2007-11-12 2009-05-14 United Microelectronics Corp. Method of fabricating semiconductor device
US20090239347A1 (en) 2007-12-28 2009-09-24 United Microelectronics Corp. Method of forming mos device
US20090166625A1 (en) 2007-12-28 2009-07-02 United Microelectronics Corp. Mos device structure
US20090186475A1 (en) 2008-01-21 2009-07-23 Shyh-Fann Ting Method of manufacturing a MOS transistor
US20090184402A1 (en) 2008-01-22 2009-07-23 United Microelectronics Corp. Method of fabricating a shallow trench isolation structure including forming a second liner covering the corner of the trench and first liner.
US20090246922A1 (en) 2008-03-27 2009-10-01 Meng-Yi Wu Method of forming cmos transistor
US20090278170A1 (en) 2008-05-07 2009-11-12 Yun-Chi Yang Semiconductor device and manufacturing method thereof
US20090302348A1 (en) 2008-06-10 2009-12-10 International Business Machines Corporation Stress enhanced transistor devices and methods of making
US20100001317A1 (en) 2008-07-03 2010-01-07 Yi-Wei Chen Cmos transistor and the method for manufacturing the same
US20100093147A1 (en) * 2008-10-14 2010-04-15 Chin-I Liao Method for forming a semiconductor device

Also Published As

Publication number Publication date
US20140191285A1 (en) 2014-07-10
US20130026538A1 (en) 2013-01-31

Similar Documents

Publication Publication Date Title
US8716750B2 (en) Semiconductor device having epitaxial structures
US8653560B2 (en) Semiconductor device and fabrication method thereof
US9412828B2 (en) Aligned gate-all-around structure
US7592262B2 (en) Method for manufacturing MOS transistors utilizing a hybrid hard mask
US9178045B2 (en) Integrated circuit devices including FinFETS and methods of forming the same
US9159629B2 (en) High performance CMOS device design
US7622773B2 (en) Semiconductor device including multi-gate metal-insulator-semiconductor (MIS) transistor
US9583590B2 (en) Integrated circuit devices including FinFETs and methods of forming the same
US7462545B2 (en) Semicondutor device and manufacturing method thereof
US10176990B2 (en) SiGe FinFET with improved junction doping control
US20150035055A1 (en) Semiconductor device and manufacturing method therefor
US8053304B2 (en) Method of forming high-mobility devices including epitaxially growing a semiconductor layer on a dislocation-blocking layer in a recess formed in a semiconductor substrate
TW201810663A (en) Gate stack design for GaN e-mode transistor performance
US8829576B2 (en) Semiconductor structure and method of manufacturing the same
SG191250A1 (en) Uniaxially strained quantum well device and method of making same
US20140175556A1 (en) Semiconductor device having v-shaped region
US8441045B2 (en) Semiconductor device and method for manufacturing the same
US9653550B2 (en) MOSFET structure and manufacturing method thereof
WO2013063975A1 (en) Tunneling field effect transistor structure and forming method thereof
CN111916501A (en) Device with ferroelectric or negative capacitance material, method of manufacturing the same, and electronic apparatus
TWI549298B (en) Semiconductor device having epitaxial structures
US10600890B2 (en) Contact to metal gate isolation structure
US10199485B2 (en) Semiconductor device including quantum wires
TWI440072B (en) Method for forming a semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: UNITED MICROELECTRONICS CORP., TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LIAO, CHIN-I;HSUAN, TENG-CHUN;LAI, I-MING;AND OTHERS;REEL/FRAME:026638/0645

Effective date: 20110720

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551)

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8