US8652892B2 - Implant damage control by in-situ C doping during sige epitaxy for device applications - Google Patents

Implant damage control by in-situ C doping during sige epitaxy for device applications Download PDF

Info

Publication number
US8652892B2
US8652892B2 US13/113,107 US201113113107A US8652892B2 US 8652892 B2 US8652892 B2 US 8652892B2 US 201113113107 A US201113113107 A US 201113113107A US 8652892 B2 US8652892 B2 US 8652892B2
Authority
US
United States
Prior art keywords
forming
region
gate
layer
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active, expires
Application number
US13/113,107
Other versions
US20110223737A1 (en
Inventor
Jin Ping Liu
Judson Robert Holt
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
GlobalFoundries Singapore Pte Ltd
Original Assignee
GlobalFoundries Singapore Pte Ltd
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to US13/113,107 priority Critical patent/US8652892B2/en
Application filed by GlobalFoundries Singapore Pte Ltd, International Business Machines Corp filed Critical GlobalFoundries Singapore Pte Ltd
Publication of US20110223737A1 publication Critical patent/US20110223737A1/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION (IBM) reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION (IBM) ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HOLT, JUDSON ROBERT
Assigned to GLOBALFOUNDRIES SINGAPORE PTE. LTD. reassignment GLOBALFOUNDRIES SINGAPORE PTE. LTD. CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: CHARTERED SEMICONDUCTOR MANUFACTURING PTE. LTD.
Assigned to CHARTERED SEMICONDUCTOR MANUFACTURING LTD reassignment CHARTERED SEMICONDUCTOR MANUFACTURING LTD ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LIU, JIN PING
Assigned to CHARTERED SEMICONDUCTOR MANUFACTURING PTE. LTD. reassignment CHARTERED SEMICONDUCTOR MANUFACTURING PTE. LTD. CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: CHARTERED SEMICONDUCTOR MANUFACTURING LTD.
Priority to US14/182,242 priority patent/US8790980B2/en
Publication of US8652892B2 publication Critical patent/US8652892B2/en
Application granted granted Critical
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Assigned to WILMINGTON TRUST, NATIONAL ASSOCIATION reassignment WILMINGTON TRUST, NATIONAL ASSOCIATION SECURITY AGREEMENT Assignors: GLOBALFOUNDRIES INC.
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/4238Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the surface lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Definitions

  • U.S. 20050035369A1 Structure and method of forming integrated circuits utilizing strained channel transistors—Inventor: Lin, Chun-Chieh; Hsin-Chu, Taiwan
  • center carbon doped SiGe layer has a Si atomic % between 68.8% and 84.9%;
  • FIGS. 4A through 4B are cross sectional views for illustrating a method for manufacturing semiconductor device according to an fourth example embodiment of the present invention.
  • FIG. 1D shows a substrate with carbon doped SiGe regions 36 in the substrate 10 adjacent to the gate structure 20 22 of a PMOS transistor 50 P.
  • the PMOS transistor 50 P can be comprised of a gate dielectric, gate, spacers, source/drain (S/D) regions and source-drain extensions (SDE) regions.
  • the transistor can further comprise other elements such as Halo or pocket implants (not shown).
  • a gate structure can be comprised of a gate dielectric 20 , a gate electrode 22 , and spacers 24 .
  • a MOS transistor 50 P can be comprised of the gate structure 20 22 24 , a channel region under the gate electrode 22 and gate dielectric 20 in the substrate 10 .
  • FIG. 1E shows a cross sectional view of both PMOS TX 50 P and NMOS Tx 50 N formed on a substrate.
  • the SiGeC layer 36 was only formed in the PMOS region 11 .
  • the SiGeC region 36 puts a compressive stress on the PMOS channel thereby improving the PMOS performance.
  • the NMOS region 12 can be masked during the S/D recess etch in the PMOS region 12 .
  • a SiGeC region 237 N is formed in a S/D recess 230 N (see FIG. 2C ) adjacent to the NMOS gate structure 20 N 22 N.
  • a PMOS gate structure 20 22 24 is formed over the PMOS area 11 .
  • the PMOS gate structure can comprise a PMOS dielectric layer 20 , A PMOS gate 22 and NMOS spacers 24 .
  • the SiGeC region 237 N preferably puts a uniaxial tension stress on the NMOS channel region.
  • the stress can be tuned to be largely uniaxial or biaxial.
  • the stress tensor e.g., compressive or tensile
  • the film can be either N or P MOS or both.
  • SiGeC layer 301 301 N we can selectively form a SiGeC layer 301 301 N over the substrate surface.
  • the PMOS SiGeC layer 301 and the NMOS SiGeC layer 301 N can be formed in 2 separate steps so that their compositions are different.
  • the areas that we do not want the SiGeC can be covered. That is we can tune SiGeC layers 301 301 N to have the proper compression and tensile stress for the type devices (PMOS or NMOS) we are forming.
  • an upper channel Si-containing layer 303 303 N is formed over the SiGeC layer 30 301 N.
  • the Si-containing layer 303 303 N preferably has thickness between 20 and 50 nm (200 and 500 Angstroms).
  • the silicon containing layer 303 303 N can consist substantially of crystalline silicon.
  • the channel region is preferably at least partially in the upper channel Si-containing layer 303 303 N. In an aspect, the channel region is fully in the upper channel Si-containing layer 303 303 N.
  • halo implants can be performed to form NMOS halo regions 28 N and PMOS halo regions 28 .
  • Masking steps (not shown) can be used to mask the proper areas.
  • the SiGeC layer 301 301 N under the PMOS or NMOS channel provides defect gettering from the implanted doped regions (e.g, SDE and S/D and Halo) and maintains stress on the channels from the SiGeC regions 301 301 N.
  • implanted doped regions e.g, SDE and S/D and Halo
  • the PMOS SiGeC layer 303 can put compressive stress on the PMOS channel.
  • the NMOS SiGeC layer 303 N can put a tensile stress on the NMOS channel.
  • FIG. 4B shows the aspect where the SiGeC substantially fills the S/D recesses.
  • the PMOS S/D recesses 410 and NMOS S/D recesses 410 N can be filed with SiGeC or SiGe in separate steps so that the second (or center or SD) SiGeC or SiGe layers 420 and 420 N can have different compositions.
  • the second (or center or S/D) SiGeC or SiGe layers 420 and 420 N can have different compositions that create different amounts and types (compressive or tensile) of stress in the respective channels.
  • FIG. 5A shows XRD results for (a) as grown SiGe layers, (b) as grown SiGeC, and (c) as B-implanted and annealed SiGe as well as (d) as B-implanted and annealed SiGeC.
  • Well defined thickness fringes are seen in the as-grown films ( FIG. 5A (a) and (b), indicating little strain relaxation and smooth interface. Fitting the rocking curve for the SiGe layer in FIG. 5 A(a) using commercially available software gives a Ge composition of 24.3% and a thickness of 65 nm.
  • the example embodiments can be combined with other stress or strain inducing techniques such as stress memorization, dual stress layers (e.g, SiN capping stress layers). metal gates, STI stressors, etc.

Abstract

Some example embodiments of the invention comprise methods for and semiconductor structures comprised of: a MOS transistor comprised of source/drain regions, a gate dielectric, a gate electrode, channel region; a carbon doped SiGe region that applies a stress on the channel region whereby the carbon doped SiGe region retains stress/strain on the channel region after subsequent heat processing.

Description

CROSS REFERENCE TO RELATED APPLICATIONS
This patent application is a continuation of U.S. patent application Ser. No. 11/502,132, filed Aug. 9, 2006, entitled Implant damage control by in-situ C doping during SiGe epitaxy for device applications, currently pending, which claims priority at least under 35 U.S.C. 119(e) from the US provisional patent application:
Ser. No. 60/732,354, Filing date Oct. 31, 2005, entitled Implant damage control by in-situ C doping during SiGe epitaxy for device applications, first named inventor Jin Ping Liu, Singapore, SG, Confirmation Number: 5534. The entire contents of these related applications are incorporated herein by reference in their entirety.
BACKGROUND OF INVENTION
1) Field of the Invention
Some example embodiments of the present invention relates to a semiconductor device with lattice-mismatched zone and fabrication method thereof, and more specifically to a strained-channel transistor structure and fabrication method thereof and more particularly to a strained-channel transistor structure and fabrication method comprising a Carbon doped SiGe layer.
2) Description of the Prior Art
Size reduction of the metal-oxide-semiconductor field-effect transistor (MOSFET), including reduction of gate length and gate oxide thickness, has enabled a continuous improvement in speed performance, density, and cost per unit function of integrated circuits during the past few decades.
In order to further enhance performance of the transistor, stress/strain may be introduced in the transistor channel to improve carrier mobility to enhance performance of the transistor in addition to device scaling. There are several existing approaches to introducing strain in a channel region of the transistor.
U.S. Pat. No. 6,844,227: Semiconductor devices and method for manufacturing the same—Inventor: Kubo, Minoru; Mie, Japan
U.S. 20040262694A1: Transistor device containing carbon doped silicon in a recess next to MDD to create strain in channel Inventor: Chidambaram, PR
U.S. Pat. No. 6,190,975: Method of forming HCMOS devices with a silicon-germanium-carbon compound semiconductor layer Inventor: Kubo, Minoru; Mie, Japan
U.S. Pat. No. 6,576,535: Carbon doped epitaxial layer for high speed CB-CMOS—Inventor: Drobny, Vladimir F;
U.S. Pat. No. 6,190,975 and U.S. 20020011617A1: semiconductor device and method of producing the same—Inventor: KUBO, MINORU; MIE, Japan
U.S. 20050035369A1: Structure and method of forming integrated circuits utilizing strained channel transistors—Inventor: Lin, Chun-Chieh; Hsin-Chu, Taiwan
However, there is a need to improve upon these methods.
SUMMARY OF THE INVENTION
Some example embodiments of the invention comprise a semiconductor structure comprised of:
    • a MOS transistor on a substrate, the MOS transistor comprised of source/drain regions, a gate dielectric, a gate electrode, channel region;
    • a carbon doped SiGe region that applies a stress on the channel region whereby the carbon doped SiGe region retain strain on the channel region during subsequent heat processing.
A first example method embodiment, for forming a semiconductor device comprising the steps of:
    • providing a gate over a substrate;
    • etching S/D recesses in the substrate adjacent to the gate;
    • at least partially filling the S/D recesses with a carbon doped SiGe layer;
    • forming source and drain regions about adjacent to the gate at least partially in the carbon doped SiGe layer;
    • whereby the carbon doped SiGe layer puts a uniaxial strain on a channel region under the gate.
A second example method embodiment for forming a semiconductor device comprising the steps of:
    • providing a gate dielectric layer, and a gate over a substrate; the substrate comprised of silicon;
    • etching S/D recesses in the substrate adjacent to the gate;
    • partially filling the S/D recesses with a carbon doped SiGe layer;
    • forming a top S/D Si-containing layer over the carbon doped SiGe layer;
    • forming source and drain regions at least partially in top S/D Si-containing layer; whereby the carbon doped SiGe layer puts a uniaxial strain on a channel region under the gate.
A third example method embodiment for forming a semiconductor device comprising the steps of:
    • forming a carbon doped SiGe layer over a substrate; the substrate comprised of silicon;
    • forming a top silicon layer over the carbon doped SiGe layer;
    • forming gate dielectric layer, a gate over the top silicon layer;
    • etching S/D recesses in the substrate adjacent to the gate;
    • at least partially filling the S/D recesses with a silicon containing layer;
    • forming source and drain regions in at least partially in the silicon containing layer;
    • whereby the carbon doped SiGe layer puts a uniaxial strain on a channel region under the gate.
A fourth example method embodiment for forming a semiconductor device comprising the steps of:
    • forming a center carbon doped SiGe layer over a substrate; the substrate comprised of silicon;
    • forming a top silicon layer over the center carbon doped SiGe layer;
    • forming a gate dielectric layer, and a gate electrode over the top silicon layer;
    • etching S/D recesses in the substrate adjacent to the gate; and
    • at least partially filling the S/D recess with a S/D carbon doped SiGe layer.
forming source and drain regions adjacent to the gate at least partially in the S/D carbon doped SiGe layer.
An aspect of all example embodiments is wherein the center carbon doped SiGe layer has a Si atomic % between 68.8% and 84.9%;
    • a Ge atomic % between 15 and 30%;
    • a C atomic % between 0.1 and 0.2%.
An aspect of all example embodiments is the center carbon doped SiGe layer has a C concentration can be about between 1E19 and 1E20 atom/cc.
An aspect of all example embodiments further comprises; after forming the carbon doped SiGe layer; annealing the substrate at a temperature over 400 C.
A main advantage of the embodiments is that the SiGeC stressor regions retain their stress on the channel region after heat processes greater than 400 C. and especially after heat processes greater than 900 C.
The above and below advantages and features are of representative embodiments only, and are not exhaustive and/or exclusive. They are presented only to assist in understanding the invention. It should be understood that they are not representative of all the inventions defined by the claims, to be considered limitations on the invention as defined by the claims, or limitations on equivalents to the claims. For instance, some of these advantages may be mutually contradictory, in that they cannot be simultaneously present in a single embodiment. Similarly, some advantages are applicable to one aspect of the invention, and inapplicable to others. Furthermore, certain aspects of the claimed invention have not been discussed herein. However, no inference should be drawn regarding those discussed herein relative to those not discussed herein other than for purposes of space and reducing repetition. Thus, this summary of features and advantages should not be considered dispositive in determining equivalence. Additional features and advantages of the invention will become apparent in the following description, from the drawings, and from the claims.
BRIEF DESCRIPTION OF THE DRAWINGS
The features and advantages of a semiconductor device according to the present invention and further details of a process of fabricating such a semiconductor device in accordance with the present invention will be more clearly understood from the following description taken in conjunction with the accompanying drawings in which like reference numerals designate similar or corresponding elements, regions and portions and in which:
FIGS. 1A through 1E are cross sectional views for illustrating a structure and method for manufacturing semiconductor device according to a first example embodiment of the present invention.
FIGS. 2A through 2E are cross sectional views for illustrating a method for manufacturing semiconductor device according to a second example embodiment of the present invention.
FIGS. 3A through 3F are cross sectional views for illustrating a method for manufacturing semiconductor device according to a third example embodiment of the present invention.
FIGS. 4A through 4B are cross sectional views for illustrating a method for manufacturing semiconductor device according to an fourth example embodiment of the present invention.
FIG. 5A, shows rocking curves of SiGe layers without C in-situ doping (control cell) for a) as grown, c) after B implantation and high temperature anneal; Rocking curves of SiGe layers with C in-situ doping (invention) for b) as grown, and d) after B implantation and high temperature anneal.
FIG. 5B contains cross-sectional/plan-view TEM images of SiGe layers after B implantation and high temperature anneal for a)/c) without and b)/d) with C in-situ doping (invention's cell).
FIG. 5C contains cross-sectional TEM images of SiGe layers after As implantation and high temperature anneal for a) without and b) with C in-situ doping (invention's cell).
FIG. 6A is a table showing the results of an experiment involving the example embodiment's C doped SiGe layers.
FIG. 6B is a graph of some results of an experiment involving the example embodiment's C doped SiGe layers.
DETAILED DESCRIPTION OF THE EXAMPLE EMBODIMENTS
Overview
Non-limiting example embodiments of the invention form carbon doped SiGe stressor layers (SiGeC) that retain their strain after ion implants and/or heat cycles. The carbon doped SiGe stressor layers can be used in MOS transistors to put stress on the MOS channel regions to improve transistor performance. The embodiments' carbon doped SiGe stressor layers (SiGeC) can also reduce Ion implant damage in adjacent, proximate or overlapping doped regions. Preferably the carbon doped SiGe stressor layers are formed using an epitaxial process. The SiGeC regions can put a uniaxial stress on the MOS channel regions. A point of some example embodiments is that the C in the SiGe layers enables the SiGeC layer to maintain stress on the substrate even after subsequent heat cycles, such at over 400 C. and especially over 900 C. The inventors have found that SiGe loses it's stress after heat cycles/anneals. The embodiment's C in the carbon doped SiGe stressor layers helps the SiGeC layer retain stress even when annealed at temperature between 400 C. to 1300 C. and more preferably between 900 and 1090 C. and more preferably above 900 C.
Four example embodiments are described below.
TERMINOLOGY
  • SiGeC means carbon doped silicon germanium (e,g., Sii-x-yGexCy) (Silicon germanium carbon alloy).
  • Junction depth or depth of doped region—defined as depth from substrate surface where the n and p concentration are about equal. Schematically, the drawn junctions represent the boundary at which the n-type and p-type dopant are equal. These can be adjusted with adjusting the implant profiles either with different implant energy, dose and species type. In general, in the figures, the junction depth of the doped regions corresponds to a dopant concentration about 1E17 atom/cc.
  • SDE—source drain extension
I. First Embodiment a PMOS FET with S/D Regions in a Sigec Region FIG. 1D
An example embodiment comprises a PMOS transistor with one or more of the doped source/drain regions comprised at least partially with the SiGeC region. The SiGeC region can effectively put a uniaxial compressive strain on the PMOS channel.
A first example embodiment is shown in FIG. 1D.
FIG. 1D shows a substrate with carbon doped SiGe regions 36 in the substrate 10 adjacent to the gate structure 20 22 of a PMOS transistor 50P. The PMOS transistor 50P can be comprised of a gate dielectric, gate, spacers, source/drain (S/D) regions and source-drain extensions (SDE) regions. The transistor can further comprise other elements such as Halo or pocket implants (not shown).
FIG. 1C shows the source/drain regions 40 can be formed totally within the Carbon doped SiGe regions 36. The source/drain regions 40 can be formed by implanting p-dopants such as B or BF2 in the substrate. The implants can create End of range defects (EOR defects) or other defects 44 as shown in FIG. 1C.
The SiGeC layer 36 preferably has about the following concentrations:
Si atomic % between 68.8% and 84.9% (tgt=74.85)
Ge atomic % between 15 and 30% (target=25%)
C atomic % between 0.1 and 0.2% (target=0.15%)
In another measurement units, the C concentration can be about between 1E19 and 1E20 atom/cc.
The SiGeC layer can have these concentrations and concentration profiles in all embodiments (e.g., PMOS and NMOS).
The SiGeC layer 36 can have an about constant C concentration or can have a C concentration that varies between about 0.1 and 0.1 with depth.
The carbon doped SiGe layer is preferably formed by a selective epitaxy process, such as a LPCVD process.
The SiGe regions reduce the defects from the S/D ion implant (I/I) and from any other implants such as a halo implant or pocket implant (not shown).
A. First Embodiment—Example Method—C-Doped SiGe S/D Fill Regions
A non-limiting example method for the 1st example embodiment is shown in FIGS. 1A to 1E. It is understood that there are alternative methods to form the 1st example embodiment and this example does not limit the embodiment.
FIG. 1A
FIG. 1A shows a cross sectional view of a gate structure 20 22 24 over a substrate 10. In this non-limiting example, the Tx is a PMOS 50P.
A gate structure can be comprised of a gate dielectric 20, a gate electrode 22, and spacers 24. A MOS transistor 50P can be comprised of the gate structure 20 22 24, a channel region under the gate electrode 22 and gate dielectric 20 in the substrate 10.
The substrate 10 can be a silicon or SOI substrate. The upper substrate surface is preferably comprised of Si and can have a (100), (110), or (111) crystal orientation or other orientations and preferably a (100) orientation.
Isolation regions 18 can be formed in the substrate and can separate PMOS regions 11 and NMOS regions 12. (see FIG. 1E).
We can form LDD regions (or SDE) 26 in the substrate adjacent the gate before the spacers 24 are formed.
We etch S/D trenches 30 in the substrate 10 adjacent to the gate structures. We can use a trench resist mask 28 and the gate structures and the isolation regions as etch masks. We can remove the resist mask after the trenches are formed. The trench can have a depth between 700 and 2000 angstroms.
FIG. 1B
As shown in FIG. 1B, we form a SiGeC layer 36 at least partially filling the S/D recesses/trenches 30. The SiGeC layer 36 is preferably formed by a selective epitaxial process that can at least fill the S/D recesses/trenches 30.
FIG. 1C
As shown in FIG. 1C, we preferably implant dopant ions to form the source and drain (S/D) regions 40. For this PMOS example, the ions are p-type such as B, or BF2.
The S/D implant can form end of range (EOR) defects (and other defects) 44 near the bottom and below of the S/D regions 40.
Preferably the SiGeC region 36 has a lower depth at least 2.0 to 3.0 Rp (projected range) of the S/D implant. This depth helps ensures that the defects 44 are contained mostly within the SiGeC region 36 can reduce defects.
FIG. 1D
FIG. 1D shows the structure after an anneal. The defects are reduced by the SiGeC layer 36. The defects are reduced especially by the C in the SiGeC layer. The SiGeC layer preferably puts a uniaxial compressive strain (C) on the channel region.
After all heat processing, the SiGeC layer preferably has a depth below the bottoms of the Source and drain regions and preferably the source and drain regions are substantially contained in the SiGeC layers 36.
The embodiment's carbon (C) in the SiGeC layer helps the SiGeC layer retain stress even when annealed at temperature Between 400 C. to 1300 C. and more preferably between 900 and 1090 C.
FIG. 1E
FIG. 1E shows a cross sectional view of both PMOS TX 50P and NMOS Tx 50N formed on a substrate. The SiGeC layer 36 was only formed in the PMOS region 11. The SiGeC region 36 puts a compressive stress on the PMOS channel thereby improving the PMOS performance. The NMOS region 12 can be masked during the S/D recess etch in the PMOS region 12.
The NMOS Tx 50N can be comprised of: gate dielectric 20N, gate 22N, spacers 24N, LDD regions 26N, Halo region 28N, S/D region 40N and Pwell 14.
Preferably the SiGeC region 36 has a depth after all anneals (final product stage) that is at least 1% deeper and more preferably at least 10% deeper than the final total depth (measured from the top SiGeC surface) of the source and drain regions 40 and more preferably between 10% and 20% deeper than the final total depth (measured from the top SiGeC surface) of the source and drain regions 40.
B. Uniaxial Strain
In some embodiments, because the SiGeC regions are positioned adjacent to the Gate only in the direction from source to drain (not along the length of the channel), the SiGeC regions impart effectively a uniaxial stress on the channel.
For example in this embodiment shown in FIG. 1D, the SiGeC layer 36 puts a compressive stress/strain (C) on the PMOS channel region below the gate 22 thus improving hole mobility and PMOS transistor performance. Because the lattice constant of the SiGe is larger than that of Si, the channel region between the two SiGe source/drains is placed under compressive stress (C).
II. Second Example Embodiment SiGeC and Top Si Layer in S/D Recess
A second example embodiment comprises a NMOS transistor with the SiGeC region under and spaced from the source/drain (S/D) regions. The SiGeC region puts at least a tensile strain on the NMOS channel. The S/D regions are preferably substantially in a Si containing layer over the SiGeC regions.
Referring to FIG. 2E, a SiGeC region 237N is formed in a S/D recess 230N (see FIG. 2C) adjacent to the NMOS gate structure 20N 22N.
A top S/D silicon layer 238N is formed over the SiGeC region 237N. The silicon layer can be comprised substantially of silicon. The silicon layer can be doped with N type dopants or undoped.
S/D regions 240N are at least partially formed in the silicon layer 238N and possibly at least partially formed in the SiGeC region 237N.
The SiGeC region 237N preferably puts a uniaxial tension stress on the NMOS channel region.
The SiGeC region 237N helps reduce defects from the S/D I/I.
In addition, the carbon (C) in the SiGeC region 237N helps the SiGeC region 237N retain it's stress during subsequent processes, such as the S/D anneal.
A. Uniaxial Strain
Because the SiGeC regions 237N are positioned adjacent to the gate only in the direction from source to drain (not along the length of the channel), the SiGeC regions effectively imparts a uniaxial stress on the channel. This is not significantly a biaxial strain device.
For example in this embodiment shown in FIG. 2E, The SiGeC layer 237N puts a tensile stress/strain (T) on the NMOS channel region thus improving electron mobility and NMOS transistor performance.
B. Example Method for the Second Embodiment
A non-limiting example method for the second example embodiment is shown in FIGS. 2A to 2E. It is understood that there are alternative methods to form the 2nd example embodiment and this example does not limit the embodiment.
FIG. 2A
FIG. 2A shows a substrate 10 with a NMOS region 12 and a PMOS region 11. A NMOS gate structure 20N 22N 24N is formed over the NMOS region 12. The NMOS gate structure can comprise a NMOS dielectric layer 20N, A NMOS gate 22N and NMOS spacers 24N.
A PMOS gate structure 20 22 24 is formed over the PMOS area 11. The PMOS gate structure can comprise a PMOS dielectric layer 20, A PMOS gate 22 and NMOS spacers 24.
The PMOS region 11 can comprise an optional N-well 13. The NMOS region 12 can comprise an optional P-Well 14.
FIG. 2B
FIG. 2B shows a N-S/D recesses 230N formed adjacent to the N-gate structure. A resist layer 228 can cover the PMOS region 11 or having openings over the NMOS region 12. The resist layer is removed after the recess etch. The trench can have a depth between 700 and 2000 angstroms.
FIG. 2C
FIG. 2C shows a NMOS S/D SiGeC layer 237N formed to at least partially fill the N-S/D recess. The S/D SiGeC layer 237N can be formed using an selective epitaxial process. The S/D SiGeC layer 237N can have the same concentrations as discussed above in the first embodiment.
FIG. 2D
FIG. 2D shows a top NMOS Si containing S/D layer 238N formed over the SiGe C layer 237N. The top N—Si S/D layer 238N is preferably comprised substantially of crystalline silicon.
The top N—Si S/D layer 238N can have a thickness between 500 and 1000 angstroms.
The N-S/D SiGeC layer 237N can have a thickness between 200 and 1000 angstroms.
The SiGeC layer 237N preferably puts a Tensile stress (T) on the NMOS channel for example, roughly between about the SDE regions.
The SiGeC layer 237N preferably has the following concentrations
Si atomic % between 68.8% and 84.9% (tgt=74.85)
Ge atomic % between 15 and 30% (target=25%)
C atomic % between 0.1 and 0.2% (target=0.15%)
FIG. 2E
FIG. 2E shows S/D regions 240N are formed adjacent the gate structure at least partially in the silicon containing layer 238N. The S/D regions 240 are preferably formed by an implant process. Preferably the EOR regions from the S/D implant (before anneal) are located close to the SiGeC region so the EOR defects can be reduced by the SiGeC region.
The S/D regions 240N are least partially formed in the silicon layer 238N and possibly at least partially formed in the SiGeC region 237N.
In an option, after all anneal steps, the S/D regions 240N are substantially contained within the Si containing layer 238N. In another option, all anneal steps, the S/D regions 240N are substantially contained within the Si containing layer 238N and the SiGeC layer.
The SiGeC region 237N preferably puts a uniaxial tension stress on the NMOS channel region.
The SiGeC region 237N helps reduce defects from the S/D I/I.
In addition, the carbon (C) in the SiGeC region 237N helps the SiGeC region 237N retain it's stress during subsequent processes, such as the S/D or silicide anneal.
III. Third Example Embodiment SiGeC Region Under the Channel Region of a MOS tx
FIGS. 3E and 3F show an example embodiment comprising a SiGeC region under the channel region of a MOS tx. The SiGeC region puts a stress on the channel region of the MOS transistors. In this embodiment the S/D regions preferably are formed in silicon layers, not in SiGec. Depending on the configuration of the SiGeC layer, a uniaxial compressive or a tensile stress can be placed on the channel regions.
A. Method for the Third Example Embodiment
A non-limiting example method for the 3rd embodiment is shown in FIGS. 3A to 3E. It is understood that there are alternative methods to form the 3rd example embodiment and this example does not limit the embodiment.
Depending on the device geometry, the stress can be tuned to be largely uniaxial or biaxial. By tuning the stress tensor, (e.g., compressive or tensile) one can use the film for either N or P MOS or both.
As shown in FIG. 3A, a semiconductor substrate 10 is provided having spaced isolation regions 18 defining at least a PMOS region 11 and a NMOS region 12.
As shown in FIG. 3B, we etch back the silicon containing substrate 10 surface to form stressor recesses 15 16. The stressor recesses can have a depth between 500 and 1500 angstroms. We can use the isolation regions 18 as an etch mask.
As shown in FIG. 3C, we can selectively form a SiGeC layer 301 301N over the substrate surface. The PMOS SiGeC layer 301 and the NMOS SiGeC layer 301N can be formed in 2 separate steps so that their compositions are different. The areas that we do not want the SiGeC can be covered. That is we can tune SiGeC layers 301 301N to have the proper compression and tensile stress for the type devices (PMOS or NMOS) we are forming.
The SiGeC layer preferably has thickness between 50 and 100 nm. (500 and 1000 angstroms). The Si & Ge & C concentrations in the SIGE C layer 301 301N can be as described above in the other embodiments.
Next an upper channel Si-containing layer 303 303N is formed over the SiGeC layer 30 301N. The Si-containing layer 303 303N preferably has thickness between 20 and 50 nm (200 and 500 Angstroms). The silicon containing layer 303 303N can consist substantially of crystalline silicon. The channel region is preferably at least partially in the upper channel Si-containing layer 303 303N. In an aspect, the channel region is fully in the upper channel Si-containing layer 303 303N.
An optional N-Well 13 can be formed at any time. An optional P well (not shown) can also be formed at any time.
Referring to FIG. 3D, we form S/D recesses 310 310N in the silicon layer 303 303N, the SiGeC region 301 301N and possibly in the substrate 10.
Referring to FIG. 3E, we fill the PMOS S/D recesses 301 and NMOS S/D recesses 310N with a silicon continuing material 320 320N and preferably substantially with Si or crystalline Si. In an aspect, the PMOS S/D recess 301 are filled with a different material than the NMOS S/D recesses. In another aspect, either the PMOS S/D recess 301 or the NMOS S/D recess 301N or both are filled at least partially with SiGe or SiGeC. In an aspect, the Si material is comprised of 2 layers, a bottom SiGeC layer and an top Si layer. This could allow further tailoring the stress, mobility and performance of the NMOS and PMOS regions.
Referring to FIG. 3F, we perform a S/D implant to form PMOS S/D regions 40 in some combination of the silicon region, SiGeC region and the substrate.
The S/D implant comprises implanting Boron, Bf2, As, P or Sb ions into the substrate.
We can form NMOS S/D regions 40N adjacent to the NMOS gate.
Next, halo implants can be performed to form NMOS halo regions 28N and PMOS halo regions 28. Masking steps (not shown) can be used to mask the proper areas.
In one aspect, the entire LDD (or SDE) region 26 is contained in the upper channel Si-containing layer 303 303N. and the silicon continuing material 320 320N.
In this example, a NFET is formed in the NMOS region 12 and a PFET is formed in the PMOS region 11. There are other combinations. The embodiment's SiGeC may only be formed in the NMOS region and the PMOS regions can be standard devices or any of the other embodiments in this disclosure.
Non-Limiting Review Of Example Embodiment—Sigec Layer Under The Channel
The SiGeC layer 301 301N under the PMOS or NMOS channel provides defect gettering from the implanted doped regions (e.g, SDE and S/D and Halo) and maintains stress on the channels from the SiGeC regions 301 301N.
Depending on the device geometry, the stress can be tuned to be largely uniaxial or biaxial. By tuning the stress tensor (SiGeC regions), one can use the file for either NMOS or PMOS devices or both.
Depending on the SiGeC stressor composition and geometry, the PMOS SiGeC layer 303 can put compressive stress on the PMOS channel. Depending on the SiGeC stressor composition and geometry, the NMOS SiGeC layer 303N can put a tensile stress on the NMOS channel.
Other steps to form a completed device can be performed in any other using processes known by those skilled in the art.
IV. Fourth Example Embodiment
In a fourth example embodiment shown in FIGS. 4A and 4B, a first (or a center) SiGeC or SiGe layer 303 303N is formed under the channel of a MOS transistors. A second SiGe or SiGeC layer 420 420N is formed in S/D recesses 410 410N. The second (or center) SiGeC or SiGe layer (or S/D SiGe or S/D SiGe C layer) 420 420N can be under the doped S/D regions, contained within the S/D region, or partially overlap the S/D region or any combination of the above. Preferably the S/D SiGE or S/D SiGe C layer 420 420N fills the entire S/D recess 410 410N and extends below the S/D regions.
An example method for the fourth embodiment begins as described above for the third embodiment and shown in FIGS. 3A to 3C. Note that the PMOS SiGeC layer 301 and the NMOS SiGeC layer 301N can be formed in 2 separate steps so that their compositions are different. Next, referring to FIG. 4A, we etch S/D recesses 410 410N adjacent to the gate structures.
Referring to FIG. 4B, we fill the S/D recesses 410 410N at least partially with a SiGeC or SiGe layer 420 420N. FIG. 4B shows the aspect where the SiGeC substantially fills the S/D recesses. The PMOS S/D recesses 410 and NMOS S/D recesses 410N can be filed with SiGeC or SiGe in separate steps so that the second (or center or SD) SiGeC or SiGe layers 420 and 420N can have different compositions. The second (or center or S/D) SiGeC or SiGe layers 420 and 420N can have different compositions that create different amounts and types (compressive or tensile) of stress in the respective channels.
Next, the devices can be completed by for example, forming S/D regions 40 40N, and Halo implants (not shown).
Depending on the device geometry, the stress can be tuned to be largely uniaxial or biaxial. By tuning the stress tensor, one can use the film for either N or P MOS or both.
For the PMOS Tx, the S/D SiGeC 420 and channel SiGeC 301 can put a compressive stress on the PMOS channel.
For the NMOS Tx, the S/D SiGeC 420N and channel SiGeC 301N put a tensile stress on the NMOS channel.
Another possible aspect of the fourth embodiment is shown in FIG. 2E, where the SiGeC layer 237N partially fills the S/D recess and a silicon layer 240N is formed over the second SiGeC region 237N. The aspect might be used in a NMOS transistor.
A. Examples Impact of In-Situ C Doping on Implant Damage and Strain Relaxation of Epitaxial SiGe Layer on Si
In this example, implant damage and strain relaxation in thin epitaxial SiGe layers on Si (001) and their dependence on in-situ C doping in epitaxial SiGe are studied. For a 65 nm SiGe layer with ˜25% Ge, conventional implants used for p-MOS S/D, halo and extension led to significant implant damage and strain relaxation. Two defect bands were observed, one close to the surface and the other at SiGe/Si interface. In-situ C doping (1019-20/cm3) was found to eliminate the implant damage close to SiGe/Si interface area and prevent significant strain relaxation.
Here we study the implant damage and strain relaxation in thin epitaxial SiGe films (later referred to as SiGe) and in-situ C doped SiGe films (later referred to as SiGeC) on Si (001) substrates. We show that for a 65 nm SiGe layer with ˜25% Ge, conventional implants used for p-MOS S/D, halo and extension lead to significant implant damage and strain relaxation. Two defect bands are observed: one is close to the surface and the other is at SiGe/Si interface. In-situ C doping (1019-20/cm3) is found to eliminate the implant damage close to SiGe/Si interface area and prevent significant strain relaxation.
Epitaxial SiGe and SiGeC growth was performed on a commercially available LPCVD system. An additional C precursor was used for in-situ C doping under otherwise identical conditions. After epi growth, wafers were implanted using typical conditions for P-MOS S/D, halo, and extensions. Typical results are presented here from two different implants: 1) B implant with energy of several KeV and dose about 1015/cm2, and 2) As implant with energy of tens of KeV and dose of 1013/cm2. After implantation, wafers were rapid thermal annealed at high temperature (>1000 C). The strain relaxation and implant damage were characterized by high-resolution XRD and cross-sectional TEM. FIG. 5A shows XRD results for (a) as grown SiGe layers, (b) as grown SiGeC, and (c) as B-implanted and annealed SiGe as well as (d) as B-implanted and annealed SiGeC. Well defined thickness fringes are seen in the as-grown films (FIG. 5A (a) and (b), indicating little strain relaxation and smooth interface. Fitting the rocking curve for the SiGe layer in FIG. 5A(a) using commercially available software gives a Ge composition of 24.3% and a thickness of 65 nm. Assuming the same Ge composition as in SiGe layer, the substitutional C composition is determined to be 0.07% by fitting 5A(b) and using a non-linear relationship between C content and the lattice constant. This result is close to SIMS data indicating ˜100% substitutionality. After B implant and anneal, the (004) peak location for SiGe layer moves to lower angle and the thickness fringes disappear, indicating significant strain relaxation. The (224) reflection shows a broad peak, consistent with (004) reflection results. With no appreciable Ge interdiffusion during the anneal (AES results not shown), i.e., no change in Ge composition in the SiGe layer, a strain relaxation of ˜70% is calculated from (004) peak shifts shown in FIG. 5( a) and (c). Compared to SiGe layer, SiGeC layer shows less (004) peak shift to lower angle, and (224) reflection shows a sharp peak above a broad peak (FIG. 1( d)). The strain relaxation degree, if determined from (004) peak shift, is ˜13%. The sharp peak in (224) reflection (FIG. 5A (d), solid line), however, corresponds to a fully coherent SiGe layer, indicating nearly 0% strain relaxation. The apparent inconsistency can be explained by the microstructure of the epi films revealed by the TEM results shown in FIG. 5B.
For the implanted SiGe layer, we observed two bands of defects (FIG. 5B (a)), one close to the surface and the other at the SiGe/Si interface. The defects in the surface band are of the stacking fault tetrahedral type, while the dense arrays at the interface are misfit dislocations. The dominating features in the plan-view TEM (FIG. 5B (c)) are Moiré fringes, which obscure any contrast from the defects in either the surface or interface bands seen in FIG. 5B (a). Unlike the SiGe layer, the SiGeC film displays only the dense band of defects at the surface (FIG. 5B (b)). A well-ordered array of misfit dislocations exists at the SiGeC/Si interface (FIG. 5B(d)). The array is of low density such that the misfits are not seen in a random TEM cross section (FIG. 5B(b)).
We now propose an explanation on the strain relaxation behavior shown in FIG. 5A. The TEM results (FIG. 5B) show that in addition to the interface defects which normally cause relaxation in SiGe/Si heteroepitaxy system, there are surface defects that can relieve the strain in the top part of epilayers. This non-uniform strain relaxation across the depth of epilayers can be seen from the slightly asymmetrical (004) peak and the broad peak together with the sharp peak in the (224) reflection (FIG. 1( d)). From the spacing of these misfit dislocations in SiGeC layers (FIG. 5B, (d)), the strain relaxation degree caused by interface defects is determined to be ˜1%, consistent with the position of the sharp (224) peak observed in FIG. 5A(d). Thus the (004) peak shift in FIG. 5A (d) mostly comes from the additional strain relaxation, estimated to be ˜12%, from the surface defects. Because of the similarity of the surface defects observed between SiGe and SiGeC layers, we can assume the same strain relaxation degree, ˜12%, is caused by the surface defects. This is relatively small, compared to the overall strain relaxation, ˜70% for the SiGe epilayer. The non-uniform strain relaxation along the depth in SiGe layers is thus not clearly resolved in our (004) and (224) reflections.
Very interesting, we found very similar results on the implant damage and strain relaxation in the SiGe and SiGeC layers for the more-damaging As implants. As can be seen from the TEM results shown in FIG. 5C, for SiGeC, there is a surface defective layer, which is thicker due to the deeper projected range for the implants. The interface again is clean without many defects, indicating small degree of strain relaxation at the interface, consistent with ˜9% strain relaxation determined from XRD results (not shown). For the SiGe layer, however the film becomes quite defective across the depth. It seems the surface defect band is connected with the interface defect band, as observed in the B-implanted cases discussed earlier. The dense array of defects causes 85% strain relaxation in SiGe layer determined by XRD (not shown).
A hypothesis is that dislocation loops generated by implants in SiGe layer and Si substrate can move to SiGe/Si interface and form misfit dislocations, causing more strain relaxation than SiGe layer grown on Si without implants under the same thermal budget. This hypothesis is consistent with the high strain relaxation degree we observed here for implanted SiGe epi layers and with the fact that we found little strain relaxation for similar SiGe epi layers on Si with high temperature anneals alone. A small little amount of C has been shown to eliminate the EOR defects for implants in Si, which has been attributed to C atoms acting as Si interstitial sinks. A similar mechanism seems to be operating for epitaxial SiGe layers. The suppression of EOR defects inhibits the nucleation of misfit dislocation for such thin SiGe layer and thus prevents strain relaxation. Near the surface however the defects are still formed as in SiGe layer, which may be due to the inefficiency of Si interstitial sinks or too many displaced Si, because of the shallow implant conditions used.
In summary we have shown that for a 65 nm SiGe layer with ˜25% Ge, conventional implants used for p-MOS S/D, halo and extension lead to significant implant damage and strain relaxation. Two defect bands are observed: one is close to the surface and the other is at SiGe/Si interface. Surface defects cause additional strain relaxation in the top part of the epi layers. In-situ C doping (1E19 to 1E20/cm3) is found to eliminate the implant damage close to SiGe/Si interface area and prevent significant strain relaxation.
B. Example 2 C Helps SiGe Retain Stress after Anneals
Test wafers were prepared using the following flow:
    • Grow SiGe(C) epi with a C concentration about 1.5 atomic % (1E19 to 1E20/cm3)
    • Implant
    • RTA at T greater than 1000 C.
    • (stress measurement done after each step)
FIG. 6A shows the results in tables 1, 2, 3 and 4.
FIG. 6B shows a graph of percentage of Stress retained vs C for the 4 I/I conditions.
Some conclusion that we can draw from FIG. 6A and FIG. 6B.
    • 1) C helps SiGe retain stress for all 4 implant conditions
    • 2) As implant gives the worst impact on stress loss followed by B S/D implant and then by BF2 implants.
    • 3) It seems implant-induced damage is the major contributor to stress loss although film thickness may be slightly thicker than critical thickness.
      CN=0.5 sccm is about C concentration in the SiGec layer of about 3E19 Atoms/cc
      CN=1.2 sccm is about C concentration in the SiGec layer of about 7E19 Atoms/cc
C. Non-Limiting Example Embodiments
The example embodiments can be combined with other stress or strain inducing techniques such as stress memorization, dual stress layers (e.g, SiN capping stress layers). metal gates, STI stressors, etc.
Other configurations of gate structures are possible for all embodiments.
Given the variety of embodiments of the present invention just described, the above description and illustrations show not be taken as limiting the scope of the present invention defined by the claims.
While the invention has been particularly shown and described with reference to the preferred embodiments thereof, it will be understood by those skilled in the art that various changes in form and details may be made without departing from the spirit and scope of the invention. It is intended to cover various modifications and similar arrangements and procedures, and the scope of the appended claims therefore should be accorded the broadest interpretation so as to encompass all such modifications and similar arrangements and procedures.

Claims (23)

What is claimed is:
1. A method for forming a device comprising:
providing a substrate having a device region;
forming a p-type transistor on the device region of the substrate, wherein the transistor comprises
a gate,
source and drain (S/D) regions adjacent to the gate, wherein a bottom of the S/D regions is disposed below a bottom of source-drain extension (SDE) regions, and
a channel region under the gate between the S/D regions;
forming at least one recess in the substrate; and
forming a stressor region in at least a portion of the recess in the substrate to apply a stress on the channel region, the stressor region comprises a carbon doped silicon germanium (SiGe) stressor layer having EOR defects resulting from ion implantation to form the S/D regions, wherein a bottom of the stressor layer is disposed at least below the EOR defects, and wherein the carbon doped SiGe stressor layer comprises an amount of carbon to reduce the amount of EOR defects in order to reduce relaxation of the stress in the stressor layer from subsequent annealing of the substrate.
2. The method of claim 1 wherein forming the at least one recess in the substrate includes:
forming S/D recesses adjacent to the gate.
3. The method of claim 2 wherein forming the stressor region comprises forming S/D stressors in at least a portion of the S/D recesses adjacent to the gate.
4. The method of claim 3 further comprises forming S/D layers above top surfaces of the S/D stressors in the S/D recesses.
5. The method of claim 2 wherein the S/D recesses are formed after forming the gate of the p-type transistor.
6. The method of claim 2 comprises forming S/D stressors in the S/D recesses adjacent to the gate.
7. The method of claim 6 wherein the stress comprises a compressive stress.
8. The method of claim 1 wherein the stressor region comprises a stressor layer which completely fills the recess in the substrate.
9. The method of claim 8 wherein:
the stressor region is disposed under and spaced apart from the channel region; and
further comprises forming a channel layer over the stressor region.
10. The method of claim 9 further comprises:
forming S/D recesses adjacent to the gate structure.
11. The method of claim 1 wherein the amount of carbon in the carbon doped SiGe layer comprises about 0.1 to 0.2 atomic %.
12. The method of claim 1 wherein the bottom of the stressor layer is disposed completely below the EOR defects.
13. The method of claim 1 wherein the carbon doped stressor layer is formed by selective epitaxial process.
14. A method for forming a device comprising:
providing a substrate having a device region;
forming a p-type transistor on the device region of the substrate, wherein the transistor comprises
a gate,
source and drain (S/D) regions adjacent to the gate, wherein a bottom of the S/D regions is disposed below a bottom of source-drain extension (SDE) regions, and
a channel region under the gate between the S/D regions;
forming at least one recess in the substrate; and
forming a stressor region in at least a portion of the recess in the substrate to apply a stress on the channel region, the stressor region comprises a carbon doped silicon germanium (SiGe) stressor layer having EOR defects resulting from ion implantation to form the S/D regions, and wherein a bottom of the stressor layer is disposed at least below the EOR defects.
15. The method of claim 14 wherein forming the at least one recess in the substrate includes:
forming S/D recesses adjacent to the gate.
16. The method of claim 15 wherein the stressor region comprises forming S/D stressors in at least a portion of the S/D recesses adjacent to the gate.
17. A method for forming a device comprising:
providing a substrate having a device region;
forming a transistor on the device region, wherein the transistor comprises
a gate,
diffusion regions adjacent to the gate, wherein a bottom of the diffusion regions is below a bottom of extension regions,
forming at least one recess in at least a portion of the substrate; and
forming a stressor region in at least a portion of the recess to apply a stress on a desired region of the substrate, the stressor region comprises a carbon doped silicon germanium (SiGe) stressor layer having EOR defects resulting from subsequent ion implantation to form the diffusion regions and wherein the carbon doped SiGe stressor layer comprises an amount of carbon to reduce the amount of EOR defects in order to reduce relaxation of the stress in the stressor layer from subsequent processing of the substrate and a bottom of the stressor layer is disposed at least below the EOR defects.
18. The method of claim 17 wherein the transistor further comprises:
a channel region under the gate between the diffusion regions.
19. The method of claim 18 wherein the desired region includes the channel region.
20. The method of claim 18 wherein forming the at least one recess includes etching at least a portion of the substrate.
21. The method of claim 17 wherein the transistor comprises a p-type transistor.
22. The method of claim 17 wherein the amount of carbon in the stressor layer comprises about 0.1 to 0.2 atomic %.
23. The method of claim 17 further comprises forming at least one diffusion layer above a top surface of the stressor layer, and wherein the transistor comprises a n-type transistor.
US13/113,107 2005-10-31 2011-05-23 Implant damage control by in-situ C doping during sige epitaxy for device applications Active 2027-07-04 US8652892B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US13/113,107 US8652892B2 (en) 2005-10-31 2011-05-23 Implant damage control by in-situ C doping during sige epitaxy for device applications
US14/182,242 US8790980B2 (en) 2005-10-31 2014-02-17 Implant damage control by in-situ C doping during sige epitaxy for device applications

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US73235405P 2005-10-31 2005-10-31
US11/502,132 US7947546B2 (en) 2005-10-31 2006-08-09 Implant damage control by in-situ C doping during SiGe epitaxy for device applications
US13/113,107 US8652892B2 (en) 2005-10-31 2011-05-23 Implant damage control by in-situ C doping during sige epitaxy for device applications

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/502,132 Continuation US7947546B2 (en) 2005-10-31 2006-08-09 Implant damage control by in-situ C doping during SiGe epitaxy for device applications

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US14/182,242 Division US8790980B2 (en) 2005-10-31 2014-02-17 Implant damage control by in-situ C doping during sige epitaxy for device applications

Publications (2)

Publication Number Publication Date
US20110223737A1 US20110223737A1 (en) 2011-09-15
US8652892B2 true US8652892B2 (en) 2014-02-18

Family

ID=38130896

Family Applications (3)

Application Number Title Priority Date Filing Date
US11/502,132 Active 2028-03-10 US7947546B2 (en) 2005-10-31 2006-08-09 Implant damage control by in-situ C doping during SiGe epitaxy for device applications
US13/113,107 Active 2027-07-04 US8652892B2 (en) 2005-10-31 2011-05-23 Implant damage control by in-situ C doping during sige epitaxy for device applications
US14/182,242 Active US8790980B2 (en) 2005-10-31 2014-02-17 Implant damage control by in-situ C doping during sige epitaxy for device applications

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/502,132 Active 2028-03-10 US7947546B2 (en) 2005-10-31 2006-08-09 Implant damage control by in-situ C doping during SiGe epitaxy for device applications

Family Applications After (1)

Application Number Title Priority Date Filing Date
US14/182,242 Active US8790980B2 (en) 2005-10-31 2014-02-17 Implant damage control by in-situ C doping during sige epitaxy for device applications

Country Status (3)

Country Link
US (3) US7947546B2 (en)
CN (1) CN1979787B (en)
SG (4) SG2014013031A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9536736B2 (en) 2015-02-04 2017-01-03 International Business Machines Corporation Reducing substrate bowing caused by high percentage sige layers
US9728642B2 (en) 2015-11-04 2017-08-08 International Business Machines Corporation Retaining strain in finFET devices

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7947546B2 (en) * 2005-10-31 2011-05-24 Chartered Semiconductor Manufacturing, Ltd. Implant damage control by in-situ C doping during SiGe epitaxy for device applications
US8900980B2 (en) * 2006-01-20 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Defect-free SiGe source/drain formation by epitaxy-free process
US8154051B2 (en) * 2006-08-29 2012-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. MOS transistor with in-channel and laterally positioned stressors
US7605407B2 (en) * 2006-09-06 2009-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Composite stressors with variable element atomic concentrations in MOS devices
US7554110B2 (en) * 2006-09-15 2009-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with partial stressor channel
US7800182B2 (en) * 2006-11-20 2010-09-21 Infineon Technologies Ag Semiconductor devices having pFET with SiGe gate electrode and embedded SiGe source/drain regions and methods of making the same
US7875511B2 (en) * 2007-03-13 2011-01-25 International Business Machines Corporation CMOS structure including differential channel stressing layer compositions
US20080242032A1 (en) * 2007-03-29 2008-10-02 Texas Instruments Incorporated Carbon-Doped Epitaxial SiGe
US7700452B2 (en) * 2007-08-29 2010-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel transistor
JP2009152394A (en) * 2007-12-20 2009-07-09 Toshiba Corp Semiconductor device and method of manufacturing the same
US7838355B2 (en) * 2008-06-04 2010-11-23 International Business Machines Corporation Differential nitride pullback to create differential NFET to PFET divots for improved performance versus leakage
US20100109045A1 (en) * 2008-10-30 2010-05-06 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system employing stress-engineered layers
US8367485B2 (en) * 2009-09-01 2013-02-05 International Business Machines Corporation Embedded silicon germanium n-type filed effect transistor for reduced floating body effect
US8999798B2 (en) * 2009-12-17 2015-04-07 Applied Materials, Inc. Methods for forming NMOS EPI layers
CN102130054B (en) * 2010-01-20 2013-05-01 中芯国际集成电路制造(上海)有限公司 Method for improving divergence of cut-off leakage current of semiconductor device
US8502316B2 (en) * 2010-02-11 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned two-step STI formation through dummy poly removal
US20110215376A1 (en) 2010-03-08 2011-09-08 International Business Machines Corporation Pre-gate, source/drain strain layer formation
CN102194748B (en) * 2010-03-15 2014-04-16 北京大学 Semiconductor device and manufacture method thereof
DE102010029531B4 (en) 2010-05-31 2017-09-07 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg A method of reducing the defect rates in PFET transistors with a Si / Ge semiconductor material made by epitaxial growth
US8426278B2 (en) 2010-06-09 2013-04-23 GlobalFoundries, Inc. Semiconductor devices having stressor regions and related fabrication methods
US8642407B2 (en) * 2010-11-04 2014-02-04 International Business Machines Corporation Devices having reduced susceptibility to soft-error effects and method for fabrication
US8637871B2 (en) * 2010-11-04 2014-01-28 International Business Machines Corporation Asymmetric hetero-structure FET and method of manufacture
CN102569082B (en) * 2010-12-24 2015-05-20 中芯国际集成电路制造(上海)有限公司 Method for manufacturing embedded germanium-silicon strain PMOS (P-channel Metal Oxide Semiconductor) structure
WO2012102755A1 (en) * 2011-01-28 2012-08-02 Applied Materials, Inc. Carbon addition for low resistivity in situ doped silicon epitaxy
CN102956445A (en) * 2011-08-24 2013-03-06 中芯国际集成电路制造(上海)有限公司 Method for growing germanium-silicon epitaxial layers
US9006827B2 (en) * 2011-11-09 2015-04-14 International Business Machines Corporation Radiation hardened memory cell and design structures
US8872228B2 (en) * 2012-05-11 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Strained-channel semiconductor device fabrication
CN103456782B (en) * 2012-05-28 2016-12-14 中国科学院微电子研究所 Semiconductor device and manufacture method thereof
US8836041B2 (en) * 2012-11-16 2014-09-16 Stmicroelectronics, Inc. Dual EPI CMOS integration for planar substrates
US8735241B1 (en) * 2013-01-23 2014-05-27 Globalfoundries Inc. Semiconductor device structure and methods for forming a CMOS integrated circuit structure
CN103985633B (en) * 2013-02-08 2017-10-20 中芯国际集成电路制造(上海)有限公司 A kind of preparation method of PMOS transistor
US9269714B2 (en) * 2013-06-10 2016-02-23 Globalfoundries Inc. Device including a transistor having a stressed channel region and method for the formation thereof
CN106062953B (en) * 2013-12-27 2020-01-21 英特尔公司 Bi-axial tensile strained Ge channel for CMOS
US9419136B2 (en) 2014-04-14 2016-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Dislocation stress memorization technique (DSMT) on epitaxial channel devices
WO2016003575A2 (en) * 2014-07-02 2016-01-07 Applied Materials, Inc. Localized stress modulation for overlay and epe
CN105529268B (en) * 2014-10-27 2019-01-22 中芯国际集成电路制造(上海)有限公司 Transistor and forming method thereof
US9837415B2 (en) * 2015-06-25 2017-12-05 International Business Machines Corporation FinFET structures having silicon germanium and silicon fins with suppressed dopant diffusion
US10062695B2 (en) * 2015-12-08 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11088033B2 (en) * 2016-09-08 2021-08-10 International Business Machines Corporation Low resistance source-drain contacts using high temperature silicides
WO2018089217A1 (en) 2016-11-11 2018-05-17 Applied Materials, Inc. Hybrid laser and implant treatment for overlay error correction
WO2019005090A1 (en) * 2017-06-30 2019-01-03 Intel Corporation Semiconducting oxide device source and drain contacts including graded indium layers
US11264501B2 (en) * 2017-09-29 2022-03-01 Intel Corporation Device, method and system for promoting channel stress in a NMOS transistor
WO2019066971A1 (en) * 2017-09-29 2019-04-04 Intel Corporation Device, method and system for imposing transistor channel stress with an insulation structure
JP2020047670A (en) * 2018-09-14 2020-03-26 キオクシア株式会社 Semiconductor device and semiconductor storage device
US10971625B2 (en) * 2019-06-30 2021-04-06 Globalfoundries U.S. Inc. Epitaxial structures of a semiconductor device having a wide gate pitch

Citations (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6153920A (en) 1994-12-01 2000-11-28 Lucent Technologies Inc. Process for controlling dopant diffusion in a semiconductor layer and semiconductor device formed thereby
US6190975B1 (en) 1996-09-17 2001-02-20 Matsushita Electric Industrial Co., Ltd. Method of forming HCMOS devices with a silicon-germanium-carbon compound semiconductor layer
US6274894B1 (en) 1999-08-17 2001-08-14 Advanced Micro Devices, Inc. Low-bandgap source and drain formation for short-channel MOS transistors
US6326664B1 (en) 1994-12-23 2001-12-04 Intel Corporation Transistor with ultra shallow tip and method of fabrication
US20020033511A1 (en) 2000-09-15 2002-03-21 Babcock Jeffrey A. Advanced CMOS using super steep retrograde wells
US6492216B1 (en) 2002-02-07 2002-12-10 Taiwan Semiconductor Manufacturing Company Method of forming a transistor with a strained channel
US6566204B1 (en) 2000-03-31 2003-05-20 National Semiconductor Corporation Use of mask shadowing and angled implantation in fabricating asymmetrical field-effect transistors
US6576535B2 (en) 2001-04-11 2003-06-10 Texas Instruments Incorporated Carbon doped epitaxial layer for high speed CB-CMOS
US20040173815A1 (en) 2003-03-04 2004-09-09 Yee-Chia Yeo Strained-channel transistor structure with lattice-mismatched zone
US6797556B2 (en) 1999-12-30 2004-09-28 Intel Corporation MOS transistor structure and method of fabrication
US6800910B2 (en) 2002-09-30 2004-10-05 Advanced Micro Devices, Inc. FinFET device incorporating strained silicon in the channel region
US6806151B2 (en) 2001-12-14 2004-10-19 Texas Instruments Incorporated Methods and apparatus for inducing stress in a semiconductor device
US6831350B1 (en) 2003-10-02 2004-12-14 Freescale Semiconductor, Inc. Semiconductor structure with different lattice constant materials and method for forming the same
US20040262694A1 (en) * 2003-06-25 2004-12-30 Chidambaram Pr Transistor device containing carbon doped silicon in a recess next to MDD to create strain in channel
US20040262683A1 (en) * 2003-06-27 2004-12-30 Bohr Mark T. PMOS transistor strain optimization with raised junction regions
US6844227B2 (en) 2000-12-26 2005-01-18 Matsushita Electric Industrial Co., Ltd. Semiconductor devices and method for manufacturing the same
US20050029601A1 (en) 2003-08-04 2005-02-10 International Business Machines Corporation Structure and method of making strained semiconductor cmos transistors having lattice-mismatched source and drain regions
US20050035369A1 (en) 2003-08-15 2005-02-17 Chun-Chieh Lin Structure and method of forming integrated circuits utilizing strained channel transistors
US20050156169A1 (en) 2003-05-01 2005-07-21 International Business Machines Corporation High performance FET devices and methods thereof
US20050285187A1 (en) * 2004-06-24 2005-12-29 International Business Machines Corporation Strained-silicon CMOS device and method
US20060118878A1 (en) * 2004-12-02 2006-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. CMOS device with selectively formed and backfilled semiconductor substrate areas to improve device performance
US20060134872A1 (en) 2004-12-17 2006-06-22 Hattendorf Michael L Strained NMOS transistor featuring deep carbon doped regions and raised donor doped source and drain
US7112848B2 (en) * 2004-09-13 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Thin channel MOSFET with source/drain stressors
US7122435B2 (en) 2004-08-02 2006-10-17 Texas Instruments Incorporated Methods, systems and structures for forming improved transistors
US7145166B2 (en) * 2004-08-19 2006-12-05 Taiwan Semiconductor Manufacturing Company, Ltd. CMOSFET with hybrid strained channels
US20070093033A1 (en) * 2005-10-24 2007-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Ultra shallow junction formation by solid phase diffusion
US7221006B2 (en) 2005-04-20 2007-05-22 Freescale Semiconductor, Inc. GeSOI transistor with low junction current and low junction capacitance and method for making the same
US7268049B2 (en) 2004-09-30 2007-09-11 International Business Machines Corporation Structure and method for manufacturing MOSFET with super-steep retrograded island
US7279430B2 (en) 2004-08-17 2007-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. Process for fabricating a strained channel MOSFET device
US7465972B2 (en) 2005-01-21 2008-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. High performance CMOS device design
US7807538B2 (en) * 2007-01-26 2010-10-05 Kabushiki Kaisha Toshiba Method of forming a silicide layer while applying a compressive or tensile strain to impurity layers
US7947546B2 (en) * 2005-10-31 2011-05-24 Chartered Semiconductor Manufacturing, Ltd. Implant damage control by in-situ C doping during SiGe epitaxy for device applications

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5985703A (en) * 1994-10-24 1999-11-16 Banerjee; Sanjay Method of making thin film transistors
US6333217B1 (en) * 1999-05-14 2001-12-25 Matsushita Electric Industrial Co., Ltd. Method of forming MOSFET with channel, extension and pocket implants
US6544854B1 (en) * 2000-11-28 2003-04-08 Lsi Logic Corporation Silicon germanium CMOS channel
US6927414B2 (en) * 2003-06-17 2005-08-09 International Business Machines Corporation High speed lateral heterojunction MISFETs realized by 2-dimensional bandgap engineering and methods thereof
US7169675B2 (en) * 2004-07-07 2007-01-30 Chartered Semiconductor Manufacturing, Ltd Material architecture for the fabrication of low temperature transistor

Patent Citations (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6153920A (en) 1994-12-01 2000-11-28 Lucent Technologies Inc. Process for controlling dopant diffusion in a semiconductor layer and semiconductor device formed thereby
US6326664B1 (en) 1994-12-23 2001-12-04 Intel Corporation Transistor with ultra shallow tip and method of fabrication
US6190975B1 (en) 1996-09-17 2001-02-20 Matsushita Electric Industrial Co., Ltd. Method of forming HCMOS devices with a silicon-germanium-carbon compound semiconductor layer
US20020011617A1 (en) 1996-09-17 2002-01-31 Minoru Kubo Semiconductor device and method of producing the same
US6274894B1 (en) 1999-08-17 2001-08-14 Advanced Micro Devices, Inc. Low-bandgap source and drain formation for short-channel MOS transistors
US6797556B2 (en) 1999-12-30 2004-09-28 Intel Corporation MOS transistor structure and method of fabrication
US6566204B1 (en) 2000-03-31 2003-05-20 National Semiconductor Corporation Use of mask shadowing and angled implantation in fabricating asymmetrical field-effect transistors
US20020033511A1 (en) 2000-09-15 2002-03-21 Babcock Jeffrey A. Advanced CMOS using super steep retrograde wells
US6844227B2 (en) 2000-12-26 2005-01-18 Matsushita Electric Industrial Co., Ltd. Semiconductor devices and method for manufacturing the same
US6576535B2 (en) 2001-04-11 2003-06-10 Texas Instruments Incorporated Carbon doped epitaxial layer for high speed CB-CMOS
US6806151B2 (en) 2001-12-14 2004-10-19 Texas Instruments Incorporated Methods and apparatus for inducing stress in a semiconductor device
US6492216B1 (en) 2002-02-07 2002-12-10 Taiwan Semiconductor Manufacturing Company Method of forming a transistor with a strained channel
US6800910B2 (en) 2002-09-30 2004-10-05 Advanced Micro Devices, Inc. FinFET device incorporating strained silicon in the channel region
US20040173815A1 (en) 2003-03-04 2004-09-09 Yee-Chia Yeo Strained-channel transistor structure with lattice-mismatched zone
US20050156169A1 (en) 2003-05-01 2005-07-21 International Business Machines Corporation High performance FET devices and methods thereof
US20070132027A1 (en) * 2003-06-25 2007-06-14 Texas Instruments Incorporated Transistor device containing carbon doped silicon in a recess next to MDD to create strain in channel
US20040262694A1 (en) * 2003-06-25 2004-12-30 Chidambaram Pr Transistor device containing carbon doped silicon in a recess next to MDD to create strain in channel
US20040262683A1 (en) * 2003-06-27 2004-12-30 Bohr Mark T. PMOS transistor strain optimization with raised junction regions
US20050029601A1 (en) 2003-08-04 2005-02-10 International Business Machines Corporation Structure and method of making strained semiconductor cmos transistors having lattice-mismatched source and drain regions
US20050035369A1 (en) 2003-08-15 2005-02-17 Chun-Chieh Lin Structure and method of forming integrated circuits utilizing strained channel transistors
US6831350B1 (en) 2003-10-02 2004-12-14 Freescale Semiconductor, Inc. Semiconductor structure with different lattice constant materials and method for forming the same
US20050285187A1 (en) * 2004-06-24 2005-12-29 International Business Machines Corporation Strained-silicon CMOS device and method
US7122435B2 (en) 2004-08-02 2006-10-17 Texas Instruments Incorporated Methods, systems and structures for forming improved transistors
US7279430B2 (en) 2004-08-17 2007-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. Process for fabricating a strained channel MOSFET device
US7145166B2 (en) * 2004-08-19 2006-12-05 Taiwan Semiconductor Manufacturing Company, Ltd. CMOSFET with hybrid strained channels
US7112848B2 (en) * 2004-09-13 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Thin channel MOSFET with source/drain stressors
US7268049B2 (en) 2004-09-30 2007-09-11 International Business Machines Corporation Structure and method for manufacturing MOSFET with super-steep retrograded island
US20060118878A1 (en) * 2004-12-02 2006-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. CMOS device with selectively formed and backfilled semiconductor substrate areas to improve device performance
US20060134872A1 (en) 2004-12-17 2006-06-22 Hattendorf Michael L Strained NMOS transistor featuring deep carbon doped regions and raised donor doped source and drain
US7465972B2 (en) 2005-01-21 2008-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. High performance CMOS device design
US7221006B2 (en) 2005-04-20 2007-05-22 Freescale Semiconductor, Inc. GeSOI transistor with low junction current and low junction capacitance and method for making the same
US20070093033A1 (en) * 2005-10-24 2007-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Ultra shallow junction formation by solid phase diffusion
US7947546B2 (en) * 2005-10-31 2011-05-24 Chartered Semiconductor Manufacturing, Ltd. Implant damage control by in-situ C doping during SiGe epitaxy for device applications
US7807538B2 (en) * 2007-01-26 2010-10-05 Kabushiki Kaisha Toshiba Method of forming a silicide layer while applying a compressive or tensile strain to impurity layers

Non-Patent Citations (5)

* Cited by examiner, † Cited by third party
Title
Chidambaram et al. ("Fundamentals of Silicon Material Properties for Successful Exploitation of Strain Engineering in Modern CMOS Manufacturing", IEEE Transactions on Electron Devices. vol. 53. No. 5. May 2006). *
Chung Foong Tan et al. , Influence of substitutional carbon incorporation on implanted-indium-related defects and transient enhanced diffusion, Applied Physics Letters vol. 83, No. 20, Nov. 17, 2003, pp. 4169-4171.
J.P. Liu, et al., entitled: Impact of in situ carbon doping on implant damage and strain relaxation of epitaxial silicon germanium layer on silicon, Appl. Phys. Lett. 88, 151916 (2006) (3 pages), published online Apr. 13, 2006.
M. Caymax, R. Loo ; Title High-mobility layers and advanced source/drain http://www.imec.be/wwwinter/mediacenter/en/SR2003/scientific-results/research-imec/2-1-cmos/2-1-3/2-1-3-2-4-cont.html on found on Website-Oct. 29, 2005.
Megson, T.H.G., "Structural and Stress Analysis". Elsevier Butterworth-Heinemann. Second Edition. 2005. *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9536736B2 (en) 2015-02-04 2017-01-03 International Business Machines Corporation Reducing substrate bowing caused by high percentage sige layers
US9728642B2 (en) 2015-11-04 2017-08-08 International Business Machines Corporation Retaining strain in finFET devices

Also Published As

Publication number Publication date
SG185924A1 (en) 2012-12-28
US7947546B2 (en) 2011-05-24
SG131844A1 (en) 2007-05-28
US20110223737A1 (en) 2011-09-15
US20070096149A1 (en) 2007-05-03
CN1979787B (en) 2011-03-23
US20140159113A1 (en) 2014-06-12
SG2014013031A (en) 2014-10-30
SG152275A1 (en) 2009-05-29
US8790980B2 (en) 2014-07-29
CN1979787A (en) 2007-06-13

Similar Documents

Publication Publication Date Title
US8790980B2 (en) Implant damage control by in-situ C doping during sige epitaxy for device applications
EP2036130B1 (en) N-channel mosfets comprising dual stressors, and methods for forming the same
US8017487B2 (en) Method to control source/drain stressor profiles for stress engineering
US8344447B2 (en) Silicon layer for stopping dislocation propagation
EP2087521B1 (en) LOW DEFECT Si:C LAYER WITH RETROGRADE CARBON PROFILE
US7482211B2 (en) Junction leakage reduction in SiGe process by implantation
US8912567B2 (en) Strained channel transistor and method of fabrication thereof
US7825003B2 (en) Method of doping field-effect-transistors (FETs) with reduced stress/strain relaxation and resulting FET devices
US20080014688A1 (en) Selective uniaxial stress modification for use with strained silicon on insulator integrated circuit
US20060289900A1 (en) Methods for forming a transistor and creating channel stress
CN108461394B (en) Method for manufacturing semiconductor device using stress memorization technology and semiconductor device
US7999326B2 (en) Tensile strain source using silicon/germanium in globally strained silicon
JP5614184B2 (en) Manufacturing method of semiconductor device
SG192475A1 (en) Method for fabricating semiconductor devices using stress engineering
US8361868B2 (en) Transistor with longitudinal strain in channel induced by buried stressor relaxed by implantation
US9059201B2 (en) Transistor with longitudinal strain in channel induced by buried stressor relaxed by implantation
US8440539B2 (en) Isolation trench processing for strain control
Borland High Electron and Hole Mobility by Localized Tensile & Compressive Strain Formation Using Ion Implantation and Advanced Annealing of Group IV Materials (Si+ C, Si+ Ge & Ge+ Sn)

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION (IBM),

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:HOLT, JUDSON ROBERT;REEL/FRAME:028474/0534

Effective date: 20060727

AS Assignment

Owner name: GLOBALFOUNDRIES SINGAPORE PTE. LTD., SINGAPORE

Free format text: CHANGE OF NAME;ASSIGNOR:CHARTERED SEMICONDUCTOR MANUFACTURING PTE. LTD.;REEL/FRAME:028488/0423

Effective date: 20100115

Owner name: CHARTERED SEMICONDUCTOR MANUFACTURING PTE. LTD., S

Free format text: CHANGE OF NAME;ASSIGNOR:CHARTERED SEMICONDUCTOR MANUFACTURING LTD.;REEL/FRAME:028488/0421

Effective date: 20100115

Owner name: CHARTERED SEMICONDUCTOR MANUFACTURING LTD, SINGAPO

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:LIU, JIN PING;REEL/FRAME:028474/0537

Effective date: 20060727

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCF Information on status: patent grant

Free format text: PATENTED CASE

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910

FPAY Fee payment

Year of fee payment: 4

AS Assignment

Owner name: WILMINGTON TRUST, NATIONAL ASSOCIATION, DELAWARE

Free format text: SECURITY AGREEMENT;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:049490/0001

Effective date: 20181127

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:054636/0001

Effective date: 20201117

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8