US8129757B2 - Integrated circuit including at least six linear-shaped conductive structive structures at equal pitch including at least two linear-shaped conductive structures having non-gate portions of different length - Google Patents
Integrated circuit including at least six linear-shaped conductive structive structures at equal pitch including at least two linear-shaped conductive structures having non-gate portions of different length Download PDFInfo
- Publication number
- US8129757B2 US8129757B2 US12/572,239 US57223909A US8129757B2 US 8129757 B2 US8129757 B2 US 8129757B2 US 57223909 A US57223909 A US 57223909A US 8129757 B2 US8129757 B2 US 8129757B2
- Authority
- US
- United States
- Prior art keywords
- linear
- shaped conductive
- conductive structure
- gate electrode
- integrated circuit
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related, expires
Links
- 239000000758 substrate Substances 0.000 claims description 40
- 239000011888 foil Substances 0.000 claims 1
- 238000009792 diffusion process Methods 0.000 abstract description 105
- 239000004065 semiconductor Substances 0.000 abstract description 82
- 238000000034 method Methods 0.000 abstract description 45
- 238000004519 manufacturing process Methods 0.000 abstract description 36
- 230000008569 process Effects 0.000 abstract description 33
- 238000000206 photolithography Methods 0.000 abstract description 7
- 239000002184 metal Substances 0.000 description 114
- 238000013461 design Methods 0.000 description 58
- 239000011295 pitch Substances 0.000 description 44
- 230000003993 interaction Effects 0.000 description 35
- 239000004020 conductor Substances 0.000 description 14
- 230000002787 reinforcement Effects 0.000 description 13
- 238000005516 engineering process Methods 0.000 description 12
- 230000006870 function Effects 0.000 description 10
- 238000000926 separation method Methods 0.000 description 9
- 230000001066 destructive effect Effects 0.000 description 8
- 230000000694 effects Effects 0.000 description 7
- 238000012937 correction Methods 0.000 description 6
- 238000001459 lithography Methods 0.000 description 6
- 238000005457 optimization Methods 0.000 description 6
- 238000003384 imaging method Methods 0.000 description 5
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 5
- 229920005591 polysilicon Polymers 0.000 description 5
- 230000009467 reduction Effects 0.000 description 5
- 238000007792 addition Methods 0.000 description 4
- 238000013459 approach Methods 0.000 description 4
- 230000003287 optical effect Effects 0.000 description 4
- 238000007639 printing Methods 0.000 description 4
- 230000001419 dependent effect Effects 0.000 description 3
- 238000009826 distribution Methods 0.000 description 3
- 230000006872 improvement Effects 0.000 description 3
- 239000000463 material Substances 0.000 description 3
- 230000010363 phase shift Effects 0.000 description 3
- 238000009877 rendering Methods 0.000 description 3
- 230000004075 alteration Effects 0.000 description 2
- 230000009286 beneficial effect Effects 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 238000013500 data storage Methods 0.000 description 2
- 230000007423 decrease Effects 0.000 description 2
- 230000003247 decreasing effect Effects 0.000 description 2
- 230000000873 masking effect Effects 0.000 description 2
- 238000005498 polishing Methods 0.000 description 2
- 238000004904 shortening Methods 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 230000001808 coupling effect Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 238000005530 etching Methods 0.000 description 1
- 238000000605 extraction Methods 0.000 description 1
- 238000007667 floating Methods 0.000 description 1
- 125000001475 halogen functional group Chemical group 0.000 description 1
- 238000005286 illumination Methods 0.000 description 1
- 239000007943 implant Substances 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 230000001788 irregular Effects 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 238000011112 process operation Methods 0.000 description 1
- 238000012545 processing Methods 0.000 description 1
- 229910052710 silicon Inorganic materials 0.000 description 1
- 239000010703 silicon Substances 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 230000001360 synchronised effect Effects 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
- 238000012795 verification Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
- H01L27/10—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
- H01L27/118—Masterslice integrated circuits
- H01L27/11803—Masterslice integrated circuits using field effect technology
- H01L27/11807—CMOS gate arrays
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F30/00—Computer-aided design [CAD]
- G06F30/30—Circuit design
- G06F30/39—Circuit design at the physical level
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F30/00—Computer-aided design [CAD]
- G06F30/30—Circuit design
- G06F30/39—Circuit design at the physical level
- G06F30/392—Floor-planning or layout, e.g. partitioning or placement
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28026—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
- H01L21/28123—Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823871—Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/5226—Via connections in a multilevel interconnection structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/528—Geometry or layout of the interconnection structure
- H01L23/5283—Cross-sectional geometry
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
- H01L27/0203—Particular design considerations for integrated circuits
- H01L27/0207—Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/092—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/423—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
- H01L29/42312—Gate electrodes for field effect devices
- H01L29/42316—Gate electrodes for field effect devices for field-effect transistors
- H01L29/4232—Gate electrodes for field effect devices for field-effect transistors with insulated gate
- H01L29/42372—Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/423—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
- H01L29/42312—Gate electrodes for field effect devices
- H01L29/42316—Gate electrodes for field effect devices for field-effect transistors
- H01L29/4232—Gate electrodes for field effect devices for field-effect transistors with insulated gate
- H01L29/42372—Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
- H01L29/42376—Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
- H01L27/10—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
- H01L27/118—Masterslice integrated circuits
- H01L27/11803—Masterslice integrated circuits using field effect technology
- H01L27/11807—CMOS gate arrays
- H01L2027/11809—Microarchitecture
- H01L2027/11811—Basic cell P to N transistor count
- H01L2027/11812—4-T CMOS basic cell
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
- H01L27/10—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
- H01L27/118—Masterslice integrated circuits
- H01L27/11803—Masterslice integrated circuits using field effect technology
- H01L27/11807—CMOS gate arrays
- H01L2027/11809—Microarchitecture
- H01L2027/11811—Basic cell P to N transistor count
- H01L2027/11814—5-T CMOS basic cell
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
- H01L27/10—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
- H01L27/118—Masterslice integrated circuits
- H01L27/11803—Masterslice integrated circuits using field effect technology
- H01L27/11807—CMOS gate arrays
- H01L2027/11809—Microarchitecture
- H01L2027/11851—Technology used, i.e. design rules
- H01L2027/11855—Twin-tub technology
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
- H01L27/10—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
- H01L27/118—Masterslice integrated circuits
- H01L27/11803—Masterslice integrated circuits using field effect technology
- H01L27/11807—CMOS gate arrays
- H01L2027/11809—Microarchitecture
- H01L2027/11859—Connectibility characteristics, i.e. diffusion and polysilicon geometries
- H01L2027/11861—Substrate and well contacts
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
- H01L27/10—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
- H01L27/118—Masterslice integrated circuits
- H01L27/11803—Masterslice integrated circuits using field effect technology
- H01L27/11807—CMOS gate arrays
- H01L2027/11809—Microarchitecture
- H01L2027/11859—Connectibility characteristics, i.e. diffusion and polysilicon geometries
- H01L2027/11862—Horizontal or vertical grid line density
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
- H01L27/10—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
- H01L27/118—Masterslice integrated circuits
- H01L27/11803—Masterslice integrated circuits using field effect technology
- H01L27/11807—CMOS gate arrays
- H01L2027/11809—Microarchitecture
- H01L2027/11859—Connectibility characteristics, i.e. diffusion and polysilicon geometries
- H01L2027/11864—Yield or reliability
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
- H01L27/10—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
- H01L27/118—Masterslice integrated circuits
- H01L27/11803—Masterslice integrated circuits using field effect technology
- H01L27/11807—CMOS gate arrays
- H01L2027/11809—Microarchitecture
- H01L2027/11859—Connectibility characteristics, i.e. diffusion and polysilicon geometries
- H01L2027/11866—Gate electrode terminals or contacts
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
- H01L27/10—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
- H01L27/118—Masterslice integrated circuits
- H01L27/11803—Masterslice integrated circuits using field effect technology
- H01L27/11807—CMOS gate arrays
- H01L2027/11868—Macro-architecture
- H01L2027/11874—Layout specification, i.e. inner core region
- H01L2027/11875—Wiring region, routing
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
- H01L27/10—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
- H01L27/118—Masterslice integrated circuits
- H01L27/11803—Masterslice integrated circuits using field effect technology
- H01L27/11807—CMOS gate arrays
- H01L2027/11883—Levels of metallisation
- H01L2027/11887—Three levels of metal
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
- H01L27/10—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
- H01L27/118—Masterslice integrated circuits
- H01L27/11803—Masterslice integrated circuits using field effect technology
- H01L27/11807—CMOS gate arrays
- H01L2027/11883—Levels of metallisation
- H01L2027/11888—More than 3 levels of metal
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
Definitions
- a push for higher performance and smaller die size drives the semiconductor industry to reduce circuit chip area by approximately 50% every two years.
- the chip area reduction provides an economic benefit for migrating to newer technologies.
- the 50% chip area reduction is achieved by reducing the feature sizes between 25% and 30%.
- the reduction in feature size is enabled by improvements in manufacturing equipment and materials. For example, improvement in the lithographic process has enabled smaller feature sizes to be achieved, while improvement in chemical mechanical polishing (CMP) has in-part enabled a higher number of interconnect layers.
- CMP chemical mechanical polishing
- the minimum feature size approached the wavelength of the light source used to expose the feature shapes, unintended interactions occurred between neighboring features.
- Today minimum feature sizes are approaching 45 nm (nanometers), while the wavelength of the light source used in the photolithography process remains at 193 nm.
- the difference between the minimum feature size and the wavelength of light used in the photolithography process is defined as the lithographic gap.
- the lithographic gap grows, the resolution capability of the lithographic process decreases.
- An interference pattern occurs as each shape on the mask interacts with the light.
- the interference patterns from neighboring shapes can create constructive or destructive interference.
- unwanted shapes may be inadvertently created.
- desired shapes may be inadvertently removed.
- a particular shape is printed in a different manner than intended, possibly causing a device failure.
- Correction methodologies such as optical proximity correction (OPC), attempt to predict the impact from neighboring shapes and modify the mask such that the printed shape is fabricated as desired.
- OPC optical proximity correction
- a cell of a semiconductor device includes a substrate portion formed to include a plurality of diffusion regions.
- the plurality of diffusion regions include at least one p-type diffusion region and at least one n-type diffusion region.
- the plurality of diffusion regions are separated from each other by one or more non-active regions of the substrate portion.
- the p-type and the n-type diffusion regions respectively correspond to active areas of the substrate portion within which one or more processes are applied to modify one or more electrical characteristics of the active areas of the substrate portion.
- the cell includes a gate electrode level of the cell formed above the substrate portion.
- the gate electrode level of the cell includes a number of conductive features defined to extend in only a first parallel direction. Adjacent ones of the number of conductive features that share a common line of extent in the first parallel direction are fabricated from respective originating layout features that are separated from each other by an end-to-end spacing having a size measured in the first parallel direction. The size of each end-to-end spacing between originating layout features corresponding to adjacent ones of the number of conductive features within the gate electrode level of the cell is substantially equal and is minimized to an extent allowed by a semiconductor device manufacturing capability.
- a width size of the conductive features within the gate electrode level is measured perpendicular to the first parallel direction and is less than a wavelength of light used in a photolithography process to fabricate the conductive features within the gate electrode level.
- Some of the number of conductive features within the gate electrode level of the cell are defined to include one or more gate electrode portions which extend over one or more of the p-type diffusion regions to form respective PMOS transistor devices. Also, some of the number of conductive features within the gate electrode level of the cell are defined to include one or more gate electrode portions which extend over one or more of the n-type diffusion regions to form respective NMOS transistor devices. A total number of the PMOS transistor devices and the NMOS transistor devices in the gate electrode level of the cell is greater than or equal to eight.
- the cell includes a number of interconnect levels formed above the gate electrode level of the cell.
- the substrate portion, the gate electrode level of the cell, and the number of interconnect levels are spatially aligned such that structures fabricated within each of the substrate portion, the gate electrode level of the cell, and the number of interconnect levels spatially relate to connect as required to form functional electronic devices of the cell of the semiconductor device.
- FIG. 1 is an illustration showing a number of neighboring layout features and a representation of light intensity used to render each of the layout features, in accordance with one embodiment of the present invention
- FIG. 2 is an illustration showing a generalized stack of layers used to define a dynamic array architecture, in accordance with one embodiment of the present invention
- FIG. 3A is an illustration showing an exemplary base grid to be projected onto the dynamic array to facilitate definition of the restricted topology, in accordance with one embodiment of the present invention
- FIG. 3B is an illustration showing separate base grids projected across separate regions of the die, in accordance with an exemplary embodiment of the present invention
- FIG. 3C is an illustration showing an exemplary linear-shaped feature defined to be compatible with the dynamic array, in accordance with one embodiment of the present invention.
- FIG. 3D is an illustration showing another exemplary linear-shaped feature defined to be compatible with the dynamic array, in accordance with one embodiment of the present invention.
- FIG. 4 is an illustration showing a diffusion layer layout of an exemplary dynamic array, in accordance with one embodiment of the present invention.
- FIG. 5 is an illustration showing a gate electrode layer and a diffusion contact layer above and adjacent to the diffusion layer of FIG. 4 , in accordance with one embodiment of the present invention
- FIG. 6 is an illustration showing a gate electrode contact layer defined above and adjacent to the gate electrode layer of FIG. 5 , in accordance with one embodiment of the present invention
- FIG. 7A is an illustration showing a traditional approach for making contact to the gate electrode
- FIG. 7B is an illustration showing a gate electrode contact defined in accordance with one embodiment of the present invention.
- FIG. 8A is an illustration showing a metal 1 layer defined above and adjacent to the gate electrode contact layer of FIG. 6 , in accordance with one embodiment of the present invention.
- FIG. 8B is an illustration showing the metal 1 layer of FIG. 8A with larger track widths for the metal 1 ground and power tracks, relative to the other metal 1 tracks;
- FIG. 9 is an illustration showing a via 1 layer defined above and adjacent to the metal 1 layer of FIG. 8A , in accordance with one embodiment of the present invention.
- FIG. 10 is an illustration showing a metal 2 layer defined above and adjacent to the via 1 layer of FIG. 9 , in accordance with one embodiment of the present invention.
- FIG. 11 is an illustration showing conductor tracks traversing the dynamic array in a first diagonal direction relative to the first and second reference directions (x) and (y), in accordance with one embodiment of the present invention
- FIG. 12 is an illustration showing conductor tracks traversing the dynamic array in a second diagonal direction relative to the first and second reference directions (x) and (y), in accordance with one embodiment of the present invention
- FIG. 13A is an illustration showing an example of a sub-resolution contact layout used to lithographically reinforce diffusion contacts and gate electrode contacts, in accordance with one embodiment of the present invention
- FIG. 13B is an illustration showing the sub-resolution contact layout of FIG. 13A with sub-resolution contacts defined to fill the grid to the extent possible, in accordance with one embodiment of the present invention
- FIG. 13C is an illustration showing an example of a sub-resolution contact layout utilizing various shaped sub-resolution contacts, in accordance with one embodiment of the present invention.
- FIG. 13D is an illustration showing an exemplary implementation of alternate phase shift masking (APSM) with sub-resolution contacts, in accordance with one embodiment of the present invention.
- APSM alternate phase shift masking
- FIG. 14 is an illustration showing a semiconductor chip structure, in accordance with one embodiment of the present invention.
- FIG. 15 shows an example layout architecture defined in accordance with one embodiment of the present invention.
- lithographic gap is defined as the difference between the minimum size of a feature to be defined and the wavelength of light used to render the feature in the lithographic process, wherein the feature size is less than the wavelength of the light.
- Current lithographic processes utilize a light wavelength of 193 nm.
- current feature sizes are as small as 65 nm and are expected to soon approach sizes as small as 45 nm. With a size of 65 nm, the shapes are three times smaller than the wavelength of the light used to define the shapes.
- the extent and complexity of light interference contributing to exposure of a given feature is significant. Additionally, the particular shapes associated with the features within the interaction radius of the light source weighs heavily on the type of light interactions that occur.
- designers were allowed to define essentially any two-dimensional topology of feature shapes so long as a set of design rules were satisfied. For example, in a given layer of the chip, i.e., in a given mask, the designer may have defined two-dimensionally varying features having bends that wrap around each other. When such two-dimensionally varying features are located in neighboring proximity to each other, the light used to expose the features will interact in a complex and generally unpredictable manner. The light interaction becomes increasingly more complex and unpredictable as the feature sizes and relative spacing become smaller.
- the set of design rules is expanded significantly to adequately address the possible combinations of two-dimensionally varying features.
- This expanded set of design rules quickly becomes so complicated and unwieldy that application of the expanded set of design rules becomes prohibitively time consuming, expensive, and prone to error.
- the expanded set of design rules requires complex verification.
- the expanded set of design rules may not be universally applied. Furthermore, manufacturing yield is not guaranteed even if all design rules are satisfied.
- the set of design rules may also be modified to include increased margin to account for unpredictable light interaction between the neighboring two-dimensionally varying features. Because the design rules are established in an attempt to cover the random two-dimensional feature topology, the design rules may incorporate a significant amount of margin. While addition of margin in the set of design rules assists with the layout portions that include the neighboring two-dimensionally varying features, such global addition of margin causes other portions of the layout that do not include the neighboring two-dimensionally varying features to be overdesigned, thus leading to decreased optimization of chip area utilization and electrical performance.
- semiconductor product yield is reduced as a result of parametric failures that stem from variability introduced by design-dependent unconstrained feature topologies, i.e., arbitrary two-dimensionally varying features disposed in proximity to each other.
- these parametric failures may result from failure to accurately print contacts and vias and from variability in fabrication processes.
- the variability in fabrication processes may include CMP dishing, layout feature shape distortion due to photolithography, gate distortion, oxide thickness variability, implant variability, and other fabrication related phenomena.
- the dynamic array architecture of the present invention is defined to address the above-mentioned semiconductor manufacturing process variability.
- FIG. 1 is an illustration showing a number of neighboring layout features and a representation of light intensity used to render each of the layout features, in accordance with one embodiment of the present invention.
- three neighboring linear-shaped layout features ( 101 A- 101 C) are depicted as being disposed in a substantially parallel relationship within a given mask layer.
- the distribution of light intensity from a layout feature shape is represented by a sinc function.
- the sinc functions ( 103 A- 103 C) represent the distribution of light intensity from each of the layout features ( 110 A- 101 C, respectively).
- the neighboring linear-shaped layout features ( 101 A- 101 C) are spaced apart at locations corresponding to peaks of the sinc functions ( 103 A- 103 C).
- a forbidden pitch i.e., forbidden layout feature spacing, occurs when the neighboring layout features ( 101 A- 101 C) are spaced such that peaks of the sinc function associated with one layout feature align with valleys of the sinc function associated with another layout feature, thus causing destructive interference of the light energy.
- the destructive interference of the light energy causes the light energy focused at a given location to be reduced. Therefore, to realize the beneficial constructive light interference associated with neighboring layout features, it is necessary to predict the layout feature spacing at which the constructive overlap of the sinc function peaks will occur.
- Predictable constructive overlap of the sinc function peaks and corresponding layout feature shape enhancement can be realized if the layout feature shapes are rectangular, near the same size, and are oriented in the same direction, as illustrated by the layout features ( 101 A- 101 C) in FIG. 1 . In this manner, resonant light energy from neighboring layout feature shapes is used to enhance the exposure of a particular layout feature shape.
- FIG. 2 is an illustration showing a generalized stack of layers used to define a dynamic array architecture, in accordance with one embodiment of the present invention. It should be appreciated that the generalized stack of layers used to define the dynamic array architecture, as described with respect to FIG. 2 , is not intended to represent an exhaustive description of the CMOS manufacturing process. However, the dynamic array is to be built in accordance with standard CMOS manufacturing processes. Generally speaking, the dynamic array architecture includes both the definition of the underlying structure of the dynamic array and the techniques for assembling the dynamic array for optimization of area utilization and manufacturability. Thus, the dynamic array is designed to optimize semiconductor manufacturing capabilities.
- the dynamic array is built-up in a layered manner upon a base substrate 201 , e.g., upon a silicon substrate, or silicon-on-insulator (SOI) substrate.
- Diffusion regions 203 are defined in the base substrate 201 .
- the diffusion regions 203 represent selected regions of the base substrate 201 within which impurities are introduced for the purpose of modifying the electrical properties of the base substrate 201 .
- diffusion contacts 205 are defined to enable connection between the diffusion regions 203 and conductor lines.
- the diffusion contacts 205 are defined to enable connection between source and drain diffusion regions 203 and their respective conductor nets.
- gate electrode features 207 are defined above the diffusion regions 203 to form transistor gates.
- Gate electrode contacts 209 are defined to enable connection between the gate electrode features 207 and conductor lines.
- the gate electrode contacts 209 are defined to enable connection between transistor gates and their respective conductor nets.
- Interconnect layers are defined above the diffusion contact 205 layer and the gate electrode contact layer 209 .
- the interconnect layers include a first metal (metal 1 ) layer 211 , a first via (via 1 ) layer 213 , a second metal (metal 2 ) layer 215 , a second via (via 2 ) layer 217 , a third metal (metal 3 ) layer 219 , a third via (via 3 ) layer 221 , and a fourth metal (metal 4 ) layer 223 .
- the metal and via layers enable definition of the desired circuit connectivity.
- the metal and via layers enable electrical connection of the various diffusion contacts 205 and gate electrode contacts 209 such that the logic function of the circuitry is realized.
- the dynamic array architecture is not limited to a specific number of interconnect layers, i.e., metal and via layers.
- the dynamic array may include additional interconnect layers 225 , beyond the fourth metal (metal 4 ) layer 223 .
- the dynamic array may include less than four metal layers.
- the dynamic array is defined such that layers (other than the diffusion region layer 203 ) are restricted with regard to layout feature shapes that can be defined therein. Specifically, in each layer other than the diffusion region layer 203 , only linear-shaped layout features are allowed.
- a linear-shaped layout feature in a given layer is characterized as having a consistent vertical cross-section shape and extending in a single direction over the substrate.
- the linear-shaped layout features define structures that are one-dimensionally varying.
- the diffusion regions 203 are not required to be one-dimensionally varying, although they are allowed to be if necessary.
- the diffusion regions 203 within the substrate can be defined to have any two-dimensionally varying shape with respect to a plane coincident with a top surface of the substrate.
- the number of diffusion bend topologies is limited such that the interaction between the bend in diffusion and the conductive material, e.g., polysilicon, that forms the gate electrode of the transistor is predictable and can be accurately modeled.
- the linear-shaped layout features in a given layer are positioned to be parallel with respect to each other. Thus, the linear-shaped layout features in a given layer extend in a common direction over the substrate and parallel with the substrate.
- the underlying layout methodology of the dynamic array uses constructive light interference of light waves in the lithographic process to reinforce exposure of neighboring shapes in a given layer. Therefore, the spacing of the parallel, linear-shaped layout features in a given layer is designed around the constructive light interference of the standing light waves such that lithographic correction (e.g., OPC/RET) is minimized or eliminated.
- lithographic correction e.g., OPC/RET
- the dynamic array defined herein exploits the light interaction between neighboring features, rather than attempting to compensate for the light interaction between neighboring features.
- the standing light wave for a given linear-shaped layout feature can be accurately modeled, it is possible to predict how the standing light waves associated with the neighboring linear-shaped layout features disposed in parallel in a given layer will interact. Therefore, it is possible to predict how the standing light wave used to expose one linear-shaped feature will contribute to the exposure of its neighboring linear-shaped features. Prediction of the light interaction between neighboring linear-shaped features enables the identification of an optimum feature-to-feature spacing such that light used to render a given shape will reinforce its neighboring shapes.
- the feature-to-feature spacing in a given layer is defined as the feature pitch, wherein the pitch is the center-to-center separation distance between adjacent linear-shaped features in a given layer.
- the linear-shaped layout features in a given layer are spaced such that constructive and destructive interference of the light from neighboring features will be optimized to produce the best rendering of all features in the neighborhood.
- the feature-to-feature spacing in a given layer is proportional to the wavelength of the light used to expose the features.
- the light used to expose each feature within about a five light wavelength distance from a given feature will serve to enhance the exposure of the given feature to some extent.
- the exploitation of constructive interference of the standing light waves used to expose neighboring features enables the manufacturing equipment capability to be maximized and not be limited by concerns regarding light interactions during the lithography process.
- the dynamic array incorporates a restricted topology in which the features within each layer (other than diffusion) are required to be linear-shaped features that are oriented in a parallel manner to traverse over the substrate in a common direction.
- the restricted topology of the dynamic array the light interaction in the photolithography process can be optimized such that the printed image on the mask is essentially identical to the drawn shape in the layout, i.e., essentially a 100% accurate transfer of the layout onto the resist is achieved.
- FIG. 3A is an illustration showing an exemplary base grid to be projected onto the dynamic array to facilitate definition of the restricted topology, in accordance with one embodiment of the present invention.
- the base grid can be used to facilitate parallel placement of the linear-shaped features in each layer of the dynamic array at the appropriate optimized pitch.
- the base grid can be considered as a projection on each layer of the dynamic array. Also, it should be understood that the base grid is projected in a substantially consistent manner with respect to position on each layer of the dynamic array, thus facilitating accurate feature stacking and alignment.
- the base grid is defined as a rectangular grid, i.e., Cartesian grid, in accordance with a first reference direction (x) and a second reference direction (y).
- the gridpoint-to-gridpoint spacing in the first and second reference directions can be defined as necessary to enable definition of the linear-shaped features at the optimized feature-to-feature spacing.
- the gridpoint spacing in the first reference direction (x) can be different than the gridpoint spacing in the second reference direction (y).
- a single base grid is projected across the entire die to enable location of the various linear-shaped features in each layer across the entire die.
- FIG. 3B is an illustration showing separate base grids projected across separate regions of the die, in accordance with an exemplary embodiment of the present invention.
- the base grid is defined with consideration for the light interaction function, i.e., the sinc function, and the manufacturing capability, wherein the manufacturing capability is defined by the manufacturing equipment and processes to be utilized in fabricating the dynamic array.
- the base grid is defined such that the spacing between gridpoints enables alignment of peaks in the sinc functions describing the light energy projected upon neighboring gridpoints. Therefore, linear-shaped features optimized for lithographic reinforcement can be specified by drawing a line from a first gridpoint to a second gridpoint, wherein the line represents a rectangular structure of a given width. It should be appreciated that the various linear-shaped features in each layer can be specified according to their endpoint locations on the base grid and their width.
- FIG. 3C is an illustration showing an exemplary linear-shaped feature 301 defined to be compatible with the dynamic array, in accordance with one embodiment of the present invention.
- the linear-shaped feature 301 has a substantially rectangular cross-section defined by a width 303 and a height 307 .
- the linear-shaped feature 301 extends in a linear direction to a length 305 .
- a cross-section of the linear-shaped feature, as defined by its width 303 and height 307 is substantially uniform along its length 305 . It should be understood, however, that lithographic effects may cause a rounding of the ends of the linear-shaped feature 301 .
- 3A are shown to illustrate an exemplary orientation of the linear-shaped feature on the dynamic array.
- the linear-shaped feature may be oriented to have its length 305 extend in either the first reference direction (x), the second reference direction (y), or in diagonal direction defined relative to the first and second reference directions (x) and (y).
- the linear-shaped feature is defined in a plane that is substantially parallel to a top surface of the substrate upon which the dynamic array is built.
- the linear-shaped feature is free of bends, i.e., change in direction, in the plane defined by the first and second reference directions.
- FIG. 3D is an illustration showing another exemplary linear-shaped feature 317 defined to be compatible with the dynamic array, in accordance with one embodiment of the present invention.
- the linear-shaped feature 317 has a trapezoidal cross-section defined by a lower width 313 , an upper width 315 , and a height 309 .
- the linear-shaped feature 317 extends in a linear direction to a length 311 .
- the cross-section of the linear-shaped feature 317 is substantially uniform along its length 311 . It should be understood, however, that lithographic effects may cause a rounding of the ends of the linear-shaped feature 317 .
- the linear-shaped feature 317 may be oriented to have its length 311 extend in either the first reference direction (x), the second reference direction (y), or in diagonal direction defined relative to the first and second reference directions (x) and (y). Regardless of the particular orientation of the linear-shaped feature 317 with regard to the first and second reference directions (x) and (y), it should be understood that the linear-shaped feature 317 is defined in a plane that is substantially parallel to a top surface of the substrate upon which the dynamic array is built. Also, it should be understood that the linear-shaped feature 317 is free of bends, i.e., change in direction, in the plane defined by the first and second reference directions.
- FIGS. 3C and 3D explicitly discuss linear shaped features having rectangular and trapezoidal cross-sections, respectively, it should be understood that the linear shaped features having other types of cross-sections can be defined within the dynamic array. Therefore, essentially any suitable cross-sectional shape of the linear-shaped feature can be utilized so long as the linear-shaped feature is defined to have a length that extends in one direction, and is oriented to have its length extend in either the first reference direction (x), the second reference direction (y), or in diagonal direction defined relative to the first and second reference directions (x) and (y).
- the layout architecture of the dynamic array follows the base grid pattern.
- grid points to represent where changes in direction occur in diffusion, wherein gate electrode and metal linear-shaped features are placed, where contacts are placed, where opens are in the linear-shaped gate electrode and metal features, etc.
- the pitch of the gridpoints i.e., the gridpoint-to-gridpoint spacing, should be set for a given feature line width, e.g., width 303 in FIG. 3C , such that exposure of neighboring linear-shaped features of the given feature line width will reinforce each other, wherein the linear-shaped features are centered on gridpoints.
- the gridpoint spacing in the first reference direction (x) is set by the required gate electrode gate pitch.
- the gridpoint pitch in the second reference direction (y) is set by the metal 1 and metal 3 pitch.
- the gridpoint pitch in the second reference direction (y) is about 0.24 micron.
- metal 1 and metal 2 layers will have a common spacing and pitch. A different spacing and pitch may be used above the metal 2 layer.
- the various layers of the dynamic array are defined such that the linear-shaped features in adjacent layers extend in a crosswise manner with respect to each other.
- the linear-shaped features of adjacent layers may extend orthogonally, i.e., perpendicularly with respect to each other.
- the linear-shaped features of one layer may extend across the linear-shaped features of an adjacent layer at an angle, e.g., at about 45 degrees.
- the linear-shaped feature of one layer extend in the first reference direction (x) and the linear-shaped features of the adjacent layer extend diagonally with respect to the first (x) and second (y) reference directions. It should be appreciated that to route a design in the dynamic array having the linear-shaped features positioned in the crosswise manner in adjacent layers, opens can be defined in the linear-shaped features, and contacts and vias can be defined as necessary.
- the dynamic array minimizes the use of bends in layout shapes to eliminate unpredictable lithographic interactions. Specifically, prior to OPC or other RET processing, the dynamic array allows bends in the diffusion layer to enable control of device sizes, but does not allow bends in layers above the diffusion layer.
- the layout features in each layer above the diffusion layer are linear in shape, e.g., FIG. 3C , and disposed in a parallel relationship with respect to each other.
- the linear shapes and parallel positioning of layout features are implemented in each stack layer of the dynamic array where predictability of constructive light interference is necessary to ensure manufacturability.
- the linear shapes and parallel positioning of layout features are implemented in the dynamic array in each layer above diffusion through metal 2 . Above metal 2 , the layout features may be of sufficient size and shape that constructive light interference is not required to ensure manufacturability. However, the presence of constructive light interference in patterning layout features above metal 2 may be beneficial.
- FIGS. 4 through 14 An exemplary buildup of dynamic array layers from diffusion through metal 2 are described with respect to FIGS. 4 through 14 . It should be appreciated that the dynamic array described with respect to FIGS. 4 through 14 is provided by way of example only, and is not intended to convey limitations of the dynamic array architecture. The dynamic array can be used in accordance with the principles presented herein to define essentially any integrated circuit design.
- FIG. 4 is an illustration showing a diffusion layer layout of an exemplary dynamic array, in accordance with one embodiment of the present invention.
- the diffusion layer of FIG. 4 shows a p-diffusion region 401 and an n-diffusion region 403 . While the diffusion regions are defined according to the underlying base grid, the diffusion regions are not subject to the linear-shaped feature restrictions associated with the layers above the diffusion layer.
- the diffusion regions 401 and 403 include diffusion squares 405 defined where diffusion contacts will be located.
- the diffusion regions 401 and 403 do not include extraneous jogs or corners, thus improving the use of lithographic resolution and enabling more accurate device extraction.
- n+ mask regions ( 412 and 416 ) and p+ mask regions ( 410 and 414 ) are defined as rectangles on the (x), (y) grid with no extraneous jogs or notches. This style permits use of larger diffusion regions, eliminates need for OPC/RET, and enables use of lower resolution and lower cost lithographic systems, e.g., i-line illumination at 365 nm. It should be appreciated that the n+ mask region 416 and the p+ mask region 410 , as depicted in FIG. 4 , are for an embodiment that does not employ well-biasing. In an alternative embodiment where well-biasing is to be used, the n+ mask region 416 shown in FIG. 4 will actually be defined as a p+ mask region. Also, in this alternative embodiment, the p+ mask region 410 shown in FIG. 4 will actually be defined as a n+ mask region.
- FIG. 5 is an illustration showing a gate electrode layer and a diffusion contact layer above and adjacent to the diffusion layer of FIG. 4 , in accordance with one embodiment of the present invention.
- the gate electrode features 501 define the transistor gates.
- the gate electrode features 501 are defined as linear shaped features extending in a parallel relationship across the dynamic array in the second reference direction (y).
- the gate electrode features 501 are defined to have a common width.
- one or more of the gate electrode features can be defined to have a different width.
- FIG. 5 shows a gate electrode features 501 A that has a larger width relative to the other gate electrode features 501 .
- gate electrode features 501 The pitch (center-to-center spacing) of the gate electrode features 501 is minimized while ensuring optimization of lithographic reinforcement, i.e., resonant imaging, provided by neighboring gate electrode features 501 .
- gate electrode features 501 extending across the dynamic array in a given line are referred to as a gate electrode track.
- the gate electrode features 501 form n-channel and p-channel transistors as they cross the diffusion regions 403 and 401 , respectively.
- Optimal gate electrode feature 501 printing is achieved by drawing gate electrode features 501 at every grid location, even though no diffusion region may be present at some grid locations.
- long continuous gate electrode features 501 tend to improve line end shortening effects at the ends of gate electrode features within the interior of the dynamic array. Additionally, gate electrode printing is significantly improved when all bends are removed from the gate electrode features 501 .
- Each of the gate electrode tracks may be interrupted, i.e., broken, any number of times in linearly traversing across the dynamic array in order to provide required electrical connectivity for a particular logic function to be implemented.
- interrupted i.e., broken
- the separation between ends of the gate electrode track segments at the point of interruption is minimized to the extent possible taking into consideration the manufacturing capability and electrical effects.
- optimal manufacturability is achieved when a common end-to-end spacing is used between features within a particular layer.
- Minimizing the separation between ends of the gate electrode track segments at the points of interruption serves to maximize the lithographic reinforcement, and uniformity thereof, provided from neighboring gate electrode tracks.
- the interruptions of the adjacent gate electrode tracks are made such that the respective points of interruption are offset from each other so as to avoid, to the extent possible, an occurrence of neighboring points of interruption. More specifically, points of interruption within adjacent gate electrode tracks are respectively positioned such that a line of sight does not exist through the points of interruption, wherein the line of sight is considered to extend perpendicularly to the direction in which the gate electrode tracks extend over the substrate.
- the gate electrodes may extend through the boundaries at the top and bottom of the cells, i.e., the PMOS or NMOS cells. This embodiment would enable bridging of neighboring cells.
- diffusion contacts 503 are defined at each diffusion square 405 to enhance the printing of diffusion contacts via resonant imaging.
- the diffusion squares 405 are present around every diffusion contact 503 to enhance the printing of the power and ground connection polygons at the diffusion contacts 503 .
- the gate electrode features 501 and diffusion contacts 503 share a common grid spacing. More specifically, the gate electrode feature 501 placement is offset by one-half the grid spacing relative to the diffusion contacts 503 . For example, if the gate electrode features 501 and diffusion contact 503 grid spacing is 0.36 ⁇ m, then the diffusion contacts are placed such that the x-coordinate of their center falls on an integer multiple of 0.36 ⁇ m, while the x-coordinate of the center of each gate electrode feature 501 minus 0.18 ⁇ m should be an integer multiple of 0.36 ⁇ m.
- the grid based system of the dynamic array ensures that all contacts (diffusion and gate electrode) will land on a horizontal grid that is equal to a multiple of one-half of the diffusion contact grid and a vertical grid that is set by the metal 1 pitch.
- the gate electrode feature and diffusion contact grid is 0.36 ⁇ m.
- the diffusion contacts and gate electrode contacts will land on a horizontal grid that is a multiple of 0.18 ⁇ m.
- the vertical grid for 90 nm process technologies is about 0.24 ⁇ m.
- FIG. 6 is an illustration showing a gate electrode contact layer defined above and adjacent to the gate electrode layer of FIG. 5 , in accordance with one embodiment of the present invention.
- gate electrode contacts 601 are drawn to enable connection of the gate electrode features 501 to the overlying metal conduction lines.
- design rules will dictate the optimum placement of the gate electrode contacts 601 .
- the gate electrode contacts are drawn on top of the transistor endcap regions. This embodiment minimizes white space in the dynamic array when design rules specify long transistor endcaps. In some process technologies white space may be minimized by placing a number of gate electrode contacts for a cell in the center of the cell.
- the gate electrode contact 601 is oversized in the direction perpendicular to the gate electrode feature 501 to ensure overlap between the gate electrode contact 601 and the gate electrode feature 501 .
- FIG. 7A is an illustration showing a traditional approach for making contact to a gate electrode, e.g., polysilicon feature.
- a gate electrode e.g., polysilicon feature.
- an enlarged rectangular gate electrode region 707 is defined where a gate electrode contact 709 is to be located.
- the enlarged rectangular gate electrode region 707 introduces a bend of distance 705 in the gate electrode.
- the bend associated with the enlarged rectangular gate electrode region 707 sets up undesirable light interactions and distorts the gate electrode line 711 . Distortion of the gate electrode line 711 is especially problematic when the gate electrode width is about the same as a transistor length.
- FIG. 7B is an illustration showing a gate electrode contact 601 , e.g., polysilicon contact, defined in accordance with one embodiment of the present invention.
- the gate electrode contact 601 is drawn to overlap the edges of the gate electrode feature 501 , and extend in a direction substantially perpendicular to the gate electrode feature 501 .
- the gate electrode contact 601 is drawn such that the vertical dimension 703 is same as the vertical dimension used for the diffusion contacts 503 .
- the diffusion contact 503 opening is specified to be 0.12 ⁇ m square then the vertical dimension of the gate electrode contact 601 is drawn at 0.12 ⁇ m.
- the gate electrode contact 601 can be drawn such that the vertical dimension 703 is different from the vertical dimension used for the diffusion contacts 503 .
- the gate electrode contact 601 extension 701 beyond the gate electrode feature 501 is set such that maximum overlap is achieved between the gate electrode contact 601 and the gate electrode feature 501 .
- the extension 701 is defined to accommodate line end shortening of the gate electrode contact 601 , and misalignment between the gate electrode contact layer and gate electrode feature layer.
- the length of the gate electrode contact 601 is defined to ensure maximum surface area contact between the gate electrode contact 601 and the gate electrode feature 501 , wherein the maximum surface area contact is defined by the width of the gate electrode feature 501 .
- FIG. 8A is an illustration showing a metal 1 layer defined above the gate electrode contact layer of FIG. 6 , in accordance with one embodiment of the present invention.
- the metal 1 layer includes a number of metal 1 tracks 801 - 821 defined to include linear shaped features extending in a parallel relationship across the dynamic array.
- the metal 1 tracks 801 - 821 extend in a direction substantially perpendicular to the gate electrode features 501 in the underlying gate electrode layer of FIG. 5 .
- the metal 1 tracks 801 - 821 extend linearly across the dynamic array in the first reference direction (x).
- the pitch (center-to-center spacing) of the metal 1 tracks 801 - 821 is minimized while ensuring optimization of lithographic reinforcement, i.e., resonant imaging, provided by neighboring metal 1 tracks 801 - 821 .
- the metal 1 tracks 801 - 821 are centered on a vertical grid of about 0.24 ⁇ m for a 90 nm process technology.
- Each of the metal 1 tracks 801 - 821 may be interrupted, i.e., broken, any number of times in linearly traversing across the dynamic array in order to provide required electrical connectivity for a particular logic function to be implemented.
- the separation between ends of the metal 1 track segments at the point of interruption is minimized to the extent possible taking into consideration manufacturing capability and electrical effects. Minimizing the separation between ends of the metal 1 track segments at the points of interruption serves to maximize the lithographic reinforcement, and uniformity thereof, provided from neighboring metal 1 tracks.
- the interruptions of the adjacent metal 1 tracks are made such that the respective points of interruption are offset from each other so as to avoid, to the extent possible, an occurrence of neighboring points of interruption. More specifically, points of interruption within adjacent metal 1 tracks are respectively positioned such that a line of sight does not exist through the points of interruption, wherein the line of sight is considered to extend perpendicularly to the direction in which the metal 1 tracks extend over the substrate.
- the metal 1 track 801 is connected to the ground supply, and the metal 1 track 821 is connected to the power supply voltage.
- the widths of the metal 1 tracks 801 and 821 are the same as the other metal 1 tracks 803 - 819 .
- the widths of metal 1 tracks 801 and 821 are larger than the widths of the other metal 1 tracks 803 - 819 .
- FIG. 8B is an illustration showing the metal 1 layer of FIG. 8A with larger track widths for the metal 1 ground and power tracks ( 801 A and 821 A), relative to the other metal 1 tracks 803 - 819 .
- the metal 1 track pattern is optimally configured to optimize the use of “white space” (space not occupied by transistors).
- the example of FIG. 8A includes the two shared metal 1 power tracks 801 and 821 , and nine metal 1 signal tracks 803 - 819 .
- Metal 1 tracks 803 , 809 , 811 , and 819 are defined as gate electrode contact tracks in order to minimize white space.
- Metal 1 tracks 805 and 807 are defined to connect to n-channel transistor source and drains.
- Metal 1 tracks 813 , 815 , and 817 are defined to connect to p-channel source and drains.
- any of the nine metal 1 signal tracks 803 - 819 can be used as a feed through if no connection is required.
- metal 1 tracks 813 and 815 are configured as feed through connections.
- FIG. 9 is an illustration showing a via 1 layer defined above and adjacent to the metal 1 layer of FIG. 8A , in accordance with one embodiment of the present invention.
- Vias 901 are defined in the via 1 layer to enable connection of the metal 1 tracks 801 - 821 to higher level conduction lines.
- FIG. 10 is an illustration showing a metal 2 layer defined above and adjacent to the via 1 layer of FIG. 9 , in accordance with one embodiment of the present invention.
- the metal 2 layer includes a number of metal 2 tracks 1001 defined as linear shaped features extending in a parallel relationship across the dynamic array.
- the metal 2 tracks 1001 extend in a direction substantially perpendicular to the metal 1 tracks 801 - 821 in the underlying metal 1 layer of FIG. 8A , and in a direction substantially parallel to the gate electrode tracks 501 in the underlying gate electrode layer of FIG. 5 .
- the metal 2 tracks 1001 extend linearly across the dynamic array in the second reference direction (y).
- the pitch (center-to-center spacing) of the metal 2 tracks 1001 is minimized while ensuring optimization of lithographic reinforcement, i.e., resonant imaging, provided by neighboring metal 2 tracks. It should be appreciated that regularity can be maintained on higher level interconnect layers in the same manner as implemented in the gate electrode and metal 1 layers.
- the gate electrode feature 501 pitch and the metal 2 track pitch is the same.
- the contacted gate electrode pitch e.g., polysilicon-to-polysilicon space with a diffusion contact in between
- the metal 2 track pitch is optimally set to be 2 ⁇ 3 or 3 ⁇ 4 of the contacted gate electrode pitch.
- the gate electrode track and metal 2 track align at every two gate electrode track pitches and every three metal 2 track pitches.
- the optimum contacted gate electrode track pitch is 0.36 ⁇ m
- the optimum metal 2 track pitch is 0.24 ⁇ m.
- the gate electrode track and the metal 2 track align at every three gate electrode pitches and every four metal 2 pitches.
- the optimum contacted gate electrode track pitch is 0.36 ⁇ m
- the optimum metal 2 track pitch is 0.27 ⁇ m.
- Each of the metal 2 tracks 1001 may be interrupted, i.e., broken, any number of times in linearly traversing across the dynamic array in order to provide required electrical connectivity for a particular logic function to be implemented.
- the separation between ends of the metal 2 track segments at the point of interruption is minimized to the extent possible taking into consideration manufacturing and electrical effects. Minimizing the separation between ends of the metal 2 track segments at the points of interruption serves to maximize the lithographic reinforcement, and uniformity thereof, provided from neighboring metal 2 tracks.
- the interruptions of the adjacent metal 2 tracks are made such that the respective points of interruption are offset from each other so as to avoid, to the extent possible, an occurrence of neighboring points of interruption. More specifically, points of interruption within adjacent metal 2 tracks are respectively positioned such that a line of sight does not exist through the points of interruption, wherein the line of sight is considered to extend perpendicularly to the direction in which the metal 2 tracks extend over the substrate.
- the conduction lines in a given metal layer above the gate electrode layer may traverse the dynamic array in a direction coincident with either the first reference direction (x) or the second reference direction (y). It should be further appreciated that the conduction lines in a given metal layer above the gate electrode layer may traverse the dynamic array in a diagonal direction relative to the first and second reference directions (x) and (y).
- FIG. 11 is an illustration showing conductor tracks 1101 traversing the dynamic array in a first diagonal direction relative to the first and second reference directions (x) and (y), in accordance with one embodiment of the present invention.
- FIG. 12 is an illustration showing conductor tracks 1201 traversing the dynamic array in a second diagonal direction relative to the first and second reference directions (x) and (y), in accordance with one embodiment of the present invention.
- the diagonal traversing conductor tracks 1101 and 1201 of FIGS. 11 and 12 may be interrupted, i.e., broken, any number of times in linearly traversing across the dynamic array in order to provide required electrical connectivity for a particular logic function to be implemented.
- the separation between ends of the diagonal conductor track at the point of interruption is minimized to the extent possible taking into consideration manufacturing and electrical effects. Minimizing the separation between ends of the diagonal conductor track at the points of interruption serves to maximize the lithographic reinforcement, and uniformity thereof, provided from neighboring diagonal conductor tracks.
- FIG. 13A is an illustration showing an example of a sub-resolution contact layout used to lithographically reinforce diffusion contacts and gate electrode contacts, in accordance with one embodiment of the present invention.
- Sub-resolution contacts 1301 are drawn such that they are below the resolution of the lithographic system and will not be printed.
- the function of the sub-resolution contacts 1301 is to increase the light energy at the desired contact locations, e.g., 503 , 601 , through resonant imaging.
- sub-resolution contacts 1301 are placed on a grid such that both gate electrode contacts 601 and diffusion contacts 503 are lithographically reinforced.
- sub-resolution contacts 1301 are placed on a grid that is equal to one-half the diffusion contact 503 grid spacing to positively impact both gate electrode contacts 601 and diffusion contacts 503 .
- a vertical spacing of the sub-resolution contacts 1301 follows the vertical spacing of the gate electrode contacts 601 and diffusion contacts 503 .
- Grid location 1303 in FIG. 13A denotes a location between adjacent gate electrode contacts 601 .
- a sub-resolution contact 1301 at this grid location would create an undesirable bridge between the two adjacent gate electrode contacts 601 . If bridging is likely to occur, a sub-resolution contact 1301 at location 1303 can be omitted.
- FIG. 13A shows an embodiment where sub-resolution contacts are placed adjacent to actual features to be resolved and not elsewhere, it should be understood that another embodiment may place a sub-resolution contact at each available grid location so as to fill the grid.
- FIG. 13B is an illustration showing the sub-resolution contact layout of FIG. 13A with sub-resolution contacts defined to fill the grid to the extent possible, in accordance with one embodiment of the present invention. It should be appreciated that while the embodiment of FIG. 13B fills the grid to the extent possible with sub-resolution contacts, placement of sub-resolution contacts is avoided at locations that would potentially cause undesirable bridging between adjacent fully resolved features.
- FIG. 13C is an illustration showing an example of a sub-resolution contact layout utilizing various shaped sub-resolution contacts, in accordance with one embodiment of the present invention.
- Alternative sub-resolution contact shapes can be utilized so long as the sub-resolution contacts are below the resolution capability of the manufacturing process.
- FIG. 13C shows the use of “X-shaped” sub-resolution contacts 1305 to focus light energy at the corners of the adjacent contacts.
- the ends of the X-shaped sub-resolution contact 1305 are extended to further enhance the deposition of light energy at the corners of the adjacent contacts.
- FIG. 13D is an illustration showing an exemplary implementation of alternate phase shift masking (APSM) with sub-resolution contacts, in accordance with one embodiment of the present invention.
- APSM alternate phase shift masking
- FIG. 13A sub-resolution contacts are utilized to lithographically reinforce diffusion contacts 503 and gate electrode contacts 601 .
- APSM is used to improve resolution when neighboring shapes create destructive interference patterns.
- the APSM technique modifies the mask so that the phase of light traveling through the mask on neighboring shapes is 180 degrees out of phase. This phase shift serves to remove destructive interference and allowing for greater contact density.
- interconnect layers As feature sizes decrease, semiconductor dies are capable of including more gates. As more gates are included, however, the density of the interconnect layers begins to dictate the die size. This increasing demand on the interconnect layers drives higher levels of interconnect layers. However, the stacking of interconnect layers is limited in part by the topology of the underlying layers. For example, as interconnect layers are built up, islands, ridges, and troughs can occur. These islands, ridges, and troughs can cause breaks in the interconnect lines that cross them.
- the semiconductor manufacturing process utilizes a chemical mechanical polishing (CMP) procedure to mechanically and chemically polish the surface of the semiconductor wafer such that each subsequent interconnect layer is deposited on a substantially flat surface.
- CMP chemical mechanical polishing
- the quality of the CMP process is layout pattern dependent. Specifically, an uneven distribution of a layout features across a die or a wafer can cause too much material to be removed in some places and not enough material to be removed in other places, thus causing variations in the interconnect thickness and unacceptable variations in the capacitance and resistance of the interconnect layer.
- the capacitance and resistance variation within the interconnect layer may alter the timing of a critical net causing design failure.
- the CMP process requires that dummy fill be added in the areas without interconnect shapes so that a substantially uniform wafer topology is provided to avoid dishing and improve center-to-edge uniformity.
- dummy fill is placed post-design.
- the designer is not aware of the dummy fill characteristics. Consequently, the dummy fill placed post-design may adversely influence the design performance in a manner that has not been evaluated by the designer.
- the conventional topology prior to the dummy fill is unconstrained, i.e., non-uniform, the post-design dummy fill will not be uniform and predictable. Therefore, in the conventional process, the capacitive coupling between the dummy fill regions and the neighboring active nets cannot be predicted by the designer.
- the dynamic array disclosed herein provides optimal regularity by maximally filling all interconnect tracks from gate electrode layer upward. If multiple nets are required in a single interconnect track, the interconnect track is split with a minimally spaced gap.
- track 809 representing the metal 1 conduction line in FIG. 8A represents three separate nets in the same track, where each net corresponds to a particular track segment. More specifically, there are two poly contact nets and a floating net to fill the track with minimal spacing between the track segments.
- the substantially complete filling of tracks maintains the regular pattern that creates resonant images across the dynamic array.
- the regular architecture of the dynamic array with maximally filled interconnect tracks ensures that the dummy fill is placed in a uniform manner across the die.
- the regular architecture of the dynamic array assists the CMP process to produce substantially uniform results across the die/wafer. Also, the regular gate pattern of the dynamic array assists with gate etching uniformity (microloading). Additionally, the regular architecture of the dynamic array combined with the maximally filled interconnect tracks allows the designer to analyze the capacitive coupling effects associated with the maximally filled tracks during the design phase and prior to fabrication.
- the design of the dynamic array can be optimized for the maximum capability of the manufacturing equipment and processes. That is to say, because the dynamic array is restricted to the regular architecture for each layer above diffusion, the manufacturer is capable of optimizing the manufacturing process for the specific characteristics of the regular architecture. It should be appreciated that with the dynamic array, the manufacturer does not have to be concerned with accommodating the manufacture of a widely varying set of arbitrarily-shaped layout features as is present in conventional unconstrained layouts.
- the regular architecture implemented within the dynamic array allows the light interaction unpredictability in the via lithography to be removed, thus allowing for a reduction in the metal 2 pitch margin.
- a reduction in the metal 2 pitch margin allows for a more dense design, i.e., allows for optimization of chip area utilization.
- the restricted, i.e., regular, topology afforded by the dynamic array the margin in the design rules can be reduced.
- the restricted topology afforded by the dynamic array also allows the number of required design rules to be substantially reduced. For example, a typical design rule set for an unconstrained topology could have more than 600 design rules.
- a design rule set for use with the dynamic array may have about 45 design rules. Therefore, the effort required to analyze and verify the design against the design rules is decreased by more than a factor of ten with the restricted topology of the dynamic array.
- a correction model can be implemented as part of the layout generation for the dynamic array.
- a router can be programmed to insert a line break having characteristics defined as a function of its surroundings, i.e., as a function of its particular line end gap light interactions.
- the regular architecture of the dynamic array allows the line ends to be adjusted by changing vertices rather than by adding vertices.
- the dynamic array significantly reduces the cost and risk of mask production.
- the line end gap interactions in the dynamic array can be accurately predicted in the design phase, compensation for the predicted line end gap interactions during the design phase does not increase risk of design failure.
- the design is not required to be represented in terms of physical information. Rather, the design can be represented as a symbolic layout. Thus, the designer can represent the design from a pure logic perspective without having to represent physical characteristics, e.g., sizes, of the design.
- the grid-based netlist when translated to physical, matches the optimum design rules exactly for the dynamic array platform.
- a grid-based netlist can be moved directly to the new technology because there is no physical data in the design representation.
- the grid-based dynamic array system includes a rules database, a grid-based (symbolic) netlist, and the dynamic array architecture.
- the grid-based dynamic array eliminates topology related failures associated with conventional unconstrained architectures. Also, because the manufacturability of the grid-based dynamic array is design independent, the yield of the design implemented on the dynamic array is independent of the design. Therefore, because the validity and yield of the dynamic array is preverified, the grid-based netlist can be implemented on the dynamic array with preverified yield performance.
- FIG. 14 is an illustration showing a semiconductor chip structure 1400 , in accordance with one embodiment of the present invention.
- the semiconductor chip structure 1400 represents an exemplary portion of a semiconductor chip, including a diffusion region 1401 having a number of conductive lines 1403 A- 1403 G defined thereover.
- the diffusion region 1401 is defined in a substrate 1405 , to define an active region for at least one transistor device.
- the diffusion region 1401 can be defined to cover an area of arbitrary shape relative to the substrate 1405 surface.
- the conductive lines 1403 A- 1403 G are arranged to extend over the substrate 1405 in a common direction 1407 . It should also be appreciated that each of the number of conductive lines 1403 A- 1403 G are restricted to extending over the diffusion region 1401 in the common direction 1407 .
- the conductive lines 1403 A- 1403 G defined immediately over the substrate 1405 are polysilicon lines.
- each of the conductive lines 1403 A- 1403 G is defined to have essentially the same width 1409 in a direction perpendicular to the common direction 1407 of extension.
- some of the conductive lines 1403 A- 1403 G are defined to have different widths relative to the other conductive lines. However, regardless of the width of the conductive lines 1403 A- 1403 G, each of the conductive lines 1403 A- 1403 G is spaced apart from adjacent conductive lines according to essentially the same center-to-center pitch 1411 .
- some of the conductive lines ( 1403 B- 1403 E) extend over the diffusion region 1401
- other conductive lines ( 1403 A, 1403 F, 1403 G) extend over non-diffusion portions the substrate 1405 .
- the conductive lines 1403 A- 1403 G maintain their width 1409 and pitch 1411 regardless of whether they are defined over diffusion region 1401 or not.
- the conductive lines 1403 A- 1403 G maintain essentially the same length 1413 regardless of whether they are defined over diffusion region 1401 or not, thereby maximizing lithographic reinforcement between the conductive lines 1403 A- 1403 G across the substrate.
- some of the conductive lines, e.g., 1403 D, defined over the diffusion region 1401 include a necessary active portion 1415 , and one or more uniformity extending portions 1417 .
- the semiconductor chip structure 1400 represents a portion of the dynamic array described above with respect to FIGS. 2-13D . Therefore, it should be understood that the uniformity extending portions 1417 of the conductive lines ( 1403 B- 1403 E) are present to provide lithographic reinforcement of neighboring conductive lines 1403 A- 1403 G. Also, although they may not be required for circuit operation, each of conductive lines 1403 A, 1403 F, and 1403 G are present to provide lithographic reinforcement of neighboring conductive lines 1403 A- 1403 G.
- each of the conductive lines within an interconnect layer may include a required portion (necessary active portion) to enable required routing/connectivity, and a non-required portion (uniformity extending portion) to provide lithographic reinforcement to neighboring conductive lines.
- the conductive lines within an interconnect layer extend in a common direction over the substrate, have essentially the same width, and are spaced apart from each other according to an essentially constant pitch.
- conductive lines within an interconnect layer follow essentially the same ratio between line width and line spacing. For example, at 90 nm the metal 4 pitch is 280 nm with a line width and line spacing equal to 140 nm. Larger conductive lines can be printed on a larger line pitch if the line width is equal to the line spacing.
- the invention described herein can be embodied as computer readable code on a computer readable medium.
- the computer readable medium is any data storage device that can store data which can be thereafter be read by a computer system. Examples of the computer readable medium include hard drives, network attached storage (NAS), read-only memory, random-access memory, CD-ROMs, CD-Rs, CD-RWs, magnetic tapes, and other optical and non-optical data storage devices.
- the computer readable medium can also be distributed over a network coupled computer systems so that the computer readable code is stored and executed in a distributed fashion.
- GUI graphical user interface
- implemented as computer readable code on a computer readable medium can be developed to provide a user interface for performing any embodiment of the present invention.
- FIG. 15 shows an example layout architecture defined in accordance with one embodiment of the present invention.
- the layout architecture follows a grid pattern and is based upon a horizontal grid and a vertical grid.
- the horizontal grid is set by the poly gate pitch.
- the vertical pitch is set by the metal 1 /metal 3 pitch. All of the rectangular shapes should be centered on a grid point.
- the layout architecture minimizes the use of bends to eliminate unpredictable lithographic interactions. Bends are allowed on the diffusion layer to control transistor device sizes. Other layers should be rectangular in shape and fixed in one dimension.
Abstract
Description
Application | Filing | |
Title | No. | Date |
Semiconductor Device with Dynamic | 12/013,342 | Jan. 11, 2008 |
Array Section | ||
Methods for Designing Semiconductor | 12/013,356 | Jan. 11, 2008 |
Device with Dynamic Array Section | ||
Methods for Defining Dynamic Array | 12/013,366 | Jan. 11, 2008 |
Section with Manufacturing Assurance | ||
Halo and Apparatus Implementing the | ||
Same | ||
Enforcement of Semiconductor | 12/363,705 | Jan. 30, 2009 |
Structure Regularity for Localized | ||
Transistors and Interconnect | ||
Cross-Coupled Transistor Layouts Using | 12/402,465 | Mar. 11, 2009 |
Linear Gate Level Features | ||
Defining and Using Contact Grids in | 12/399,948 | Mar. 7, 2009 |
Circuit Using Dynamic Array | ||
Architecture | ||
Methods for Multi-Wire Routing and | 12/411,249 | Mar. 25, 2009 |
Apparatus Implementing Same | ||
Co-Optimized Nano-Patterns for | 12/484,130 | Jun. 12, 2009 |
Integrated Circuit Design | ||
Methods for Defining and Utilizing Sub- | 12/479,674 | Jun. 5, 2009 |
Resolution Features in Linear | ||
Topology | ||
Optimizing Layout of Irregular | 12/481,445 | Jun. 9, 2009 |
Structures in Regular Layout Context | ||
Methods for Cell Phasing in Grid-Based | 12/497,052 | Jul. 2, 2009 |
Architecture and Apparatus | ||
Implementing Same | ||
Use of Oversized Contacts and Vias in a | 12/466,335 | May 14, 2009 |
Linearly Constrained Topology | ||
Use of Oversized Contacts and Vias in a | 12/466,341 | May 14, 2009 |
Linearly Constrained Topology | ||
Methods for Controlling Microloading | 12/512,932 | Jul. 30, 2009 |
Variation in Semiconductor Wafer | ||
Layout and Fabrication | ||
Circuitry and Layouts for XOR and | 12/435,672 | May 5, 2009 |
XNOR Logic | ||
Semiconductor Device Layout Having | 12/561,207 | Sep. 16, 2009 |
Restricted Layout Region Including | ||
Rectangular Shaped Gate Electrode | ||
Layout Features Defined Along At Least | ||
Four Gate Electrode Tracks with | ||
Corresponding Non-Symmetric | ||
Diffusion Regions | ||
Semiconductor Device Layout Including | 12/561,216 | Sep. 16, 2009 |
Cell Layout Having Restricted Gate | ||
Electrode Level Layout with Rectangular | ||
Shaped Gate Electrode Layout Features | ||
Defined Along At Least Four Gate | ||
Electrode Tracks with Corresponding | ||
Non-Symmetric Diffusion Regions | ||
Semiconductor Device Layout Having | 12/561,220 | Sep. 16, 2009 |
Restricted Layout Region Including | ||
Rectangular Shaped Gate Electrode | ||
Layout Features and Equal Number of | ||
PMOS and NMOS Transistors | ||
Semiconductor Device Layout Including | 12/561,224 | Sep. 16, 2009 |
Cell Layout Having Restricted Gate | ||
Electrode Level Layout with Rectangular | ||
Shaped Gate Electrode Layout Features | ||
and Equal Number of PMOS and NMOS | ||
Transistors | ||
Semiconductor Device Layout Having | 12/561,229 | Sep. 16, 2009 |
Restricted Layout Region Including | ||
Rectangular Shaped Gate Electrode | ||
Layout Features and At Least Eight | ||
Transistors | ||
Semiconductor Device Layout Including | 12/561,234 | Sep. 16, 2009 |
Cell Layout Having Restricted Gate | ||
Electrode Level Layout with Rectangular | ||
Shaped Gate Electrode Layout Features | ||
and At Least Eight Transistors | ||
Semiconductor Device Portion Having | 12/561,238 | Sep. 16, 2009 |
Gate Electrode Conductive Structures | ||
Formed from Rectangular Shaped Gate | ||
Electrode Layout Features Defined | ||
Along At Least Four Gate Electrode | ||
Tracks and Having Corresponding Non- | ||
Symmetric Diffusion Regions | ||
Semiconductor Device Portion Having | 12/561,243 | Sep. 16, 2009 |
Sub-Wavelength-Sized Gate Electrode | ||
Conductive Structures Formed from | ||
Rectangular Shaped Gate Electrode | ||
Layout Features Defined Along At Least | ||
Four Gate Electrode Tracks and Having | ||
Corresponding Non-Symmetric | ||
Diffusion Regions | ||
Semiconductor Device Portion Having | 12/561,246 | Sep. 16, 2009 |
Sub-193 Nanometers-Sized Gate | ||
Electrode Conductive Structures Formed | ||
from Rectangular Shaped Gate Electrode | ||
Layout Features Defined Along At Least | ||
Four Gate Electrode Tracks and Having | ||
Corresponding Non-Symmetric | ||
Diffusion Regions | ||
Semiconductor Device Portion Having | 12/561,247 | Sep. 16, 2009 |
Gate Electrode Conductive Structures | ||
Formed from Rectangular Shaped Gate | ||
Electrode Layout Features and Having | ||
Equal Number of PMOS and NMOS | ||
Transistors | ||
Semiconductor Device Portion Having | 12/563,031 | Sep. 18, 2009 |
Sub-Wavelength-Sized Gate Electrode | ||
Conductive Structures Formed from | ||
Rectangular Shaped Gate Electrode | ||
Layout Features and Having Equal | ||
Number of PMOS and NMOS | ||
Transistors | ||
Semiconductor Device Portion Having | 12/563,042 | Sep. 18, 2009 |
Sub-193 Nanometers-Sized Gate | ||
Electrode Conductive Structures Formed | ||
from Rectangular Shaped Gate Electrode | ||
Layout Features and Having Equal | ||
Number of PMOS and NMOS | ||
Transistors | ||
Semiconductor Device Portion Having | 12/563,051 | Sep. 18, 2009 |
Gate Electrode Conductive Structures | ||
Formed from Rectangular Shaped Gate | ||
Electrode Layout Features and Having At | ||
Least Eight Transistors | ||
Semiconductor Device Portion Having | 12/563,056 | Sep. 18, 2009 |
Sub-Wavelength-Sized Gate Electrode | ||
Conductive Structures Formed from | ||
Rectangular Shaped Gate Electrode | ||
Layout Features and Having At Least | ||
Eight Transistors | ||
Semiconductor Device Portion Having | 12/563,061 | Sep. 18, 2009 |
Sub-193 Nanometers-Sized Gate | ||
Electrode Conductive Structures Formed | ||
from Rectangular Shaped Gate Electrode | ||
Layout Features and Having At Least | ||
Eight Transistors | ||
Semiconductor Device Layout Having | 12/563,063 | Sep. 18, 2009 |
Restricted Layout Region Including | ||
Linear Shaped Gate Electrode Layout | ||
Features Defined Along At Least Four | ||
Gate Electrode Tracks with Minimum | ||
End-to-End Spacing with Corresponding | ||
Non-Symmetric Diffusion Regions | ||
Semiconductor Device Layout Including | 12/563,066 | Sep. 18, 2009 |
Cell Layout Having Restricted Gate | ||
Electrode Level Layout with Linear | ||
Shaped Gate Electrode Layout Features | ||
Defined Along At Least Four Gate | ||
Electrode Tracks with Minimum End-to- | ||
End Spacing with Corresponding Non- | ||
Symmetric Diffusion Regions | ||
Semiconductor Device Layout Having | 12/563,074 | Sep. 18, 2009 |
Restricted Layout Region Including | ||
Linear Shaped Gate Electrode Layout | ||
Features Defined with Minimum End-to- | ||
End Spacing and Equal Number of | ||
PMOS and NMOS Transistors | ||
Semiconductor Device Layout Including | 12/563,076 | Sep. 18, 2009 |
Cell Layout Having Restricted Gate | ||
Electrode Level Layout with Linear | ||
Shaped Gate Electrode Layout Features | ||
Defined with Minimum End-to-End | ||
Spacing and Equal Number of PMOS | ||
and NMOS Transistors | ||
Semiconductor Device Layout Having | 12/563,077 | Sep. 18, 2009 |
Restricted Layout Region Including | ||
Linear Shaped Gate Electrode Layout | ||
Features Defined with Minimum End-to- | ||
End Spacing and At Least Eight | ||
Transistors | ||
Semiconductor Device Layout Including | 12/567,528 | Sep. 25, 2009 |
Cell Layout Having Restricted Gate | ||
Electrode Level Layout with Linear | ||
Shaped Gate Electrode Layout Features | ||
Defined with Minimum End-to-End | ||
Spacing and At Least Eight Transistors | ||
Semiconductor Device Portion Having | 12/567,542 | Sep. 25, 2009 |
Gate Electrode Conductive Structures | ||
Formed from Linear Shaped Gate | ||
Electrode Layout Features Defined | ||
Along At Least Four Gate Electrode | ||
Tracks with Minimum End-to-End | ||
Spacing and Having Corresponding Non- | ||
Symmetric Diffusion Regions | ||
Semiconductor Device Portion Having | 12/567,555 | Sep. 25, 2009 |
Sub-Wavelength-Sized Gate Electrode | ||
Conductive Structures Formed from | ||
Linear Shaped Gate Electrode Layout | ||
Features Defined Along At Least Four | ||
Gate Electrode Tracks with Minimum | ||
End-to-End Spacing and Having | ||
Corresponding Non-Symmetric | ||
Diffusion Regions | ||
Semiconductor Device Portion Having | 12/567,565 | Sep. 25, 2009 |
Sub-193 Nanometers-Sized Gate | ||
Electrode Conductive Structures Formed | ||
from Linear Shaped Gate Electrode | ||
Layout Features Defined Along At Least | ||
Four Gate Electrode Tracks with | ||
Minimum End-to-End Spacing and | ||
Having Corresponding Non-Symmetric | ||
Diffusion Regions | ||
Semiconductor Device Portion Having | 12/567,574 | Sep. 25, 2009 |
Gate Electrode Conductive Structures | ||
Formed from Linear Shaped Gate | ||
Electrode Layout Features Defined with | ||
Minimum End-to-End Spacing and | ||
Having Equal Number of PMOS and | ||
NMOS Transistors | ||
Semiconductor Device Portion Having | 12/567,586 | Sep. 25, 2009 |
Sub-Wavelength-Sized Gate Electrode | ||
Conductive Structures Formed from | ||
Linear Shaped Gate Electrode Layout | ||
Features Defined with Minimum End-to- | ||
End Spacing and Having Equal Number | ||
of PMOS and NMOS Transistors | ||
Semiconductor Device Portion Having | 12/567,597 | Sep. 25, 2009 |
Sub-193 Nanometers-Sized Gate | ||
Electrode Conductive Structures Formed | ||
from Linear Shaped Gate Electrode | ||
Layout Features Defined with Minimum | ||
End-to-End Spacing and Having Equal | ||
Number of PMOS and NMOS | ||
Transistors | ||
Semiconductor Device Portion Having | 12/567,602 | Sep. 25, 2009 |
Gate Electrode Conductive Structures | ||
Formed from Linear Shaped Gate | ||
Electrode Layout Features Defined with | ||
Minimum End-to-End Spacing and | ||
Having At Least Eight Transistors | ||
Semiconductor Device Portion Having | 12/567,609 | Sep. 25, 2009 |
Sub-Wavelength-Sized Gate Electrode | ||
Conductive Structures Formed from | ||
Linear Shaped Gate Electrode Layout | ||
Features Defined with Minimum End-to- | ||
End Spacing and Having At Least Eight | ||
Transistors | ||
Semiconductor Device Portion Having | 12/567,616 | Sep. 25, 2009 |
Sub-193 Nanometers-Sized Gate | ||
Electrode Conductive Structures Formed | ||
from Linear Shaped Gate Electrode | ||
Layout Features Defined with Minimum | ||
End-to-End Spacing and Having At Least | ||
Eight Transistors | ||
Layout of Cell of Semiconductor Device | 12/567,623 | Sep. 25, 2009 |
Having Rectangular Shaped Gate | ||
Electrode Layout Features Defined | ||
Along At Least Four Gate Electrode | ||
Tracks | ||
Layout of Cell of Semiconductor Device | 12/567,630 | Sep. 25, 2009 |
Having Rectangular Shaped Gate | ||
Electrode Layout Features Defined | ||
Along At Least Four Gate Electrode | ||
Tracks with Corresponding p-type and n- | ||
type Diffusion Regions Separated by | ||
Central Inactive Region | ||
Layout of Cell of Semiconductor Device | 12/567,634 | Sep. 25, 2009 |
Having Rectangular Shaped Gate | ||
Electrode Layout Features and Equal | ||
Number of PMOS and NMOS | ||
Transistors | ||
Layout of Cell of Semiconductor Device | 12/567,641 | Sep. 25, 2009 |
Having Rectangular Shaped Gate | ||
Electrode Layout Features and Equal | ||
Number of PMOS and NMOS | ||
Transistors with Corresponding p-type | ||
and n-type Diffusion Regions Separated | ||
by Central Inactive Region | ||
Layout of Cell of Semiconductor Device | 12/567,648 | Sep. 25, 2009 |
Having Rectangular Shaped Gate | ||
Electrode Layout Features and At Least | ||
Eight Transistors | ||
Layout of Cell of Semiconductor Device | 12/567,654 | Sep. 25, 2009 |
Having Rectangular Shaped Gate | ||
Electrode Layout Features and At Least | ||
Eight Transistors with Corresponding p- | ||
type and n-type Diffusion Regions | ||
Separated by Central Inactive Region | ||
Cell of Semiconductor Device Having | 12/571,343 | Sep. 30, 2009 |
Gate Electrode Conductive Structures | ||
Formed from Rectangular Shaped Gate | ||
Electrode Layout Features Defined | ||
Along At Least Four Gate Electrode | ||
Tracks | ||
Cell of Semiconductor Device Having | 12/571,351 | Sep. 30, 2009 |
Sub-Wavelength-Sized Gate Electrode | ||
Conductive Structures Formed from | ||
Rectangular Shaped Gate Electrode | ||
Layout Features Defined Along At Least | ||
Four Gate Electrode Tracks | ||
Cell of Semiconductor Device Having | 12/571,357 | Sep. 30, 2009 |
Sub-193 Nanometers-Sized Gate | ||
Electrode Conductive Structures Formed | ||
from Rectangular Shaped Gate Electrode | ||
Layout Features Defined Along At Least | ||
Four Gate Electrode Tracks | ||
Cell of Semiconductor Device Having | 12/571,998 | Oct. 01, 2009 |
Gate Electrode Conductive Structures | ||
Formed from Rectangular Shaped Gate | ||
Electrode Layout Features and Equal | ||
Number of PMOS and NMOS | ||
Transistors | ||
Cell of Semiconductor Device Having | 12/572,011 | Oct. 01, 2009 |
Sub-Wavelength-Sized Gate Electrode | ||
Conductive Structures Formed from | ||
Rectangular Shaped Gate Electrode | ||
Layout Features and Equal Number of | ||
PMOS and NMOS Transistors | ||
Cell of Semiconductor Device Having | 12/572,022 | Oct. 01, 2009 |
Sub-193 Nanometers-Sized Gate | ||
Electrode Conductive Structures Formed | ||
from Rectangular Shaped Gate Electrode | ||
Layout Features and Equal Number of | ||
PMOS and NMOS Transistors | ||
Cell of Semiconductor Device Having | 12/572,046 | Oct. 01, 2009 |
Gate Electrode Conductive Structures | ||
Formed from Rectangular Shaped Gate | ||
Electrode Layout Features and At Least | ||
Eight Transistors | ||
Cell of Semiconductor Device Having | 12/572,055 | Oct. 01, 2009 |
Sub-Wavelength-Sized Gate Electrode | ||
Conductive Structures Formed from | ||
Rectangular Shaped Gate Electrode | ||
Layout Features and At Least Eight | ||
Transistors | ||
Cell of Semiconductor Device Having | 12/572,061 | Oct. 01, 2009 |
Sub-193 Nanometers-Sized Gate | ||
Electrode Conductive Structures Formed | ||
from Rectangular Shaped Gate Electrode | ||
Layout Features and At Least Eight | ||
Transistors | ||
Layout of Cell of Semiconductor Device | 12/572,068 | Oct. 01, 2009 |
Having Linear Shaped Gate Electrode | ||
Layout Features Defined Along At Least | ||
Four Gate Electrode Tracks with | ||
Minimum End-to-End Spacing | ||
Layout of Cell of Semiconductor Device | 12/572,077 | Oct. 01, 2009 |
Having Linear Shaped Gate Electrode | ||
Layout Features Defined Along At Least | ||
Four Gate Electrode Tracks with | ||
Minimum End-to-End Spacing and | ||
Having Corresponding p-type and n-type | ||
Diffusion Regions Separated by Central | ||
Inactive Region | ||
Layout of Cell of Semiconductor Device | 12/572,091 | Oct. 01, 2009 |
Having Linear Shaped Gate Electrode | ||
Layout Features Defined with Minimum | ||
End-to-End Spacing and Having Equal | ||
Number of PMOS and NMOS | ||
Transistors | ||
Layout of Cell of Semiconductor Device | 12/572,194 | Oct. 01, 2009 |
Having Linear Shaped Gate Electrode | ||
Layout Features Defined with Minimum | ||
End-to-End Spacing and Having Equal | ||
Number of PMOS and NMOS | ||
Transistors and Having Corresponding p- | ||
type and n-type Diffusion Regions | ||
Separated by Central Inactive Region | ||
Layout of Cell of Semiconductor Device | 12/572,201 | Oct. 01, 2009 |
Having Linear Shaped Gate Electrode | ||
Layout Features Defined with Minimum | ||
End-to-End Spacing and Having At Least | ||
Eight Transistors | ||
Layout of Cell of Semiconductor Device | 12/572,212 | Oct. 01, 2009 |
Having Linear Shaped Gate Electrode | ||
Layout Features Defined with Minimum | ||
End-to-End Spacing and Having At Least | ||
Eight Transistors and Having | ||
Corresponding p-type and n-type | ||
Diffusion Regions Separated by Central | ||
Inactive Region | ||
Cell of Semiconductor Device Having | 12/572,218 | Oct. 01, 2009 |
Gate Electrode Conductive Structures | ||
Formed from Linear Shaped Gate | ||
Electrode Layout Features Defined | ||
Along At Least Four Gate Electrode | ||
Tracks with Minimum End-to-End | ||
Spacing | ||
Cell of Semiconductor Device Having | 12/572,221 | Oct. 01, 2009 |
Sub-Wavelength-Sized Gate Electrode | ||
Conductive Structures Formed from | ||
Linear Shaped Gate Electrode Layout | ||
Features Defined Along At Least Four | ||
Gate Electrode Tracks with Minimum | ||
End-to-End Spacing | ||
Cell of Semiconductor Device Having | 12/572,225 | Oct. 01, 2009 |
Sub-193 Nanometers-Sized Gate | ||
Electrode Conductive Structures Formed | ||
from Linear Shaped Gate Electrode | ||
Layout Features Defined Along At Least | ||
Four Gate Electrode Tracks with | ||
Minimum End-to-End Spacing | ||
Cell of Semiconductor Device Having | 12/572,228 | Oct. 01, 2009 |
Gate Electrode Conductive Structures | ||
Formed from Linear Shaped Gate | ||
Electrode Layout Features Defined with | ||
Minimum End-to-End Spacing and Equal | ||
Number of PMOS and NMOS | ||
Transistors | ||
Cell of Semiconductor Device Having | 12/572,229 | Oct. 01, 2009 |
Sub-Wavelength-Sized Gate Electrode | ||
Conductive Structures Formed from | ||
Linear Shaped Gate Electrode Layout | ||
Features Defined with Minimum End-to- | ||
End Spacing and Equal Number of | ||
PMOS and NMOS Transistors | ||
Cell of Semiconductor Device Having | 12/572,232 | Oct. 01, 2009 |
Sub-193 Nanometers-Sized Gate | ||
Electrode Conductive Structures Formed | ||
from Linear Shaped Gate Electrode | ||
Layout Features Defined with Minimum | ||
End-to-End Spacing and Equal Number | ||
of PMOS and NMOS Transistors | ||
Cell of Semiconductor Device Having | 12/572,237 | Oct. 01, 2009 |
Gate Electrode Conductive Structures | ||
Formed from Linear Shaped Gate | ||
Electrode Layout Features Defined with | ||
Minimum End-to-End Spacing and At | ||
Least Eight Transistors | ||
Cell of Semiconductor Device Having | 12/572,243 | Oct. 01, 2009 |
Sub-193 Nanometers-Sized Gate | ||
Electrode Conductive Structures Formed | ||
from Linear Shaped Gate Electrode | ||
Layout Features Defined with Minimum | ||
End-to-End Spacing and At Least Eight | ||
Transistors | ||
Diffusion contact center x-coordinate=I*0.36 μm, where I is the grid number;
Gate electrode feature center x-coordinate=0.18 μm+I*0.36 μm, where I is the grid number.
-
- at least two
metal 1 tracks be provided across the n-channel device area; - at least two
metal 1 tracks be provided across the p-channel device area; - at least two gate electrode tracks be provided for the n-channel device; and
- at least two gate electrode tracks be provided for the p-channel device.
- at least two
Claims (42)
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US12/572,239 US8129757B2 (en) | 2006-03-09 | 2009-10-01 | Integrated circuit including at least six linear-shaped conductive structive structures at equal pitch including at least two linear-shaped conductive structures having non-gate portions of different length |
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US78128806P | 2006-03-09 | 2006-03-09 | |
US11/683,402 US7446352B2 (en) | 2006-03-09 | 2007-03-07 | Dynamic array architecture |
US12/212,562 US7842975B2 (en) | 2006-03-09 | 2008-09-17 | Dynamic array architecture |
US12/572,239 US8129757B2 (en) | 2006-03-09 | 2009-10-01 | Integrated circuit including at least six linear-shaped conductive structive structures at equal pitch including at least two linear-shaped conductive structures having non-gate portions of different length |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US12/212,562 Continuation US7842975B2 (en) | 2006-03-09 | 2008-09-17 | Dynamic array architecture |
Publications (2)
Publication Number | Publication Date |
---|---|
US20100025732A1 US20100025732A1 (en) | 2010-02-04 |
US8129757B2 true US8129757B2 (en) | 2012-03-06 |
Family
ID=38475620
Family Applications (81)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US11/683,402 Active 2027-04-09 US7446352B2 (en) | 2006-03-09 | 2007-03-07 | Dynamic array architecture |
US12/212,562 Expired - Fee Related US7842975B2 (en) | 2006-03-09 | 2008-09-17 | Dynamic array architecture |
US12/561,243 Expired - Fee Related US7989848B2 (en) | 2006-03-09 | 2009-09-16 | Semiconductor device having at least four side-by-side electrodes of equal length and equal pitch with at least two transistor connections to power or ground |
US12/561,224 Expired - Fee Related US7948012B2 (en) | 2006-03-09 | 2009-09-16 | Semiconductor device having 1965 nm gate electrode level region including at least four active linear conductive segments and at least one non-gate linear conductive segment |
US12/561,234 Expired - Fee Related US7989847B2 (en) | 2006-03-09 | 2009-09-16 | Semiconductor device having linear-shaped gate electrodes of different transistor types with uniformity extending portions of different lengths |
US12/561,246 Expired - Fee Related US8035133B2 (en) | 2006-03-09 | 2009-09-16 | Semiconductor device having two pairs of transistors of different types formed from shared linear-shaped conductive features with intervening transistors of common type on equal pitch |
US12/561,229 Expired - Fee Related US7943966B2 (en) | 2006-03-09 | 2009-09-16 | Integrated circuit and associated layout with gate electrode level portion including at least two complimentary transistor forming linear conductive segments and at least one non-gate linear conductive segment |
US12/561,247 Expired - Fee Related US8022441B2 (en) | 2006-03-09 | 2009-09-16 | Semiconductor device and associated layouts having transistors formed from six linear conductive segments with gate electrode-to-gate electrode connection through single interconnect level and common node connection through different interconnect level |
US12/561,207 Expired - Fee Related US7932544B2 (en) | 2006-03-09 | 2009-09-16 | Semiconductor device and associated layouts including linear conductive segments having non-gate extension portions |
US12/561,220 Expired - Fee Related US7952119B2 (en) | 2006-03-09 | 2009-09-16 | Semiconductor device and associated layout having three or more linear-shaped gate electrode level conductive segments of both equal length and equal pitch |
US12/561,216 Expired - Fee Related US7906801B2 (en) | 2006-03-09 | 2009-09-16 | Semiconductor device and associated layouts having transistors formed from six linear conductive segments with intervening diffusion contact restrictions |
US12/563,056 Expired - Fee Related US8030689B2 (en) | 2006-03-09 | 2009-09-18 | Integrated circuit device and associated layout including separated diffusion regions of different type each having four gate electrodes with each of two complementary gate electrode pairs formed from respective linear conductive segment |
US12/563,051 Expired - Fee Related US8058671B2 (en) | 2006-03-09 | 2009-09-18 | Semiconductor device having at least three linear-shaped electrode level conductive features of equal length positioned side-by-side at equal pitch |
US12/563,061 Expired - Fee Related US8072003B2 (en) | 2006-03-09 | 2009-09-18 | Integrated circuit device and associated layout including two pairs of co-aligned complementary gate electrodes with offset gate contact structures |
US12/563,031 Expired - Fee Related US7910958B2 (en) | 2006-03-09 | 2009-09-18 | Semiconductor device and associated layouts having transistors formed from linear conductive segment with non-active neighboring linear conductive segment |
US12/563,076 Active 2027-11-05 US8258547B2 (en) | 2006-03-09 | 2009-09-18 | Semiconductor device with linearly restricted gate level region including two transistors of first type and two transistors of second type with offset gate contacts |
US12/563,074 Expired - Fee Related US8088679B2 (en) | 2006-03-09 | 2009-09-18 | Method for fabricating integrated circuit with gate electrode level portion including at least two complementary transistor forming linear conductive segments and at least one non-gate linear conductive segment |
US12/563,063 Expired - Fee Related US8089098B2 (en) | 2006-03-09 | 2009-09-18 | Integrated circuit device and associated layout including linear gate electrodes of different transistor types next to linear-shaped non-gate conductive segment |
US12/563,066 Expired - Fee Related US7923757B2 (en) | 2006-03-09 | 2009-09-18 | Semiconductor device and associated layouts having linear shaped gate electrodes defined along at least five adjacent gate electrode tracks of equal pitch with gate electrode connection through single interconnect level |
US12/563,077 Expired - Fee Related US8089099B2 (en) | 2006-03-09 | 2009-09-18 | Integrated circuit device and associated layout including gate electrode level region of 965 NM radius with linear-shaped conductive segments on fixed pitch |
US12/567,623 Active 2027-03-11 US8207053B2 (en) | 2006-03-09 | 2009-09-25 | Electrodes of transistors with at least two linear-shaped conductive structures of different length |
US12/567,586 Expired - Fee Related US8089101B2 (en) | 2006-03-09 | 2009-09-25 | Integrated circuit device with gate electrode level region including two side-by-side ones of at least three linear-shaped conductive structures electrically connected to each other through non-gate level |
US12/567,609 Expired - Fee Related US8089103B2 (en) | 2006-03-09 | 2009-09-25 | Integrated circuit device with gate level region including at least three linear-shaped conductive segments having offset line ends and forming three transistors of first type and one transistor of second type |
US12/567,597 Expired - Fee Related US8089102B2 (en) | 2006-03-09 | 2009-09-25 | Method for fabricating integrated circuit having three or more linear-shaped gate electrode level conductive segments of both equal length and equal pitch |
US12/567,528 Expired - Fee Related US8089100B2 (en) | 2006-03-09 | 2009-09-25 | Integrated circuit with gate electrode level region including at least four linear-shaped conductive structures forming gate electrodes of transistors and including extending portions of at least two different sizes |
US12/567,641 Expired - Fee Related US8134184B2 (en) | 2006-03-09 | 2009-09-25 | Integrated circuit having gate electrode level region including at least four linear-shaped conductive structures with some outer-contacted linear-shaped conductive structures having larger outer extending portion than inner extending portion |
US12/567,555 Expired - Fee Related US8129751B2 (en) | 2006-03-09 | 2009-09-25 | Integrated circuit including at least six linear-shaped conductive structures forming gate electrodes and including four conductive contacting structures having at least two different connection distances |
US12/567,542 Expired - Fee Related US8129750B2 (en) | 2006-03-09 | 2009-09-25 | Integrated circuit including at least six linear-shaped conductive structures forming gate electrodes of transistors with at least two linear-shaped conductive structures of different length |
US12/567,616 Expired - Fee Related US8134183B2 (en) | 2006-03-09 | 2009-09-25 | Integrated circuit including linear-shaped conductive structures that have gate portions and extending portions of different size |
US12/567,654 Expired - Fee Related US8134185B2 (en) | 2006-03-09 | 2009-09-25 | Integrated circuit having gate electrode level region including at least seven linear-shaped conductive structures at equal pitch including linear-shaped conductive structure forming transistors of two different types and at least three linear-shaped conductive structures having aligned ends |
US12/567,602 Expired - Fee Related US8101975B2 (en) | 2006-03-09 | 2009-09-25 | Integrated circuit device with gate level region including non-gate linear conductive segment positioned within 965 nanometers of four transistors of first type and four transistors of second type |
US12/567,630 Expired - Fee Related US7948013B2 (en) | 2006-03-09 | 2009-09-25 | Semiconductor device and associated layouts having linear shaped gate electrodes defined along at least five adjacent gate electrode tracks of equal pitch |
US12/567,648 Expired - Fee Related US8129753B2 (en) | 2006-03-09 | 2009-09-25 | Integrated circuit including gate electrode level region including at least seven linear-shaped conductive structures of equal length positioned at equal pitch with at least two linear-shaped conductive structures each forming one transistor and having extending portion sized greater than gate portion |
US12/567,634 Expired - Fee Related US8129819B2 (en) | 2006-03-09 | 2009-09-25 | Method of fabricating integrated circuit including at least six linear-shaped conductive structures at equal pitch including at least two linear-shaped conductive structures having non-gate portions of different length |
US12/567,565 Expired - Fee Related US8129752B2 (en) | 2006-03-09 | 2009-09-25 | Integrated circuit including a linear-shaped conductive structure forming one gate electrode and having length greater than or equal to one-half the length of linear-shaped conductive structure forming two gate electrodes |
US12/567,574 Expired - Fee Related US8110854B2 (en) | 2006-03-09 | 2009-09-25 | Integrated circuit device with linearly defined gate electrode level region and shared diffusion region of first type connected to shared diffusion region of second type through at least two interconnect levels |
US12/571,351 Active 2027-06-05 US8217428B2 (en) | 2006-03-09 | 2009-09-30 | Integrated circuit including gate electrode level region including at least three linear-shaped conductive structures of equal length having aligned ends and positioned at equal pitch and forming multiple gate electrodes of transistors of different type |
US12/571,343 Expired - Fee Related US8129754B2 (en) | 2006-03-09 | 2009-09-30 | Integrated circuit with gate electrode level including at least six linear-shaped conductive structures forming gate electrodes of transisters with at least one pair of linear-shaped conductive structures having offset ends |
US12/571,357 Active 2027-07-09 US8198656B2 (en) | 2006-03-09 | 2009-09-30 | Integrated circuit including gate electrode level region including at least four linear-shaped conductive structures of equal length having aligned ends and positioned at equal pitch and forming multiple gate electrodes of transistors of different type |
US12/572,061 Active 2027-03-08 US8138525B2 (en) | 2006-03-09 | 2009-10-01 | Integrated circuit including at least three linear-shaped conductive structures of different length each forming gate of different transistor |
US12/572,068 Active 2027-11-09 US8258550B2 (en) | 2006-03-09 | 2009-10-01 | Semiconductor device including at least six transistor forming linear shapes including at least two transistor forming linear shapes having different extension distances beyond gate contact |
US12/572,225 Active 2029-04-11 US8436400B2 (en) | 2006-03-09 | 2009-10-01 | Semiconductor device with gate level including gate electrode conductors for transistors of first type and transistors of second type with some gate electrode conductors of different length |
US12/572,229 Active 2027-10-19 US8264009B2 (en) | 2006-03-09 | 2009-10-01 | Semiconductor device with linearly restricted gate level region including four transistors of first type and four transistors of second type with gate defining shapes of different length |
US12/571,998 Active 2027-10-21 US8258548B2 (en) | 2006-03-09 | 2009-10-01 | Semiconductor device with gate level including four transistors of first type and four transistors of second type separated by non-diffusion region with restricted gate contact placement over separating non-diffusion region |
US12/572,046 Active 2027-11-01 US8264007B2 (en) | 2006-03-09 | 2009-10-01 | Semiconductor device including at least six transistor forming linear shapes including at least two different gate contact connection distances |
US12/572,212 Active 2027-12-09 US8264008B2 (en) | 2006-03-09 | 2009-10-01 | Semiconductor device including transistor forming linear shapes including gate portions and extending portions of different size |
US12/572,011 Active 2027-11-26 US8253172B2 (en) | 2006-03-09 | 2009-10-01 | Semiconductor device with linearly restricted gate level region including four serially connected transistors of first type and four serially connected transistors of second type separated by non-diffusion region |
US12/572,022 Active 2027-10-25 US8253173B2 (en) | 2006-03-09 | 2009-10-01 | Semiconductor device with gate level including four transistors of first type and four transistors of second type separated by non-diffusion region and having at least two gate contacts positioned outside separating non-diffusion region |
US12/572,201 Expired - Fee Related US8089104B2 (en) | 2006-03-09 | 2009-10-01 | Integrated circuit with gate electrode level region including multiple linear-shaped conductive structures forming gate electrodes of transistors and including uniformity extending portions of different size |
US12/572,232 Expired - Fee Related US8088682B2 (en) | 2006-03-09 | 2009-10-01 | Method for fabricating integrated circuit with gate electrode level region including two side-by-side ones of at least three linear-shaped conductive structures electrically connected to each other through non-gate level |
US12/572,228 Active 2027-11-21 US8258551B2 (en) | 2006-03-09 | 2009-10-01 | Semiconductor device with gate level including transistors of first type and transistors of second type with corresponding gate contact placement restriction |
US12/572,194 Expired - Fee Related US8088681B2 (en) | 2006-03-09 | 2009-10-01 | Method for fabricating integrated circuit including separated diffusion regions of different type each having four gate electrodes with each of two complementary gate electrode pairs formed from respective linear condcutive segment |
US12/572,239 Expired - Fee Related US8129757B2 (en) | 2006-03-09 | 2009-10-01 | Integrated circuit including at least six linear-shaped conductive structive structures at equal pitch including at least two linear-shaped conductive structures having non-gate portions of different length |
US12/572,221 Expired - Fee Related US8129756B2 (en) | 2006-03-09 | 2009-10-01 | Integrated circuit including at least six linear-shaped conductive structures forming gate electrodes of transistors with at least two different extension distances beyond conductive contacting structures |
US12/572,077 Expired - Fee Related US7910959B2 (en) | 2006-03-09 | 2009-10-01 | Semiconductor device and associated layouts having transistors formed from six linear conductive segments with gate electrode connection through single interconnect level |
US12/572,091 Expired - Fee Related US8088680B2 (en) | 2006-03-09 | 2009-10-01 | Method for fabricating integrated circuit having at least three linear-shaped gate electrode level conductive features of equal length positioned side-by-side at equal pitch |
US12/572,218 Expired - Fee Related US8129755B2 (en) | 2006-03-09 | 2009-10-01 | Integrated circuit with gate electrode level including at least four linear-shaped conductive structures of equal length and equal pitch with linear-shaped conductive structure forming one transistor |
US12/572,243 Active 2027-11-07 US8258552B2 (en) | 2006-03-09 | 2009-10-01 | Semiconductor device including at least six transistor forming linear shapes with at least two transistor forming linear shapes having offset ends |
US12/572,055 Active 2027-10-08 US8258549B2 (en) | 2006-03-09 | 2009-10-01 | Semiconductor device including two transistors of first type having gates formed by conductors of different length respectively aligned with two transistors of second type having gates formed by conductors of different length |
US12/572,237 Expired - Fee Related US8134186B2 (en) | 2006-03-09 | 2009-10-01 | Integrated circuit including at least three linear-shaped conductive structures at equal pitch including linear-shaped conductive structure having non-gate portion length greater than gate portion length |
US13/774,970 Expired - Fee Related US8952425B2 (en) | 2006-03-09 | 2013-02-22 | Integrated circuit including at least four linear-shaped conductive structures having extending portions of different length |
US13/774,954 Abandoned US20130168778A1 (en) | 2006-03-09 | 2013-02-22 | Integrated Circuit Including Gate Electrode Tracks That Each Form Gate Electrodes of Different Transistor Types With Intervening Non-Gate-Forming Gate Electrode Track |
US13/774,919 Abandoned US20130161760A1 (en) | 2006-03-09 | 2013-02-22 | Integrated Circuit Including Gate Electrode Tracks Including Offset End-to-End Spacings |
US13/774,940 Abandoned US20130168777A1 (en) | 2006-03-09 | 2013-02-22 | Integrated Circuit Including Gate Electrode Tracks Forming Gate Electrodes of Different Transistor Types and Linear Shaped Conductor Electrically Connecting Gate Electrodes |
US13/827,615 Expired - Fee Related US8823062B2 (en) | 2006-03-09 | 2013-03-14 | Integrated circuit with offset line end spacings in linear gate electrode level |
US13/827,755 Expired - Fee Related US8921896B2 (en) | 2006-03-09 | 2013-03-14 | Integrated circuit including linear gate electrode structures having different extension distances beyond contact |
US13/837,123 Expired - Fee Related US8946781B2 (en) | 2006-03-09 | 2013-03-15 | Integrated circuit including gate electrode conductive structures with different extension distances beyond contact |
US13/834,302 Expired - Fee Related US8921897B2 (en) | 2006-03-09 | 2013-03-15 | Integrated circuit with gate electrode conductive structures having offset ends |
US14/711,731 Active US9443947B2 (en) | 2006-03-09 | 2015-05-13 | Semiconductor chip including region having integrated circuit transistor gate electrodes formed by various conductive structures of specified shape and position and method for manufacturing the same |
US14/731,316 Active US9425272B2 (en) | 2006-03-09 | 2015-06-04 | Semiconductor chip including integrated circuit including four transistors of first transistor type and four transistors of second transistor type with electrical connections between various transistors and methods for manufacturing the same |
US14/946,292 Active US9425273B2 (en) | 2006-03-09 | 2015-11-19 | Semiconductor chip including integrated circuit including at least five gate level conductive structures having particular spatial and electrical relationship and method for manufacturing the same |
US15/263,282 Abandoned US20160379991A1 (en) | 2006-03-09 | 2016-09-12 | Semiconductor Chip and Method for Manufacturing the Same |
US15/344,452 Abandoned US20170053937A1 (en) | 2006-03-09 | 2016-11-04 | Semiconductor Chip and Method for Manufacturing the Same |
US15/457,920 Abandoned US20170186771A1 (en) | 2006-03-09 | 2017-03-13 | Semiconductor Chip and Method for Manufacturing the Same |
US15/688,187 Expired - Fee Related US10141334B2 (en) | 2006-03-09 | 2017-08-28 | Semiconductor chip including region having rectangular-shaped gate structures and first-metal structures |
US15/696,651 Expired - Fee Related US10141335B2 (en) | 2006-03-09 | 2017-09-06 | Semiconductor CIP including region having rectangular-shaped gate structures and first metal structures |
US15/696,728 Expired - Fee Related US9905576B2 (en) | 2006-03-09 | 2017-09-06 | Semiconductor chip including region having rectangular-shaped gate structures and first metal structures |
US16/119,757 Abandoned US20190019810A1 (en) | 2006-03-09 | 2018-08-31 | Semiconductor Chip Having Region Including Gate Electrode Features Formed In Part from Rectangular Layout Shapes on Gate Horizontal Grid and First-Metal Structures Formed In Part from Rectangular Layout Shapes on First-Metal Vertical Grid |
US16/119,727 Expired - Fee Related US10217763B2 (en) | 2006-03-09 | 2018-08-31 | Semiconductor chip having region including gate electrode features of rectangular shape on gate horizontal grid and first-metal structures of rectangular shape on at least eight first-metal gridlines of first-metal vertical grid |
US16/119,794 Expired - Fee Related US10186523B2 (en) | 2006-03-09 | 2018-08-31 | Semiconductor chip having region including gate electrode features formed in part from rectangular layout shapes on gate horizontal grid and first-metal structures formed in part from rectangular layout shapes on at least eight first-metal gridlines of first-metal vertical grid |
US16/119,676 Abandoned US20180374871A1 (en) | 2006-03-09 | 2018-08-31 | Semiconductor Chip Having Region Including Gate Electrode Features of Rectangular Shape on Gate Horizontal Grid and First-Metal Structures of Rectangular Shape on First-Metal Vertical Grid |
Family Applications Before (52)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US11/683,402 Active 2027-04-09 US7446352B2 (en) | 2006-03-09 | 2007-03-07 | Dynamic array architecture |
US12/212,562 Expired - Fee Related US7842975B2 (en) | 2006-03-09 | 2008-09-17 | Dynamic array architecture |
US12/561,243 Expired - Fee Related US7989848B2 (en) | 2006-03-09 | 2009-09-16 | Semiconductor device having at least four side-by-side electrodes of equal length and equal pitch with at least two transistor connections to power or ground |
US12/561,224 Expired - Fee Related US7948012B2 (en) | 2006-03-09 | 2009-09-16 | Semiconductor device having 1965 nm gate electrode level region including at least four active linear conductive segments and at least one non-gate linear conductive segment |
US12/561,234 Expired - Fee Related US7989847B2 (en) | 2006-03-09 | 2009-09-16 | Semiconductor device having linear-shaped gate electrodes of different transistor types with uniformity extending portions of different lengths |
US12/561,246 Expired - Fee Related US8035133B2 (en) | 2006-03-09 | 2009-09-16 | Semiconductor device having two pairs of transistors of different types formed from shared linear-shaped conductive features with intervening transistors of common type on equal pitch |
US12/561,229 Expired - Fee Related US7943966B2 (en) | 2006-03-09 | 2009-09-16 | Integrated circuit and associated layout with gate electrode level portion including at least two complimentary transistor forming linear conductive segments and at least one non-gate linear conductive segment |
US12/561,247 Expired - Fee Related US8022441B2 (en) | 2006-03-09 | 2009-09-16 | Semiconductor device and associated layouts having transistors formed from six linear conductive segments with gate electrode-to-gate electrode connection through single interconnect level and common node connection through different interconnect level |
US12/561,207 Expired - Fee Related US7932544B2 (en) | 2006-03-09 | 2009-09-16 | Semiconductor device and associated layouts including linear conductive segments having non-gate extension portions |
US12/561,220 Expired - Fee Related US7952119B2 (en) | 2006-03-09 | 2009-09-16 | Semiconductor device and associated layout having three or more linear-shaped gate electrode level conductive segments of both equal length and equal pitch |
US12/561,216 Expired - Fee Related US7906801B2 (en) | 2006-03-09 | 2009-09-16 | Semiconductor device and associated layouts having transistors formed from six linear conductive segments with intervening diffusion contact restrictions |
US12/563,056 Expired - Fee Related US8030689B2 (en) | 2006-03-09 | 2009-09-18 | Integrated circuit device and associated layout including separated diffusion regions of different type each having four gate electrodes with each of two complementary gate electrode pairs formed from respective linear conductive segment |
US12/563,051 Expired - Fee Related US8058671B2 (en) | 2006-03-09 | 2009-09-18 | Semiconductor device having at least three linear-shaped electrode level conductive features of equal length positioned side-by-side at equal pitch |
US12/563,061 Expired - Fee Related US8072003B2 (en) | 2006-03-09 | 2009-09-18 | Integrated circuit device and associated layout including two pairs of co-aligned complementary gate electrodes with offset gate contact structures |
US12/563,031 Expired - Fee Related US7910958B2 (en) | 2006-03-09 | 2009-09-18 | Semiconductor device and associated layouts having transistors formed from linear conductive segment with non-active neighboring linear conductive segment |
US12/563,076 Active 2027-11-05 US8258547B2 (en) | 2006-03-09 | 2009-09-18 | Semiconductor device with linearly restricted gate level region including two transistors of first type and two transistors of second type with offset gate contacts |
US12/563,074 Expired - Fee Related US8088679B2 (en) | 2006-03-09 | 2009-09-18 | Method for fabricating integrated circuit with gate electrode level portion including at least two complementary transistor forming linear conductive segments and at least one non-gate linear conductive segment |
US12/563,063 Expired - Fee Related US8089098B2 (en) | 2006-03-09 | 2009-09-18 | Integrated circuit device and associated layout including linear gate electrodes of different transistor types next to linear-shaped non-gate conductive segment |
US12/563,066 Expired - Fee Related US7923757B2 (en) | 2006-03-09 | 2009-09-18 | Semiconductor device and associated layouts having linear shaped gate electrodes defined along at least five adjacent gate electrode tracks of equal pitch with gate electrode connection through single interconnect level |
US12/563,077 Expired - Fee Related US8089099B2 (en) | 2006-03-09 | 2009-09-18 | Integrated circuit device and associated layout including gate electrode level region of 965 NM radius with linear-shaped conductive segments on fixed pitch |
US12/567,623 Active 2027-03-11 US8207053B2 (en) | 2006-03-09 | 2009-09-25 | Electrodes of transistors with at least two linear-shaped conductive structures of different length |
US12/567,586 Expired - Fee Related US8089101B2 (en) | 2006-03-09 | 2009-09-25 | Integrated circuit device with gate electrode level region including two side-by-side ones of at least three linear-shaped conductive structures electrically connected to each other through non-gate level |
US12/567,609 Expired - Fee Related US8089103B2 (en) | 2006-03-09 | 2009-09-25 | Integrated circuit device with gate level region including at least three linear-shaped conductive segments having offset line ends and forming three transistors of first type and one transistor of second type |
US12/567,597 Expired - Fee Related US8089102B2 (en) | 2006-03-09 | 2009-09-25 | Method for fabricating integrated circuit having three or more linear-shaped gate electrode level conductive segments of both equal length and equal pitch |
US12/567,528 Expired - Fee Related US8089100B2 (en) | 2006-03-09 | 2009-09-25 | Integrated circuit with gate electrode level region including at least four linear-shaped conductive structures forming gate electrodes of transistors and including extending portions of at least two different sizes |
US12/567,641 Expired - Fee Related US8134184B2 (en) | 2006-03-09 | 2009-09-25 | Integrated circuit having gate electrode level region including at least four linear-shaped conductive structures with some outer-contacted linear-shaped conductive structures having larger outer extending portion than inner extending portion |
US12/567,555 Expired - Fee Related US8129751B2 (en) | 2006-03-09 | 2009-09-25 | Integrated circuit including at least six linear-shaped conductive structures forming gate electrodes and including four conductive contacting structures having at least two different connection distances |
US12/567,542 Expired - Fee Related US8129750B2 (en) | 2006-03-09 | 2009-09-25 | Integrated circuit including at least six linear-shaped conductive structures forming gate electrodes of transistors with at least two linear-shaped conductive structures of different length |
US12/567,616 Expired - Fee Related US8134183B2 (en) | 2006-03-09 | 2009-09-25 | Integrated circuit including linear-shaped conductive structures that have gate portions and extending portions of different size |
US12/567,654 Expired - Fee Related US8134185B2 (en) | 2006-03-09 | 2009-09-25 | Integrated circuit having gate electrode level region including at least seven linear-shaped conductive structures at equal pitch including linear-shaped conductive structure forming transistors of two different types and at least three linear-shaped conductive structures having aligned ends |
US12/567,602 Expired - Fee Related US8101975B2 (en) | 2006-03-09 | 2009-09-25 | Integrated circuit device with gate level region including non-gate linear conductive segment positioned within 965 nanometers of four transistors of first type and four transistors of second type |
US12/567,630 Expired - Fee Related US7948013B2 (en) | 2006-03-09 | 2009-09-25 | Semiconductor device and associated layouts having linear shaped gate electrodes defined along at least five adjacent gate electrode tracks of equal pitch |
US12/567,648 Expired - Fee Related US8129753B2 (en) | 2006-03-09 | 2009-09-25 | Integrated circuit including gate electrode level region including at least seven linear-shaped conductive structures of equal length positioned at equal pitch with at least two linear-shaped conductive structures each forming one transistor and having extending portion sized greater than gate portion |
US12/567,634 Expired - Fee Related US8129819B2 (en) | 2006-03-09 | 2009-09-25 | Method of fabricating integrated circuit including at least six linear-shaped conductive structures at equal pitch including at least two linear-shaped conductive structures having non-gate portions of different length |
US12/567,565 Expired - Fee Related US8129752B2 (en) | 2006-03-09 | 2009-09-25 | Integrated circuit including a linear-shaped conductive structure forming one gate electrode and having length greater than or equal to one-half the length of linear-shaped conductive structure forming two gate electrodes |
US12/567,574 Expired - Fee Related US8110854B2 (en) | 2006-03-09 | 2009-09-25 | Integrated circuit device with linearly defined gate electrode level region and shared diffusion region of first type connected to shared diffusion region of second type through at least two interconnect levels |
US12/571,351 Active 2027-06-05 US8217428B2 (en) | 2006-03-09 | 2009-09-30 | Integrated circuit including gate electrode level region including at least three linear-shaped conductive structures of equal length having aligned ends and positioned at equal pitch and forming multiple gate electrodes of transistors of different type |
US12/571,343 Expired - Fee Related US8129754B2 (en) | 2006-03-09 | 2009-09-30 | Integrated circuit with gate electrode level including at least six linear-shaped conductive structures forming gate electrodes of transisters with at least one pair of linear-shaped conductive structures having offset ends |
US12/571,357 Active 2027-07-09 US8198656B2 (en) | 2006-03-09 | 2009-09-30 | Integrated circuit including gate electrode level region including at least four linear-shaped conductive structures of equal length having aligned ends and positioned at equal pitch and forming multiple gate electrodes of transistors of different type |
US12/572,061 Active 2027-03-08 US8138525B2 (en) | 2006-03-09 | 2009-10-01 | Integrated circuit including at least three linear-shaped conductive structures of different length each forming gate of different transistor |
US12/572,068 Active 2027-11-09 US8258550B2 (en) | 2006-03-09 | 2009-10-01 | Semiconductor device including at least six transistor forming linear shapes including at least two transistor forming linear shapes having different extension distances beyond gate contact |
US12/572,225 Active 2029-04-11 US8436400B2 (en) | 2006-03-09 | 2009-10-01 | Semiconductor device with gate level including gate electrode conductors for transistors of first type and transistors of second type with some gate electrode conductors of different length |
US12/572,229 Active 2027-10-19 US8264009B2 (en) | 2006-03-09 | 2009-10-01 | Semiconductor device with linearly restricted gate level region including four transistors of first type and four transistors of second type with gate defining shapes of different length |
US12/571,998 Active 2027-10-21 US8258548B2 (en) | 2006-03-09 | 2009-10-01 | Semiconductor device with gate level including four transistors of first type and four transistors of second type separated by non-diffusion region with restricted gate contact placement over separating non-diffusion region |
US12/572,046 Active 2027-11-01 US8264007B2 (en) | 2006-03-09 | 2009-10-01 | Semiconductor device including at least six transistor forming linear shapes including at least two different gate contact connection distances |
US12/572,212 Active 2027-12-09 US8264008B2 (en) | 2006-03-09 | 2009-10-01 | Semiconductor device including transistor forming linear shapes including gate portions and extending portions of different size |
US12/572,011 Active 2027-11-26 US8253172B2 (en) | 2006-03-09 | 2009-10-01 | Semiconductor device with linearly restricted gate level region including four serially connected transistors of first type and four serially connected transistors of second type separated by non-diffusion region |
US12/572,022 Active 2027-10-25 US8253173B2 (en) | 2006-03-09 | 2009-10-01 | Semiconductor device with gate level including four transistors of first type and four transistors of second type separated by non-diffusion region and having at least two gate contacts positioned outside separating non-diffusion region |
US12/572,201 Expired - Fee Related US8089104B2 (en) | 2006-03-09 | 2009-10-01 | Integrated circuit with gate electrode level region including multiple linear-shaped conductive structures forming gate electrodes of transistors and including uniformity extending portions of different size |
US12/572,232 Expired - Fee Related US8088682B2 (en) | 2006-03-09 | 2009-10-01 | Method for fabricating integrated circuit with gate electrode level region including two side-by-side ones of at least three linear-shaped conductive structures electrically connected to each other through non-gate level |
US12/572,228 Active 2027-11-21 US8258551B2 (en) | 2006-03-09 | 2009-10-01 | Semiconductor device with gate level including transistors of first type and transistors of second type with corresponding gate contact placement restriction |
US12/572,194 Expired - Fee Related US8088681B2 (en) | 2006-03-09 | 2009-10-01 | Method for fabricating integrated circuit including separated diffusion regions of different type each having four gate electrodes with each of two complementary gate electrode pairs formed from respective linear condcutive segment |
Family Applications After (28)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US12/572,221 Expired - Fee Related US8129756B2 (en) | 2006-03-09 | 2009-10-01 | Integrated circuit including at least six linear-shaped conductive structures forming gate electrodes of transistors with at least two different extension distances beyond conductive contacting structures |
US12/572,077 Expired - Fee Related US7910959B2 (en) | 2006-03-09 | 2009-10-01 | Semiconductor device and associated layouts having transistors formed from six linear conductive segments with gate electrode connection through single interconnect level |
US12/572,091 Expired - Fee Related US8088680B2 (en) | 2006-03-09 | 2009-10-01 | Method for fabricating integrated circuit having at least three linear-shaped gate electrode level conductive features of equal length positioned side-by-side at equal pitch |
US12/572,218 Expired - Fee Related US8129755B2 (en) | 2006-03-09 | 2009-10-01 | Integrated circuit with gate electrode level including at least four linear-shaped conductive structures of equal length and equal pitch with linear-shaped conductive structure forming one transistor |
US12/572,243 Active 2027-11-07 US8258552B2 (en) | 2006-03-09 | 2009-10-01 | Semiconductor device including at least six transistor forming linear shapes with at least two transistor forming linear shapes having offset ends |
US12/572,055 Active 2027-10-08 US8258549B2 (en) | 2006-03-09 | 2009-10-01 | Semiconductor device including two transistors of first type having gates formed by conductors of different length respectively aligned with two transistors of second type having gates formed by conductors of different length |
US12/572,237 Expired - Fee Related US8134186B2 (en) | 2006-03-09 | 2009-10-01 | Integrated circuit including at least three linear-shaped conductive structures at equal pitch including linear-shaped conductive structure having non-gate portion length greater than gate portion length |
US13/774,970 Expired - Fee Related US8952425B2 (en) | 2006-03-09 | 2013-02-22 | Integrated circuit including at least four linear-shaped conductive structures having extending portions of different length |
US13/774,954 Abandoned US20130168778A1 (en) | 2006-03-09 | 2013-02-22 | Integrated Circuit Including Gate Electrode Tracks That Each Form Gate Electrodes of Different Transistor Types With Intervening Non-Gate-Forming Gate Electrode Track |
US13/774,919 Abandoned US20130161760A1 (en) | 2006-03-09 | 2013-02-22 | Integrated Circuit Including Gate Electrode Tracks Including Offset End-to-End Spacings |
US13/774,940 Abandoned US20130168777A1 (en) | 2006-03-09 | 2013-02-22 | Integrated Circuit Including Gate Electrode Tracks Forming Gate Electrodes of Different Transistor Types and Linear Shaped Conductor Electrically Connecting Gate Electrodes |
US13/827,615 Expired - Fee Related US8823062B2 (en) | 2006-03-09 | 2013-03-14 | Integrated circuit with offset line end spacings in linear gate electrode level |
US13/827,755 Expired - Fee Related US8921896B2 (en) | 2006-03-09 | 2013-03-14 | Integrated circuit including linear gate electrode structures having different extension distances beyond contact |
US13/837,123 Expired - Fee Related US8946781B2 (en) | 2006-03-09 | 2013-03-15 | Integrated circuit including gate electrode conductive structures with different extension distances beyond contact |
US13/834,302 Expired - Fee Related US8921897B2 (en) | 2006-03-09 | 2013-03-15 | Integrated circuit with gate electrode conductive structures having offset ends |
US14/711,731 Active US9443947B2 (en) | 2006-03-09 | 2015-05-13 | Semiconductor chip including region having integrated circuit transistor gate electrodes formed by various conductive structures of specified shape and position and method for manufacturing the same |
US14/731,316 Active US9425272B2 (en) | 2006-03-09 | 2015-06-04 | Semiconductor chip including integrated circuit including four transistors of first transistor type and four transistors of second transistor type with electrical connections between various transistors and methods for manufacturing the same |
US14/946,292 Active US9425273B2 (en) | 2006-03-09 | 2015-11-19 | Semiconductor chip including integrated circuit including at least five gate level conductive structures having particular spatial and electrical relationship and method for manufacturing the same |
US15/263,282 Abandoned US20160379991A1 (en) | 2006-03-09 | 2016-09-12 | Semiconductor Chip and Method for Manufacturing the Same |
US15/344,452 Abandoned US20170053937A1 (en) | 2006-03-09 | 2016-11-04 | Semiconductor Chip and Method for Manufacturing the Same |
US15/457,920 Abandoned US20170186771A1 (en) | 2006-03-09 | 2017-03-13 | Semiconductor Chip and Method for Manufacturing the Same |
US15/688,187 Expired - Fee Related US10141334B2 (en) | 2006-03-09 | 2017-08-28 | Semiconductor chip including region having rectangular-shaped gate structures and first-metal structures |
US15/696,651 Expired - Fee Related US10141335B2 (en) | 2006-03-09 | 2017-09-06 | Semiconductor CIP including region having rectangular-shaped gate structures and first metal structures |
US15/696,728 Expired - Fee Related US9905576B2 (en) | 2006-03-09 | 2017-09-06 | Semiconductor chip including region having rectangular-shaped gate structures and first metal structures |
US16/119,757 Abandoned US20190019810A1 (en) | 2006-03-09 | 2018-08-31 | Semiconductor Chip Having Region Including Gate Electrode Features Formed In Part from Rectangular Layout Shapes on Gate Horizontal Grid and First-Metal Structures Formed In Part from Rectangular Layout Shapes on First-Metal Vertical Grid |
US16/119,727 Expired - Fee Related US10217763B2 (en) | 2006-03-09 | 2018-08-31 | Semiconductor chip having region including gate electrode features of rectangular shape on gate horizontal grid and first-metal structures of rectangular shape on at least eight first-metal gridlines of first-metal vertical grid |
US16/119,794 Expired - Fee Related US10186523B2 (en) | 2006-03-09 | 2018-08-31 | Semiconductor chip having region including gate electrode features formed in part from rectangular layout shapes on gate horizontal grid and first-metal structures formed in part from rectangular layout shapes on at least eight first-metal gridlines of first-metal vertical grid |
US16/119,676 Abandoned US20180374871A1 (en) | 2006-03-09 | 2018-08-31 | Semiconductor Chip Having Region Including Gate Electrode Features of Rectangular Shape on Gate Horizontal Grid and First-Metal Structures of Rectangular Shape on First-Metal Vertical Grid |
Country Status (4)
Country | Link |
---|---|
US (81) | US7446352B2 (en) |
EP (2) | EP1999793B1 (en) |
JP (2) | JP5260325B2 (en) |
WO (1) | WO2007103587A2 (en) |
Cited By (22)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20080222587A1 (en) * | 2007-03-05 | 2008-09-11 | Tela Innovations, Inc. | Integrated Circuit Cell Library for Multiple Patterning |
US20100187621A1 (en) * | 2008-03-13 | 2010-07-29 | Tela Innovations, Inc. | Linear Gate Level Cross-Coupled Transistor Device with Constant Gate Electrode Pitch |
US20110018090A1 (en) * | 2009-07-23 | 2011-01-27 | Nec Electronics Corporation | Semiconductor device |
US8549455B2 (en) | 2007-08-02 | 2013-10-01 | Tela Innovations, Inc. | Methods for cell phasing and placement in dynamic array architecture and implementation of the same |
US8653857B2 (en) | 2006-03-09 | 2014-02-18 | Tela Innovations, Inc. | Circuitry and layouts for XOR and XNOR logic |
US8658542B2 (en) | 2006-03-09 | 2014-02-25 | Tela Innovations, Inc. | Coarse grid design methods and structures |
US8661392B2 (en) | 2009-10-13 | 2014-02-25 | Tela Innovations, Inc. | Methods for cell boundary encroachment and layouts implementing the Same |
US8680626B2 (en) | 2007-10-26 | 2014-03-25 | Tela Innovations, Inc. | Methods, structures, and designs for self-aligning local interconnects used in integrated circuits |
US8701071B2 (en) | 2008-01-31 | 2014-04-15 | Tela Innovations, Inc. | Enforcement of semiconductor structure regularity for localized transistors and interconnect |
US8756551B2 (en) | 2007-08-02 | 2014-06-17 | Tela Innovations, Inc. | Methods for designing semiconductor device with dynamic array section |
US8759985B2 (en) | 2008-03-27 | 2014-06-24 | Tela Innovations, Inc. | Methods for multi-wire routing and apparatus implementing same |
US8823062B2 (en) | 2006-03-09 | 2014-09-02 | Tela Innovations, Inc. | Integrated circuit with offset line end spacings in linear gate electrode level |
US8839175B2 (en) | 2006-03-09 | 2014-09-16 | Tela Innovations, Inc. | Scalable meta-data objects |
US8863063B2 (en) | 2009-05-06 | 2014-10-14 | Tela Innovations, Inc. | Finfet transistor circuit |
US8951916B2 (en) | 2007-12-13 | 2015-02-10 | Tela Innovations, Inc. | Super-self-aligned contacts and method for making the same |
US9035359B2 (en) | 2006-03-09 | 2015-05-19 | Tela Innovations, Inc. | Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods |
US9122832B2 (en) | 2008-08-01 | 2015-09-01 | Tela Innovations, Inc. | Methods for controlling microloading variation in semiconductor wafer layout and fabrication |
US9159627B2 (en) | 2010-11-12 | 2015-10-13 | Tela Innovations, Inc. | Methods for linewidth modification and apparatus implementing the same |
US9425145B2 (en) | 2006-03-09 | 2016-08-23 | Tela Innovations, Inc. | Oversized contacts and vias in layout defined by linearly constrained topology |
US9563733B2 (en) | 2009-05-06 | 2017-02-07 | Tela Innovations, Inc. | Cell circuit and layout with linear finfet structures |
US9754878B2 (en) | 2006-03-09 | 2017-09-05 | Tela Innovations, Inc. | Semiconductor chip including a chip level based on a layout that includes both regular and irregular wires |
US10216890B2 (en) | 2004-04-21 | 2019-02-26 | Iym Technologies Llc | Integrated circuits having in-situ constraints |
Families Citing this family (160)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20050034087A1 (en) * | 2003-08-04 | 2005-02-10 | Hamlin Christopher L. | Method and apparatus for mapping platform-based design to multiple foundry processes |
US8225239B2 (en) | 2006-03-09 | 2012-07-17 | Tela Innovations, Inc. | Methods for defining and utilizing sub-resolution features in linear topology |
US8225261B2 (en) | 2006-03-09 | 2012-07-17 | Tela Innovations, Inc. | Methods for defining contact grid in dynamic array architecture |
US7943967B2 (en) * | 2006-03-09 | 2011-05-17 | Tela Innovations, Inc. | Semiconductor device and associated layouts including diffusion contact placement restriction based on relation to linear conductive segments |
US8245180B2 (en) | 2006-03-09 | 2012-08-14 | Tela Innovations, Inc. | Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same |
US7932545B2 (en) * | 2006-03-09 | 2011-04-26 | Tela Innovations, Inc. | Semiconductor device and associated layouts including gate electrode level region having arrangement of six linear conductive segments with side-to-side spacing less than 360 nanometers |
US8247846B2 (en) | 2006-03-09 | 2012-08-21 | Tela Innovations, Inc. | Oversized contacts and vias in semiconductor chip defined by linearly constrained topology |
US7735041B2 (en) * | 2006-08-03 | 2010-06-08 | Chipx, Inc. | Methods and computer readable media implementing a modified routing grid to increase routing densities of customizable logic array devices |
US8286107B2 (en) | 2007-02-20 | 2012-10-09 | Tela Innovations, Inc. | Methods and systems for process compensation technique acceleration |
US7979829B2 (en) | 2007-02-20 | 2011-07-12 | Tela Innovations, Inc. | Integrated circuit cell library with cell-level process compensation technique (PCT) application and associated methods |
KR101192359B1 (en) | 2007-12-17 | 2012-10-18 | 삼성전자주식회사 | NAND flash memory device and method for manufacturing the same |
US7979815B2 (en) * | 2008-01-08 | 2011-07-12 | International Business Machines Corporation | Compact model methodology for PC landing pad lithographic rounding impact on device performance |
US7830025B2 (en) * | 2008-05-19 | 2010-11-09 | United Microelectronics Corp. | Contact layout structure |
EP3327594A1 (en) * | 2008-07-16 | 2018-05-30 | Tela Innovations, Inc. | Methods for cell phasing and placement in dynamic array architecture and implementation of the same |
KR101435520B1 (en) | 2008-08-11 | 2014-09-01 | 삼성전자주식회사 | Semiconductor device and method of forming patterns for semiconductor device |
US7975246B2 (en) * | 2008-08-14 | 2011-07-05 | International Business Machines Corporation | MEEF reduction by elongation of square shapes |
JP5944464B2 (en) * | 2008-08-19 | 2016-07-05 | ルネサスエレクトロニクス株式会社 | Semiconductor device |
US20100057737A1 (en) * | 2008-08-29 | 2010-03-04 | Oracle International Corporation | Detection of non-occurrences of events using pattern matching |
KR101540083B1 (en) | 2008-10-22 | 2015-07-30 | 삼성전자주식회사 | Method of forming patterns for semiconductor device |
US20100121355A1 (en) | 2008-10-24 | 2010-05-13 | The Foundry, Llc | Methods and devices for suture anchor delivery |
KR101012190B1 (en) * | 2008-11-07 | 2011-02-08 | 주식회사 동부하이텍 | Method for forming gate in fabricating semiconductor device |
CN102307581B (en) | 2008-12-08 | 2016-08-17 | 吉利德康涅狄格股份有限公司 | Imidazopyrazine SYK inhibitors |
SG171991A1 (en) | 2008-12-08 | 2011-07-28 | Gilead Connecticut Inc | Imidazopyrazine syk inhibitors |
KR101532012B1 (en) * | 2008-12-24 | 2015-06-30 | 삼성전자주식회사 | Semiconductor device and method of forming patterns for semiconductor device |
US8154053B2 (en) * | 2009-02-20 | 2012-04-10 | Standard Microsystems Corporation | Programmable metal elements and programmable via elements in an integrated circuit |
US8935293B2 (en) * | 2009-03-02 | 2015-01-13 | Oracle International Corporation | Framework for dynamically generating tuple and page classes |
JPWO2010122754A1 (en) * | 2009-04-22 | 2012-10-25 | パナソニック株式会社 | Semiconductor integrated circuit |
JP5515394B2 (en) * | 2009-04-30 | 2014-06-11 | 株式会社ピーアイ技術研究所 | Photosensitive modified polyimide resin composition and use thereof |
ES2342872B1 (en) * | 2009-05-20 | 2011-05-30 | Baolab Microsystems S.L. | CHIP THAT INCLUDES A MEMS PROVIDED IN AN INTEGRATED CIRCUIT AND CORRESPONDING MANUFACTURING PROCEDURE. |
US8387076B2 (en) * | 2009-07-21 | 2013-02-26 | Oracle International Corporation | Standardized database connectivity support for an event processing server |
US8527458B2 (en) * | 2009-08-03 | 2013-09-03 | Oracle International Corporation | Logging framework for a data stream processing server |
US8386466B2 (en) * | 2009-08-03 | 2013-02-26 | Oracle International Corporation | Log visualization tool for a data stream processing server |
TWI573496B (en) * | 2009-08-05 | 2017-03-01 | 薄膜電子Asa公司 | Print compatible designs and layout schemes for printed electronics |
KR101361658B1 (en) | 2009-12-04 | 2014-02-21 | 한국전자통신연구원 | Resistive memory device and method of fabricating the same |
US9220180B2 (en) * | 2010-12-09 | 2015-12-22 | Richard Anthony Dunn, JR. | System and methods for scalable parallel data processing and process control |
US8558320B2 (en) * | 2009-12-15 | 2013-10-15 | Qualcomm Incorporated | Systems and methods employing a physically asymmetric semiconductor device having symmetrical electrical behavior |
US9430494B2 (en) * | 2009-12-28 | 2016-08-30 | Oracle International Corporation | Spatial data cartridge for event processing systems |
US9305057B2 (en) * | 2009-12-28 | 2016-04-05 | Oracle International Corporation | Extensible indexing framework using data cartridges |
US8959106B2 (en) * | 2009-12-28 | 2015-02-17 | Oracle International Corporation | Class loading using java data cartridges |
US8397183B2 (en) * | 2010-02-03 | 2013-03-12 | International Business Machines Corporation | Generation of asymmetric circuit devices |
US8836035B2 (en) * | 2010-03-10 | 2014-09-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and apparatus for reducing gate resistance |
US7969199B1 (en) | 2010-05-10 | 2011-06-28 | Pdf Solutions, Inc. | Pattern controlled IC layout |
US8519444B2 (en) | 2010-09-10 | 2013-08-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Modified design rules to improve device performance |
US8713049B2 (en) | 2010-09-17 | 2014-04-29 | Oracle International Corporation | Support for a parameterized query/view in complex event processing |
WO2012056615A1 (en) | 2010-10-26 | 2012-05-03 | パナソニック株式会社 | Semiconductor device |
US9189280B2 (en) | 2010-11-18 | 2015-11-17 | Oracle International Corporation | Tracking large numbers of moving objects in an event processing system |
TW201234527A (en) * | 2010-11-19 | 2012-08-16 | Baolab Microsystems Sl | Methods and systems for fabrication of MEMS CMOS devices |
US8990416B2 (en) | 2011-05-06 | 2015-03-24 | Oracle International Corporation | Support for a new insert stream (ISTREAM) operation in complex event processing (CEP) |
US9329975B2 (en) | 2011-07-07 | 2016-05-03 | Oracle International Corporation | Continuous query language (CQL) debugger in complex event processing (CEP) |
US8635573B2 (en) * | 2011-08-01 | 2014-01-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of fabricating a semiconductor device having a defined minimum gate spacing between adjacent gate structures |
US9105590B2 (en) | 2011-08-10 | 2015-08-11 | United Microelectronics Corp. | Semiconductor structure having material layers which are level with each other and manufacturing method thereof |
US9977855B2 (en) * | 2011-09-14 | 2018-05-22 | Toshiba Memory Corporation | Method of wiring layout, semiconductor device, program for supporting design of wiring layout, and method for manufacturing semiconductor device |
US10741489B2 (en) * | 2011-09-19 | 2020-08-11 | Texas Instruments Incorporated | Rectangular via for ensuring via yield in the absence of via redundancy |
US8887106B2 (en) | 2011-12-28 | 2014-11-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process |
US8923087B2 (en) | 2012-01-19 | 2014-12-30 | Lsi Corporation | Method and apparatus for decreasing leakage power consumption in power gated memories |
JP6087506B2 (en) | 2012-01-31 | 2017-03-01 | キヤノン株式会社 | Drawing method and article manufacturing method |
US8697537B2 (en) * | 2012-02-01 | 2014-04-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of patterning for a semiconductor device |
US9105744B2 (en) * | 2012-03-01 | 2015-08-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices having inactive fin field effect transistor (FinFET) structures and manufacturing and design methods thereof |
US10515956B2 (en) | 2012-03-01 | 2019-12-24 | Taiwan Semiconductor Manufacturing Company | Semiconductor devices having Fin Field Effect Transistor (FinFET) structures and manufacturing and design methods thereof |
US9117052B1 (en) | 2012-04-12 | 2015-08-25 | Cadence Design Systems, Inc. | Methods, systems, and articles of manufacture for interactively implementing physical electronic designs with track patterns |
US9003349B1 (en) * | 2013-06-28 | 2015-04-07 | Cadence Design Systems, Inc. | Methods, systems, and articles of manufacture for implementing a physical electronic design with area-bounded tracks |
US8984465B1 (en) | 2013-06-28 | 2015-03-17 | Cadence Design Systems, Inc. | Methods, systems, and articles of manufacture for automatically assigning track patterns to regions for physical implementation of an electronic design |
US9251299B1 (en) | 2013-06-28 | 2016-02-02 | Cadence Design Systems, Inc. | Methods, systems, and articles of manufacture for associating track patterns with rules for electronic designs |
US8741763B2 (en) * | 2012-05-07 | 2014-06-03 | Globalfoundries Inc. | Layout designs with via routing structures |
US20130320451A1 (en) * | 2012-06-01 | 2013-12-05 | Taiwan Semiconductor Manufacturing Company, Ltd., ("Tsmc") | Semiconductor device having non-orthogonal element |
US8736061B2 (en) * | 2012-06-07 | 2014-05-27 | GlobalFoundries, Inc. | Integrated circuits having a continuous active area and methods for fabricating same |
US8964453B2 (en) | 2012-06-28 | 2015-02-24 | Synopsys, Inc. | SRAM layouts |
US8987128B2 (en) * | 2012-07-30 | 2015-03-24 | Globalfoundries Inc. | Cross-coupling based design using diffusion contact structures |
US9213793B1 (en) | 2012-08-31 | 2015-12-15 | Cadence Design Systems, Inc. | Methods, systems, and articles of manufacture for implementing electronic designs using flexible routing tracks |
US9104830B1 (en) | 2013-06-28 | 2015-08-11 | Cadence Design Systems, Inc. | Methods, systems, and articles of manufacture for assigning track patterns to regions of an electronic design |
US9563663B2 (en) | 2012-09-28 | 2017-02-07 | Oracle International Corporation | Fast path evaluation of Boolean predicates |
US11288277B2 (en) | 2012-09-28 | 2022-03-29 | Oracle International Corporation | Operator sharing for continuous queries over archived relations |
US9576978B2 (en) | 2012-10-09 | 2017-02-21 | Samsung Electronics Co., Ltd. | Cells including at least one fin field effect transistor and semiconductor integrated circuits including the same |
US10629550B2 (en) * | 2012-10-31 | 2020-04-21 | Delta Electronics (Shanghai) Co., Ltd | Power integrated module |
US10956422B2 (en) | 2012-12-05 | 2021-03-23 | Oracle International Corporation | Integrating event processing with map-reduce |
US9123565B2 (en) | 2012-12-31 | 2015-09-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Masks formed based on integrated circuit layout design having standard cell that includes extended active region |
US9098587B2 (en) | 2013-01-15 | 2015-08-04 | Oracle International Corporation | Variable duration non-event pattern matching |
US10298444B2 (en) | 2013-01-15 | 2019-05-21 | Oracle International Corporation | Variable duration windows on continuous data streams |
US9047249B2 (en) | 2013-02-19 | 2015-06-02 | Oracle International Corporation | Handling faults in a continuous event processing (CEP) system |
US9390135B2 (en) | 2013-02-19 | 2016-07-12 | Oracle International Corporation | Executing continuous event processing (CEP) queries in parallel |
PL2961276T3 (en) * | 2013-02-27 | 2019-01-31 | Monsanto Technology Llc | Glyphosate and dicamba tank mixtures with improved volatility |
USD758372S1 (en) * | 2013-03-13 | 2016-06-07 | Nagrastar Llc | Smart card interface |
USD729808S1 (en) * | 2013-03-13 | 2015-05-19 | Nagrastar Llc | Smart card interface |
USD759022S1 (en) * | 2013-03-13 | 2016-06-14 | Nagrastar Llc | Smart card interface |
JP5895880B2 (en) * | 2013-03-18 | 2016-03-30 | ソニー株式会社 | Optical element, projection-type image display device, and master disc |
EP2982252A4 (en) * | 2013-04-25 | 2017-01-04 | Japan Tobacco, Inc. | Process for producing constituent element for tasty thing containing flavoring ingredient, and constituent element for tasty thing containing flavoring ingredient |
KR20140128619A (en) * | 2013-04-29 | 2014-11-06 | 에스케이하이닉스 주식회사 | Semiconductor Integration Circuit Apparatus Having Differential Amplifier |
US9418113B2 (en) | 2013-05-30 | 2016-08-16 | Oracle International Corporation | Value based windows on relations in continuous data streams |
US9165103B1 (en) | 2013-06-28 | 2015-10-20 | Cadence Design Systems, Inc. | Methods, systems, and articles of manufacture for tessellating and labeling routing space for routing electronic designs |
US9331016B2 (en) * | 2013-07-25 | 2016-05-03 | Qualcomm Incorporated | SOC design with critical technology pitch alignment |
CN105493264B (en) * | 2013-08-23 | 2018-06-01 | 株式会社索思未来 | Conductor integrated circuit device |
US8938697B1 (en) * | 2013-08-27 | 2015-01-20 | United Microelectronics Corp. | Method of performing optical proximity correction for preparing mask projected onto wafer by photolithography |
US9530733B2 (en) | 2013-09-27 | 2016-12-27 | Intel Corporation | Forming layers of materials over small regions by selective chemical reaction including limiting enchroachment of the layers over adjacent regions |
US9704846B1 (en) | 2013-10-04 | 2017-07-11 | Pdf Solutions, Inc. | IC chips containing a mixture of standard cells obtained from an original set of design rules and enhanced standard cells that are a substantially uniform variant of the original set of design rules and methods for making the same |
KR102233211B1 (en) * | 2013-10-11 | 2021-03-29 | 삼성전자주식회사 | Semiconductor device |
US9691868B2 (en) * | 2013-11-22 | 2017-06-27 | Qualcomm Incorporated | Merging lithography processes for gate patterning |
CN104701297B (en) * | 2013-12-05 | 2017-12-29 | 中芯国际集成电路制造(北京)有限公司 | Interconnection structure and forming method thereof |
US9934279B2 (en) | 2013-12-05 | 2018-04-03 | Oracle International Corporation | Pattern matching across multiple input data streams |
JP2015141929A (en) * | 2014-01-27 | 2015-08-03 | マイクロン テクノロジー, インク. | Semiconductor device and method of manufacturing the same |
FR3018139B1 (en) | 2014-02-28 | 2018-04-27 | Stmicroelectronics (Rousset) Sas | COMPONENT INTEGRATED CIRCUIT, FOR EXAMPLE NMOS TRANSISTORS, WITH ACTIVATED REGIONS WITH COMPRESSED COMPRESSION STRESSES |
US9551923B2 (en) * | 2014-04-08 | 2017-01-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Cut mask design layers to provide compact cell height |
EP3540622B1 (en) * | 2014-06-09 | 2021-04-28 | Aware, Inc. | System and method for performing biometric operations in parallel |
US9244978B2 (en) | 2014-06-11 | 2016-01-26 | Oracle International Corporation | Custom partitioning of a data stream |
US9712645B2 (en) | 2014-06-26 | 2017-07-18 | Oracle International Corporation | Embedded event processing |
FR3025335B1 (en) * | 2014-08-29 | 2016-09-23 | Stmicroelectronics Rousset | METHOD FOR MANUFACTURING AN INTEGRATED CIRCUIT FOR IMPROVING INTEGRATED CIRCUIT RETRO-DESIGN AND CORRESPONDING INTEGRATED CIRCUIT |
US9886486B2 (en) | 2014-09-24 | 2018-02-06 | Oracle International Corporation | Enriching events with dynamically typed big data for event processing |
US10120907B2 (en) | 2014-09-24 | 2018-11-06 | Oracle International Corporation | Scaling event processing using distributed flows and map-reduce operations |
US9431381B2 (en) | 2014-09-29 | 2016-08-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | System and method of processing cutting layout and example switching circuit |
US9748246B2 (en) | 2014-11-06 | 2017-08-29 | Samsung Electronics Co., Ltd. | Semiconductor integrated circuits having contacts spaced apart from active regions |
KR102296062B1 (en) * | 2014-11-06 | 2021-08-31 | 삼성전자주식회사 | Semiconductor integrated circuit and method of manufacturing the same |
USD780763S1 (en) * | 2015-03-20 | 2017-03-07 | Nagrastar Llc | Smart card interface |
US9583493B2 (en) | 2015-04-08 | 2017-02-28 | Samsung Electronics Co., Ltd. | Integrated circuit and semiconductor device |
KR102321605B1 (en) * | 2015-04-09 | 2021-11-08 | 삼성전자주식회사 | Method for designing layout of semiconductor device and method for manufacturing semiconductor device using the same |
USD864968S1 (en) | 2015-04-30 | 2019-10-29 | Echostar Technologies L.L.C. | Smart card interface |
US9543192B2 (en) * | 2015-05-18 | 2017-01-10 | Globalfoundries Singapore Pte. Ltd. | Stitched devices |
US9851506B2 (en) * | 2015-06-04 | 2017-12-26 | Elenion Technologies, Llc | Back end of line process integrated optical device fabrication |
US9618918B2 (en) * | 2015-07-13 | 2017-04-11 | James Thomas O'Keeffe | System and method for estimating the number of people in a smart building |
JP6568735B2 (en) * | 2015-07-17 | 2019-08-28 | 日立オートモティブシステムズ株式会社 | Switch element and load drive device |
WO2017018901A1 (en) | 2015-07-24 | 2017-02-02 | Oracle International Corporation | Visually exploring and analyzing event streams |
KR102342851B1 (en) | 2015-08-17 | 2021-12-23 | 삼성전자주식회사 | Semiconductor Chip, Test System and Test Method of Semiconductor Chip |
EP3167980A1 (en) * | 2015-11-13 | 2017-05-17 | SLM Solutions Group AG | Unpacking device allowing residual raw material powder removal |
KR102506430B1 (en) | 2015-11-27 | 2023-03-08 | 삼성전자주식회사 | Method for manufacturing semiconductor device |
CN106952865B (en) * | 2016-01-06 | 2019-11-01 | 中芯国际集成电路制造(上海)有限公司 | Semiconductor structure and forming method thereof |
US10062648B2 (en) | 2016-02-26 | 2018-08-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor package and method of forming the same |
US9735157B1 (en) | 2016-03-18 | 2017-08-15 | Samsung Electronics Co., Ltd. | Semiconductor device and method of fabricating the same |
US9721841B1 (en) | 2016-04-27 | 2017-08-01 | United Microelectronics Corp. | Electronic circuit of fin FET and methof for fabricating the electronic circuit |
KR20170128719A (en) * | 2016-05-13 | 2017-11-23 | 삼성전자주식회사 | Method for manufacturing semiconductor device |
GB201609781D0 (en) * | 2016-06-03 | 2016-07-20 | Irdeto Bv | Secured chip |
US9741690B1 (en) * | 2016-09-09 | 2017-08-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Redistribution layers in semiconductor packages and methods of forming same |
US9985014B2 (en) | 2016-09-15 | 2018-05-29 | Qualcomm Incorporated | Minimum track standard cell circuits for reduced area |
US9837398B1 (en) * | 2016-11-23 | 2017-12-05 | Advanced Micro Devices, Inc. | Metal track cutting in standard cell layouts |
US10157922B2 (en) * | 2016-11-28 | 2018-12-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Interconnect metal layout for integrated circuit |
KR20180069465A (en) | 2016-12-15 | 2018-06-25 | 삼성전자주식회사 | Integrated circuit having vertical transistor and semiconductor device including the same |
US10283526B2 (en) | 2016-12-21 | 2019-05-07 | Qualcomm Incorporated | Standard cell circuits employing voltage rails electrically coupled to metal shunts for reducing or avoiding increases in voltage drop |
US10424574B2 (en) * | 2017-01-23 | 2019-09-24 | International Business Machines Corporation | Standard cell architecture with at least one gate contact over an active area |
US11211330B2 (en) | 2017-05-01 | 2021-12-28 | Advanced Micro Devices, Inc. | Standard cell layout architectures and drawing styles for 5nm and beyond |
US11347925B2 (en) | 2017-05-01 | 2022-05-31 | Advanced Micro Devices, Inc. | Power grid architecture and optimization with EUV lithography |
US10790272B2 (en) | 2017-08-02 | 2020-09-29 | Qualcomm Incorporated | Manufacturability (DFM) cells in extreme ultra violet (EUV) technology |
US10411020B2 (en) | 2017-08-31 | 2019-09-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Using three or more masks to define contact-line-blocking components in FinFET SRAM fabrication |
US10692808B2 (en) | 2017-09-18 | 2020-06-23 | Qualcomm Incorporated | High performance cell design in a technology with high density metal routing |
US10943045B2 (en) | 2018-01-31 | 2021-03-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device including standard-cell-adapted power grid arrangement and method for generating layout diagram of same |
US20190252408A1 (en) * | 2018-02-13 | 2019-08-15 | Qualcomm Incorporated | Staggered self aligned gate contact |
US10916498B2 (en) | 2018-03-28 | 2021-02-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Interconnect structure for logic circuit |
US10748889B2 (en) | 2018-06-15 | 2020-08-18 | Samsung Electronics Co., Ltd. | Power grid and standard cell co-design structure and methods thereof |
US11556691B2 (en) * | 2018-09-28 | 2023-01-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Track-based fill (TBF) method for metal patterning |
WO2020102262A1 (en) | 2018-11-12 | 2020-05-22 | Exxonmobil Upstream Research Company | Method of placing a fluid mixture containing compressible particles into a wellbore |
KR102628894B1 (en) | 2018-12-05 | 2024-01-24 | 삼성전자주식회사 | Integrated circuits and methods of manufacturing and designing the same |
JP2022521413A (en) | 2019-02-22 | 2022-04-07 | クロノス バイオ インコーポレイテッド | Solid form of condensed pyrazine as a SYK inhibitor |
KR20200116646A (en) | 2019-04-02 | 2020-10-13 | 삼성전자주식회사 | Intergrated circuits and semiconductor device including standard cell |
US11126775B2 (en) * | 2019-04-12 | 2021-09-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | IC layout, method, device, and system |
DE102020115154A1 (en) | 2019-06-14 | 2020-12-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | MULTIPLEXER |
US11392743B2 (en) * | 2019-06-14 | 2022-07-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Multiplexer |
US10796061B1 (en) | 2019-08-29 | 2020-10-06 | Advanced Micro Devices, Inc. | Standard cell and power grid architectures with EUV lithography |
US10854604B1 (en) * | 2019-09-20 | 2020-12-01 | Qualcomm Incorporated | Offset gate contact |
CN112736079A (en) * | 2019-10-28 | 2021-04-30 | 联华电子股份有限公司 | Semiconductor device having contact plug connected to gate structure of PMOS region |
US11088075B2 (en) * | 2019-11-01 | 2021-08-10 | Globalfoundries U.S. Inc. | Layout structures with multiple fingers of multiple lengths |
US11881477B2 (en) * | 2020-02-19 | 2024-01-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Dummy poly layout for high density devices |
KR20210128842A (en) | 2020-04-17 | 2021-10-27 | 삼성전자주식회사 | Electronic device including a metal housing |
US11342326B2 (en) * | 2020-04-28 | 2022-05-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Self-aligned etch in semiconductor devices |
KR20220124767A (en) * | 2021-02-05 | 2022-09-14 | 창신 메모리 테크놀로지즈 아이엔씨 | Standard cell layout templates and semiconductor structures |
Citations (293)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4197555A (en) | 1975-12-29 | 1980-04-08 | Fujitsu Limited | Semiconductor device |
US4417161A (en) | 1980-09-04 | 1983-11-22 | Matsushita Electric Industrial Co., Ltd. | Complementary channel type MOS transistor exclusive OR/NOR logic gate circuit |
US4424460A (en) | 1981-07-14 | 1984-01-03 | Rockwell International Corporation | Apparatus and method for providing a logical exclusive OR/exclusive NOR function |
US4682202A (en) * | 1983-07-29 | 1987-07-21 | Fujitsu Limited | Master slice IC device |
US5097422A (en) | 1986-10-10 | 1992-03-17 | Cascade Design Automation Corporation | Method and apparatus for designing integrated circuits |
US5121186A (en) | 1984-06-15 | 1992-06-09 | Hewlett-Packard Company | Integrated circuit device having improved junction connections |
US5208765A (en) | 1990-07-20 | 1993-05-04 | Advanced Micro Devices, Inc. | Computer-based method and system for product development |
US5224057A (en) | 1989-02-28 | 1993-06-29 | Kabushiki Kaisha Toshiba | Arrangement method for logic cells in semiconductor IC device |
US5242770A (en) | 1992-01-16 | 1993-09-07 | Microunity Systems Engineering, Inc. | Mask for photolithography |
US5378649A (en) | 1994-04-08 | 1995-01-03 | United Microelectronics Corporation | Process for producing non-volatile memory devices having closely spaced buried bit lines and non-overlapping code implant areas |
US5471403A (en) | 1991-03-08 | 1995-11-28 | Mitsubishi Denki Kabushiki Kaisha | Method for predicting the three-dimensional topography of surfaces of semiconductor devices after reflow processing |
US5497337A (en) | 1994-10-21 | 1996-03-05 | International Business Machines Corporation | Method for designing high-Q inductors in silicon technology without expensive metalization |
US5497334A (en) | 1993-02-19 | 1996-03-05 | International Business Machines Corporation | Application generator for use in verifying a hierarchical circuit design |
US5581098A (en) | 1995-05-05 | 1996-12-03 | Circuit Integration Technology, Inc. | Circuit routing structure using fewer variable masks |
US5636002A (en) | 1994-04-29 | 1997-06-03 | Lucent Technologies Inc. | Auxiliary mask features for enhancing the resolution of photolithography |
US5682323A (en) | 1995-03-06 | 1997-10-28 | Lsi Logic Corporation | System and method for performing optical proximity correction on macrocell libraries |
US5684733A (en) | 1996-09-30 | 1997-11-04 | Holtek Microelectronics, Inc. | Fixed resistance high density parallel ROM device |
US5705301A (en) | 1996-02-27 | 1998-01-06 | Lsi Logic Corporation | Performing optical proximity correction with the aid of design rule checkers |
US5723883A (en) | 1995-11-14 | 1998-03-03 | In-Chip | Gate array cell architecture and routing scheme |
US5740068A (en) | 1996-05-30 | 1998-04-14 | International Business Machines Corporation | Fidelity enhancement of lithographic and reactive-ion-etched images by optical proximity correction |
US5745374A (en) | 1994-07-22 | 1998-04-28 | Kabushiki Kaisha Toshiba | Layout method for semiconductor integrated circuit and layout apparatus for semiconductor integrated circuit |
US5790417A (en) | 1996-09-25 | 1998-08-04 | Taiwan Semiconductor Manufacturing Company Ltd. | Method of automatic dummy layout generation |
US5796624A (en) | 1994-09-16 | 1998-08-18 | Research Foundation Of State University Of New York | Method and apparatus for designing circuits for wave pipelining |
US5825203A (en) | 1995-11-28 | 1998-10-20 | Hitachi, Ltd. | Variable logic integrated circuit device having connections through switch matrix and top layers for inter-cell connections |
US5838594A (en) | 1995-02-24 | 1998-11-17 | Fujitsu Limited | Method and apparatus for generating finite element meshes, and analyzing method and apparatus |
US5841663A (en) | 1995-09-14 | 1998-11-24 | Vlsi Technology, Inc. | Apparatus and method for synthesizing integrated circuits using parameterized HDL modules |
US5847421A (en) | 1996-07-15 | 1998-12-08 | Kabushiki Kaisha Toshiba | Logic cell having efficient optical proximity effect correction |
US5852562A (en) | 1994-12-13 | 1998-12-22 | Matsushita Electric Industrial Co., Ltd. | Method and apparatus for designing an LSI layout utilizing cells having a predetermined wiring height in order to reduce wiring zones |
US5858580A (en) | 1997-09-17 | 1999-01-12 | Numerical Technologies, Inc. | Phase shifting circuit manufacture method and apparatus |
US5900340A (en) | 1997-03-03 | 1999-05-04 | Motorola, Inc. | One dimensional lithographic proximity correction using DRC shape functions |
US5908827A (en) | 1992-12-22 | 1999-06-01 | Applied Research Systems Ars Holding N.V. | Protein from urine named component B |
US5929469A (en) | 1996-12-25 | 1999-07-27 | Kabushiki Kaisha Toshiba | Contact holes of a different pitch in an application specific integrated circuit |
US5935763A (en) | 1996-06-11 | 1999-08-10 | International Business Machines Corporation | Self-aligned pattern over a reflective layer |
US5973507A (en) | 1995-06-13 | 1999-10-26 | Fujitsu Limited | Exclusive-or gate for use in delay using transmission gate circuitry |
US5977305A (en) | 1990-04-20 | 1999-11-02 | Cold Spring Harbor Laboratories | Cloning by complementation and related processes |
US6009251A (en) | 1997-09-30 | 1999-12-28 | Synopsys, Inc. | Method and system for layout verification of an integrated circuit design with reusable subdesigns |
US6026223A (en) | 1996-06-28 | 2000-02-15 | Scepanovic; Ranko | Advanced modular cell placement system with overlap remover with minimal noise |
US6037617A (en) | 1997-02-03 | 2000-03-14 | Nec Corporation | SOI IGFETs having raised integration level |
US6044007A (en) | 1999-03-24 | 2000-03-28 | Advanced Micro Devices, Inc. | Modification of mask layout data to improve writeability of OPC |
US6063132A (en) | 1998-06-26 | 2000-05-16 | International Business Machines Corporation | Method for verifying design rule checking software |
US6084437A (en) | 1995-09-22 | 2000-07-04 | Kawasaki Steel Corporation | Logic circuit utilizing pass transistors and logic gate |
US6091845A (en) | 1998-02-24 | 2000-07-18 | Micron Technology, Inc. | Inspection technique of photomask |
US6100025A (en) | 1990-04-20 | 2000-08-08 | Cold Spring Harbor Laboratory | Cloning by complementation and related processes |
US6099584A (en) | 1996-12-06 | 2000-08-08 | Vsli Technology, Inc. | System to fix post-layout timing and design rules violations |
US6114071A (en) | 1997-11-24 | 2000-09-05 | Asml Masktools Netherlands B.V. | Method of fine feature edge tuning with optically-halftoned mask |
US6166415A (en) | 1998-11-02 | 2000-12-26 | Mitsubishi Denki Kabushiki Kaisha | Semiconductor device with improved noise resistivity |
US6174742B1 (en) | 1998-10-30 | 2001-01-16 | Lsi Logic Corporation | Off-grid metal layer utilization |
US6182272B1 (en) | 1998-07-16 | 2001-01-30 | Lsi Logic Corporation | Metal layer assignment |
US6194104B1 (en) | 1999-10-12 | 2001-02-27 | Taiwan Semiconductor Manufacturing Company | Optical proximity correction (OPC) method for improving lithography process window |
US6194912B1 (en) | 1999-03-11 | 2001-02-27 | Easic Corporation | Integrated circuit device |
US6209123B1 (en) | 1996-11-01 | 2001-03-27 | Motorola, Inc. | Methods of placing transistors in a circuit layout and semiconductor device with automatically placed transistors |
US6230299B1 (en) | 1998-03-31 | 2001-05-08 | Mentor Graphics Corporation | Method and apparatus for extracting and storing connectivity and geometrical data for a deep sub-micron integrated circuit design |
US6232173B1 (en) | 1997-04-14 | 2001-05-15 | International Business Machines Corporation | Process for forming a memory structure that includes NVRAM, DRAM, and/or SRAM memory structures on one substrate and process for forming a new NVRAM cell structure |
US6240542B1 (en) | 1998-07-14 | 2001-05-29 | Lsi Logic Corporation | Poly routing for chip interconnects with minimal impact on chip performance |
US6249902B1 (en) | 1998-01-09 | 2001-06-19 | Silicon Perspective Corporation | Design hierarchy-based placement |
US6255600B1 (en) | 1993-03-01 | 2001-07-03 | The Board Of Trustees Of The University Of Arkansas | Electronic interconnection medium having offset electrical mesh plane |
US6262487B1 (en) | 1998-06-23 | 2001-07-17 | Kabushiki Kaisha Toshiba | Semiconductor integrated circuit device, semiconductor integrated circuit wiring method, and cell arranging method |
US6269472B1 (en) | 1996-02-27 | 2001-07-31 | Lsi Logic Corporation | Optical proximity correction method and apparatus |
US6275973B1 (en) | 1998-10-30 | 2001-08-14 | Lsi Logic Corporation | Integrated circuit design with delayed cell selection |
US6282696B1 (en) | 1997-08-15 | 2001-08-28 | Lsi Logic Corporation | Performing optical proximity correction with the aid of design rule checkers |
US6303252B1 (en) | 1999-12-27 | 2001-10-16 | United Microelectronics Corp. | Reticle having assist feature between semi-dense lines |
US6331733B1 (en) | 1999-08-10 | 2001-12-18 | Easic Corporation | Semiconductor device |
US6335250B1 (en) | 1998-10-05 | 2002-01-01 | Kabushiki Kaisha Toshiba | Semiconductor device and method for the manufacture thereof |
US6356112B1 (en) | 2000-03-28 | 2002-03-12 | Translogic Technology, Inc. | Exclusive or/nor circuit |
US6370679B1 (en) | 1997-09-17 | 2002-04-09 | Numerical Technologies, Inc. | Data hierarchy layout correction and verification method and apparatus |
US6378110B1 (en) | 1998-03-31 | 2002-04-23 | Synopsys, Inc. | Layer-based rule checking for an integrated circuit layout |
US6388296B1 (en) | 1998-06-04 | 2002-05-14 | Sharp Laboratories Of America, Inc. | CMOS self-aligned strapped interconnection |
US6393601B1 (en) | 1997-04-14 | 2002-05-21 | Matsushita Electric Industrial Co., Ltd. | Layout designing apparatus for integrated circuit, transistor size determining apparatus, circuit characteristic evaluating method, and transistor size determining method |
US6415421B2 (en) | 2000-06-13 | 2002-07-02 | Mentor Graphics Corporation | Integrated verification and manufacturability tool |
US6416907B1 (en) | 2000-04-27 | 2002-07-09 | Micron Technology, Inc. | Method for designing photolithographic reticle layout, reticle, and photolithographic process |
US6421820B1 (en) | 1999-12-13 | 2002-07-16 | Infineon Technologies Ag | Semiconductor device fabrication using a photomask with assist features |
US6425112B1 (en) | 1999-06-17 | 2002-07-23 | International Business Machines Corporation | Auto correction of error checked simulated printed images |
US6426269B1 (en) | 1999-10-21 | 2002-07-30 | International Business Machines Corporation | Dummy feature reduction using optical proximity effect correction |
US6436805B1 (en) | 1999-09-01 | 2002-08-20 | Micron Technology, Inc. | Local interconnect structures and methods for making the same |
JP2002258463A (en) | 2001-03-05 | 2002-09-11 | Dainippon Printing Co Ltd | Photomask pattern defect inspecting method and detecting method for fine figure pattern |
US6470489B1 (en) | 1997-09-17 | 2002-10-22 | Numerical Technologies, Inc. | Design rule checking system and method |
US6477695B1 (en) | 1998-12-09 | 2002-11-05 | Artisan Components, Inc. | Methods for designing standard cell transistor structures |
US6480989B2 (en) | 1998-06-29 | 2002-11-12 | Lsi Logic Corporation | Integrated circuit design incorporating a power mesh |
US6492066B1 (en) | 1999-05-28 | 2002-12-10 | Advanced Micro Devices, Inc. | Characterization and synthesis of OPC structures by fourier space analysis and/or wavelet transform expansion |
US6496965B1 (en) | 1999-09-20 | 2002-12-17 | Magma Design Automation, Inc. | Automated design of parallel drive standard cells |
US6505328B1 (en) | 1999-04-27 | 2003-01-07 | Magma Design Automation, Inc. | Method for storing multiple levels of design data in a common database |
US6505327B2 (en) | 2001-04-13 | 2003-01-07 | Numerical Technologies, Inc. | Generating an instance-based representation of a design hierarchy |
US6509952B1 (en) | 2000-05-23 | 2003-01-21 | Silicon Valley Group, Inc. | Method and system for selective linewidth optimization during a lithographic process |
US6514849B1 (en) | 2001-04-02 | 2003-02-04 | Advanced Micro Devices, Inc. | Method of forming smaller contact size using a spacer hard mask |
US6516459B1 (en) | 2000-07-10 | 2003-02-04 | Mentor Graphics Corporation | Integrated circuit design correction using fragment correspondence |
US6523156B2 (en) | 2001-06-08 | 2003-02-18 | Library Technologies, Inc. | Apparatus and methods for wire load independent logic synthesis and timing closure with constant replacement delay cell libraries |
US6525350B1 (en) | 1999-07-16 | 2003-02-25 | Kawasaki Steel Corporation | Semiconductor integrated circuit basic cell semiconductor integrated circuit using the same |
US20030042930A1 (en) | 2001-09-05 | 2003-03-06 | Larry Pileggi | Programmable gate array based on configurable metal interconnect vias |
US6536028B1 (en) | 2000-03-14 | 2003-03-18 | Ammocore Technologies, Inc. | Standard block architecture for integrated circuit design |
US20030061592A1 (en) | 2000-08-02 | 2003-03-27 | Numerical Technologies, Inc. | General purpose shape-based layout processing scheme for IC layout modifications |
US6543039B1 (en) | 1998-09-29 | 2003-04-01 | Kabushiki Kaisha Toshiba | Method of designing integrated circuit and apparatus for designing integrated circuit |
US6553544B2 (en) | 2000-04-04 | 2003-04-22 | Matsushita Electric Industrial Co., Ltd. | Method for design of partial circuit |
US6553559B2 (en) | 2001-01-05 | 2003-04-22 | International Business Machines Corporation | Method to determine optical proximity correction and assist feature rules which account for variations in mask dimensions |
US6571140B1 (en) | 1998-01-15 | 2003-05-27 | Eutech Cybernetics Pte Ltd. | Service-oriented community agent |
US6571379B2 (en) | 2000-06-26 | 2003-05-27 | Nec Electronics Corporation | Semiconductor integrated circuit and semiconductor integrated circuit wiring layout method |
US6578190B2 (en) | 2001-01-11 | 2003-06-10 | International Business Machines Corporation | Process window based optical proximity correction of lithographic images |
US6588005B1 (en) | 1998-12-11 | 2003-07-01 | Hitachi, Ltd. | Method of manufacturing semiconductor integrated circuit device |
US6591207B2 (en) | 2001-02-23 | 2003-07-08 | Hitachi, Ltd. | Semiconductor production system |
US6590289B2 (en) | 2001-05-17 | 2003-07-08 | Lsi Logic Corporation | Hexadecagonal routing |
US20030145299A1 (en) | 2002-01-28 | 2003-07-31 | Fried David M. | Finfet layout generation |
US6609235B2 (en) | 2001-06-22 | 2003-08-19 | Bae Systems Information And Electronic Systems Integration, Inc. | Method for providing a fill pattern for an integrated circuit design |
US6610607B1 (en) | 2000-05-25 | 2003-08-26 | International Business Machines Corporation | Method to define and tailor process limited lithographic features using a modified hard mask process |
US6635935B2 (en) | 2000-07-10 | 2003-10-21 | Mitsubishi Denki Kabushiki Kaisha | Semiconductor device cell having regularly sized and arranged features |
US6643831B2 (en) | 1999-07-09 | 2003-11-04 | Sequence Design, Inc. | Method and system for extraction of parasitic interconnect impedance including inductance |
US6650014B2 (en) | 2001-06-19 | 2003-11-18 | Nec Electronics Corporation | Semiconductor device |
US6661041B2 (en) | 1996-01-26 | 2003-12-09 | Micron Technology, Inc. | Digitline architecture for dynamic memory |
US20030229875A1 (en) | 2002-06-07 | 2003-12-11 | Smith Taber H. | Use of models in integrated circuit fabrication |
US20030229868A1 (en) | 2002-06-07 | 2003-12-11 | David White | Electronic design for integrated circuits based process related variations |
US6673638B1 (en) | 2001-11-14 | 2004-01-06 | Kla-Tencor Corporation | Method and apparatus for the production of process sensitive lithographic features |
US6687895B2 (en) | 2002-07-03 | 2004-02-03 | Numerical Technologies Inc. | Method and apparatus for reducing optical proximity correction output file size |
US6691297B1 (en) | 1999-03-04 | 2004-02-10 | Matsushita Electric Industrial Co., Ltd. | Method for planning layout for LSI pattern, method for forming LSI pattern and method for generating mask data for LSI |
US6700405B1 (en) | 1999-12-03 | 2004-03-02 | Sony Corporation | Logic circuit and full adder using the same |
EP1394858A2 (en) | 2002-08-29 | 2004-03-03 | Fujitsu Limited | Semiconductor device for reading signal from photodiode via transistors |
US20040049754A1 (en) | 2002-09-06 | 2004-03-11 | Sun Microsystems, Inc. | Method and apparatus for filling and connecting filler material in a layout |
US6714903B1 (en) | 1998-07-10 | 2004-03-30 | Lsi Logic Corporation | Placement and routing of circuits using a combined processing/buffer cell |
US6732338B2 (en) | 2002-03-20 | 2004-05-04 | International Business Machines Corporation | Method for comprehensively verifying design rule checking runsets |
US6737199B1 (en) | 2000-01-31 | 2004-05-18 | Taiwan Semiconductor Manufacturing Company | Using new pattern fracturing rules for optical proximity correction mask-making to improve critical dimension uniformity |
US6737347B1 (en) | 1999-10-20 | 2004-05-18 | Texas Instruments Incorporated | Semiconductor device with fully self-aligned local interconnects, and method for fabricating the device |
US6745380B2 (en) | 2001-08-31 | 2004-06-01 | Infineon Technologies Ag | Method for optimizing and method for producing a layout for a mask, preferably for use in semiconductor production, and computer program therefor |
US6745372B2 (en) | 2002-04-05 | 2004-06-01 | Numerical Technologies, Inc. | Method and apparatus for facilitating process-compliant layout optimization |
US6749972B2 (en) | 2002-01-15 | 2004-06-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Optical proximity correction common process window maximization over varying feature pitch |
US6760269B2 (en) | 2002-06-17 | 2004-07-06 | Renesas Technology Corp. | Semiconductor memory device capable of generating internal data read timing precisely |
US6765245B2 (en) | 2002-03-25 | 2004-07-20 | Bae Systems Information And Electronic Systems Integration Inc. | Gate array core cell for VLSI ASIC devices |
US20040145028A1 (en) | 2003-01-29 | 2004-07-29 | Nec Electronics Corporation | Semiconductor device and method of fabricating semiconductor device with high CMP uniformity and resistance to loss that occurs in dicing |
US20040153979A1 (en) | 2003-01-30 | 2004-08-05 | Numerical Technologies, Inc. | System and method for generating a two-dimensional yield map for a full layout |
US6777146B1 (en) | 2003-02-21 | 2004-08-17 | International Business Machines Corporation | Method of optical proximity correction with sub-resolution assists |
US6777138B2 (en) | 2000-09-29 | 2004-08-17 | Numerical Technologies, Inc. | Mask product made by selection of evaluation point locations based on proximity effects model amplitudes for correcting proximity effects in a fabricat layout |
US20040161878A1 (en) | 2002-12-18 | 2004-08-19 | Easic Corporation | Method for fabrication of semiconductor device |
US6789246B1 (en) | 2002-04-07 | 2004-09-07 | Barcelona Design, Inc. | Method and apparatus for automatic layout of circuit structures |
US6789244B1 (en) | 2002-08-08 | 2004-09-07 | Xilinx, Inc. | Placement of clock objects under constraints |
US6792593B2 (en) | 2001-04-26 | 2004-09-14 | Kabushiki Kaisha Toshiba | Pattern correction method, apparatus, and program |
US6795952B1 (en) | 1999-11-18 | 2004-09-21 | Pdf Solutions, Inc. | System and method for product yield prediction using device and process neighborhood characterization vehicle |
US6795953B2 (en) | 2002-06-11 | 2004-09-21 | Hpl Technologies, Inc. | Method for avoiding false failures attributable to dummy interconnects during defect analysis of an integrated circuit design |
US6794914B2 (en) | 2002-05-24 | 2004-09-21 | Qualcomm Incorporated | Non-volatile multi-threshold CMOS latch with leakage control |
US6807663B2 (en) | 2002-09-23 | 2004-10-19 | Numerical Technologies, Inc. | Accelerated layout processing using OPC pre-processing |
US6819136B2 (en) | 2000-03-10 | 2004-11-16 | Easic Corporation | Customizable and programmable cell array |
US20040229135A1 (en) | 2003-02-27 | 2004-11-18 | Jun Wang | Multiple exposure method for circuit performance improvement |
US6826738B2 (en) | 2002-05-10 | 2004-11-30 | Pdf Solutions, Inc. | Optimization of die placement on wafers |
US20040243966A1 (en) | 2003-05-28 | 2004-12-02 | Eric Dellinger | Modular array defined by standard cell logic |
US6854100B1 (en) | 2002-08-27 | 2005-02-08 | Taiwan Semiconductor Manufacturing Company | Methodology to characterize metal sheet resistance of copper damascene process |
US6854096B2 (en) | 2002-08-15 | 2005-02-08 | Fulcrum Microsystems, Inc. | Optimization of cell subtypes in a hierarchical design flow |
US20050055828A1 (en) | 2003-09-17 | 2005-03-17 | Hsin-Shih Wang | Method for programming a routing layout design through one via layer |
US6877144B1 (en) | 2002-02-28 | 2005-04-05 | Dupont Photomasks, Inc. | System and method for generating a mask layout file to reduce power supply voltage fluctuations in an integrated circuit |
FR2860920A1 (en) | 2003-10-14 | 2005-04-15 | St Microelectronics Sa | Multiple short local electrical connections for selective linkage of integrated circuit elements comprise masked selective humid attack of deposited metal |
US6884712B2 (en) | 2003-02-07 | 2005-04-26 | Chartered Semiconductor Manufacturing, Ltd. | Method of manufacturing semiconductor local interconnect and contact |
US20050087806A1 (en) | 2001-12-25 | 2005-04-28 | Akira Hokazono | Semiconductor device having active regions connected together by interconnect layer and method of manufacture thereof |
US20050093147A1 (en) | 2003-10-29 | 2005-05-05 | Taiwan Semiconductor Manufacturing Co. | Structure for reducing leakage currents and high contact resistance for embedded memory and method for making same |
US20050101112A1 (en) | 2001-07-25 | 2005-05-12 | Nantero, Inc. | Methods of nanotubes films and articles |
US6898770B2 (en) | 2003-01-09 | 2005-05-24 | Lsi Logic Corporation | Split and merge design flow concept for fast turnaround time of circuit layout design |
US20050136340A1 (en) | 2000-07-21 | 2005-06-23 | Asml Netherlands B.V. | Lithographic apparatus and methods, patterning structure and method for making a patterning structure, device manufacturing method, and device manufactured thereby |
US20050138598A1 (en) | 2003-12-22 | 2005-06-23 | Nec Electronics Corporation | Method for providing layout design and photo mask |
US6918104B2 (en) | 2000-09-29 | 2005-07-12 | Synopsys, Inc. | Dissection of printed edges from a fabrication layout for correcting proximity effects |
US6920079B2 (en) | 2003-08-08 | 2005-07-19 | Matsushita Electric Industrial Co., Ltd. | Semiconductor device and semiconductor memory device |
US6928635B2 (en) | 2002-09-25 | 2005-08-09 | Numerical Technologies, Inc. | Selectively applying resolution enhancement techniques to improve performance and manufacturing cost of integrated circuits |
US6931617B2 (en) | 2003-04-21 | 2005-08-16 | Synopsys, Inc. | Mask cost driven logic optimization and synthesis |
US20050185325A1 (en) | 2004-02-19 | 2005-08-25 | Samsung Electronics Co., Ltd. | Hard disk drive |
US20050189614A1 (en) | 2004-02-26 | 2005-09-01 | David Ihme | Configurable integrated circuit capacitor array using via mask layers |
US20050196685A1 (en) | 2004-02-24 | 2005-09-08 | Jun Wang | Rectangular contact lithography for circuit performance improvement and manufacture cost reduction |
US6954918B2 (en) | 2002-08-30 | 2005-10-11 | Texas Instruments Incorporated | Integrated circuit cells |
US20050224982A1 (en) | 2004-04-02 | 2005-10-13 | Kemerling James C | Via configurable architecture for customization of analog circuitry in a semiconductor device |
US20050229130A1 (en) | 2004-04-07 | 2005-10-13 | Aprio Technologies, Inc. | Method and apparatus for selective, incremental, reconfigurable and reusable semiconductor manufacturing resolution-enhancements |
US6957402B2 (en) | 2003-09-24 | 2005-10-18 | Artisan Components, Inc. | Yield maximization in the manufacture of integrated circuits |
US20050251771A1 (en) | 2004-05-07 | 2005-11-10 | Mentor Graphics Corporation | Integrated circuit layout design methodology with process variation bands |
US6968527B2 (en) | 2000-09-29 | 2005-11-22 | Synopsys Inc. | High yield reticle with proximity effect halos |
US20050268256A1 (en) | 2004-04-02 | 2005-12-01 | Chi-Ming Tsai | Modeling resolution enhancement processes in integrated circuit fabrication |
US6978436B2 (en) | 2000-07-05 | 2005-12-20 | Synopsys, Inc. | Design data format and hierarchy management for phase processing |
US6978437B1 (en) | 2000-10-10 | 2005-12-20 | Toppan Photomasks, Inc. | Photomask for eliminating antenna effects in an integrated circuit and integrated circuit manufacture with same |
US6992925B2 (en) | 2002-04-26 | 2006-01-31 | Kilopass Technologies, Inc. | High density semiconductor memory cell and memory array using a single transistor and having counter-doped poly and buried diffusion wordline |
US6993741B2 (en) | 2003-07-15 | 2006-01-31 | International Business Machines Corporation | Generating mask patterns for alternating phase-shift mask lithography |
US6992394B2 (en) | 2000-12-28 | 2006-01-31 | Infineon Technologies Ag | Multi-level conductive lines with reduced pitch |
US6994939B1 (en) | 2002-10-29 | 2006-02-07 | Advanced Micro Devices, Inc. | Semiconductor manufacturing resolution enhancement system and method for simultaneously patterning different feature types |
US7016214B2 (en) | 2003-10-06 | 2006-03-21 | Hitachi, Ltd. | Semiconductor integrated circuit device |
US20060070018A1 (en) | 2004-09-24 | 2006-03-30 | Armin Semmler | Method for producing a mask layout avoiding imaging errors for a mask |
US7028285B2 (en) | 2000-07-05 | 2006-04-11 | Synopsys, Inc. | Standard cell design incorporating phase information |
US20060084261A1 (en) | 2004-10-19 | 2006-04-20 | Nec Electronics Corporation | Interconnect layout method |
US7041568B2 (en) | 2001-08-02 | 2006-05-09 | Infineon Technologies Ag | Method for the production of a self-adjusted structure on a semiconductor wafer |
US20060101370A1 (en) | 2004-11-05 | 2006-05-11 | International Business Machines Corporation | Method for improving optical proximity correction |
US20060112355A1 (en) | 2004-11-04 | 2006-05-25 | Fabbrix, Inc. | Method and process for design of integrated circuits using regular geometry patterns to obtain geometrically consistent component features |
US7052972B2 (en) | 2003-12-19 | 2006-05-30 | Micron Technology, Inc. | Method for forming sublithographic features during the manufacture of a semiconductor device and a resulting in-process apparatus |
US20060121715A1 (en) | 2004-12-03 | 2006-06-08 | International Business Machines Corporation | Integrated circuit having gates and active regions forming a regular grating |
EP1670062A1 (en) | 2004-12-09 | 2006-06-14 | OmniVision Technologies, Inc. | Local interconnect structure for a CMOS image sensor and its manufacturing method |
US20060125024A1 (en) | 2004-12-09 | 2006-06-15 | Yoshiyuki Ishigaki | Semiconductor device and a method of manufacturing the same |
US7065731B2 (en) | 2003-05-07 | 2006-06-20 | Cadence Design Systems, Inc. | Removal of acute angles in a design layout |
US7063920B2 (en) | 2003-05-16 | 2006-06-20 | Asml Holding, N.V. | Method for the generation of variable pitch nested lines and/or contact holes using fixed size pixels for direct-write lithographic systems |
US7064068B2 (en) | 2004-01-23 | 2006-06-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method to improve planarity of electroplated copper |
US20060151810A1 (en) | 2005-01-12 | 2006-07-13 | Nec Electronics Corporation | Semiconductor device and computer program product for designing the same |
US7079989B2 (en) | 2001-06-29 | 2006-07-18 | Shmuel Wimer | Arrangements for automatic re-legging of transistors |
US20060158270A1 (en) | 2004-12-20 | 2006-07-20 | Atmel Nantes Sa | Electronic circuit including at least one first and one second differential pair with the transistors sharing one and the same well |
US20060177744A1 (en) | 2005-01-14 | 2006-08-10 | Christof Bodendorf | Method for producing a mask layout avoiding imaging errors for a mask |
US7093228B2 (en) | 2002-12-20 | 2006-08-15 | Lsi Logic Corporation | Method and system for classifying an integrated circuit for optical proximity correction |
US7093208B2 (en) | 2003-05-12 | 2006-08-15 | International Business Machines Corporation | Method for tuning a digital design for synthesized random logic circuit macros in a continuous design space with optional insertion of multiple threshold voltage devices |
US20060181310A1 (en) | 2005-02-17 | 2006-08-17 | Young-Chul Rhee | Exclusive-or and/or exclusive-nor circuits including output switches and related methods |
US20060197557A1 (en) | 2005-03-07 | 2006-09-07 | Yuan-Hung Chung | Self dc-bias high frequency logic gate, high frequency nand gate and high frequency nor gate |
US7107551B1 (en) | 2003-05-30 | 2006-09-12 | Prolific, Inc. | Optimization of circuit designs using a continuous spectrum of library cells |
US20060206854A1 (en) | 2005-02-24 | 2006-09-14 | Barnes Levi D | Assist feature placement using a process-sensitivity model |
US7115343B2 (en) | 2004-03-10 | 2006-10-03 | International Business Machines Corporation | Pliant SRAF for improved performance and manufacturability |
US7115920B2 (en) | 2004-04-12 | 2006-10-03 | International Business Machines Corporation | FinFET transistor and circuit |
US20060223302A1 (en) | 2005-03-31 | 2006-10-05 | Chang Peter L | Self-aligned contacts for transistors |
US7120882B2 (en) | 2002-03-12 | 2006-10-10 | Kabushiki Kaisha Toshiba | Method of setting process parameter and method of setting process parameter and/or design rule |
US7124386B2 (en) | 2002-06-07 | 2006-10-17 | Praesagus, Inc. | Dummy fill for integrated circuits |
US20060248495A1 (en) | 2005-04-29 | 2006-11-02 | Invarium, Inc. | Method and apparatus of model-based photomask synthesis |
US7132203B2 (en) | 2000-07-05 | 2006-11-07 | Synopsys, Inc. | Phase shift masking for complex patterns with proximity adjustments |
US7137092B2 (en) | 2003-08-21 | 2006-11-14 | Kawasaki Microelectronics, Inc. | Layout method of semiconductor integrated circuit, layout structure thereof, and photomask for forming the layout structure |
US7149999B2 (en) | 2003-02-25 | 2006-12-12 | The Regents Of The University Of California | Method for correcting a mask design layout |
US7152215B2 (en) | 2002-06-07 | 2006-12-19 | Praesagus, Inc. | Dummy fill for integrated circuits |
US7155689B2 (en) | 2003-10-07 | 2006-12-26 | Magma Design Automation, Inc. | Design-manufacturing interface via a unified model |
US7155685B2 (en) | 2002-12-27 | 2006-12-26 | Sipec Corporation | Optimizing designing apparatus of integrated circuit, optimizing designing method of integrated circuit, and storing medium in which program for carrying out optimizing designing method of integrated circuit is stored |
US7159197B2 (en) | 2001-12-31 | 2007-01-02 | Synopsys, Inc. | Shape-based geometry engine to perform smoothing and other layout beautification operations |
US7175940B2 (en) | 2001-10-09 | 2007-02-13 | Asml Masktools B.V. | Method of two dimensional feature model calibration and optimization |
US20070038973A1 (en) | 2005-02-24 | 2007-02-15 | Jianliang Li | Method and apparatus for quickly determining the effect of placing an assist feature at a location in a layout |
US7185294B2 (en) | 2004-09-23 | 2007-02-27 | Verisilicon Holdings, Co Ltd | Standard cell library having globally scalable transistor channel length |
US7188322B2 (en) | 2005-02-25 | 2007-03-06 | International Business Machines Corporation | Circuit layout methodology using a shape processing application |
US7194712B2 (en) | 2004-05-12 | 2007-03-20 | Synopsys, Inc. | Method and apparatus for identifying line-end features for lithography verification |
US20070074145A1 (en) | 2005-09-28 | 2007-03-29 | Renesas Technology Corp. | Mask pattern design method and manufacturing method of semiconductor device |
US7200835B2 (en) | 2005-02-24 | 2007-04-03 | Texas Instruments Incorporated | Method of locating sub-resolution assist feature(s) |
US7202517B2 (en) | 2003-07-18 | 2007-04-10 | Interuniversitair Microelektronica Centrum (Imec Vzw) | Multiple gate semiconductor device and method for forming same |
US20070094634A1 (en) | 2005-08-19 | 2007-04-26 | Abdurrahman Seizginer | Method for checking printability of a lithography target |
US20070106971A1 (en) | 2005-11-04 | 2007-05-10 | Lizotech, Inc. | Apparatus for a routing system |
US7219326B2 (en) | 2002-12-16 | 2007-05-15 | Intrinsity, Inc. | Physical realization of dynamic logic using parameterized tile partitioning |
US20070113216A1 (en) | 2005-11-14 | 2007-05-17 | Youping Zhang | Photolithographic mask correction |
US7225423B2 (en) | 2000-06-30 | 2007-05-29 | Zenasis Technologies, Inc. | Method for automated design of integrated circuits with targeted quality objectives using dynamically generated building blocks |
US7227183B2 (en) | 2004-09-17 | 2007-06-05 | International Business Machines Corporation | Polysilicon conductor width measurement for 3-dimensional FETs |
US7231628B2 (en) | 2002-07-12 | 2007-06-12 | Cadence Design Systems, Inc. | Method and system for context-specific mask inspection |
US7252909B2 (en) | 2002-04-18 | 2007-08-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method to reduce CD non-uniformity in IC manufacturing |
US20070209029A1 (en) | 2005-08-08 | 2007-09-06 | Micronic Laser Systems Ab | SLM Lithography: Printing to below K1=.30 without previous OPC processing |
US20070210391A1 (en) | 2006-03-09 | 2007-09-13 | Tela Innovations, Inc. | Dynamic Array Architecture |
US20070234252A1 (en) | 2006-02-21 | 2007-10-04 | Chandramouli Visweswariah | Method, system, and program product for computing a yield gradient from statistical timing |
US20070256039A1 (en) | 2002-06-07 | 2007-11-01 | Cadence Design Systems, Inc. | Dummy fill for integrated circuits |
US7302651B2 (en) | 2004-10-29 | 2007-11-27 | International Business Machines Corporation | Technology migration for integrated circuits with radical design restrictions |
US20070274140A1 (en) | 2006-05-24 | 2007-11-29 | International Business Machines Corporation | A novel sram cell design to improve stability |
US7308669B2 (en) | 2005-05-18 | 2007-12-11 | International Business Machines Corporation | Use of redundant routes to increase the yield and reliability of a VLSI layout |
US20070294652A1 (en) | 2006-06-20 | 2007-12-20 | Bowen C T | System and method for designing a common centroid layout for an integrated circuit |
US20080005712A1 (en) | 2006-06-29 | 2008-01-03 | Charlebois Steven E | Method of optimizing customizable filler cells in an integrated circuit physical design process |
US20080046846A1 (en) | 2006-08-15 | 2008-02-21 | Chew Marko P | System and method of maximizing integrated circuit manufacturing yield with fabrication process simulation driven layout optimization |
US7338896B2 (en) | 2004-12-17 | 2008-03-04 | Interuniversitair Microelektronica Centrum (Imec) | Formation of deep via airgaps for three dimensional wafer to wafer interconnect |
US7353492B2 (en) | 2004-02-26 | 2008-04-01 | International Business Machines Corporation | Method of IC fabrication, IC mask fabrication and program product therefor |
US20080082952A1 (en) | 2006-09-29 | 2008-04-03 | Texas Instruments Incorporated | Method of inclusion of sub-resolution assist feature(s) |
US7360198B2 (en) | 2002-06-17 | 2008-04-15 | Amar Pal Singh Rana | Technology dependent transformations for CMOS in digital design synthesis |
US7366997B1 (en) | 2005-01-11 | 2008-04-29 | Synplicity, Inc. | Methods and apparatuses for thermal analysis based circuit design |
US20080127029A1 (en) | 2006-10-31 | 2008-05-29 | International Business Machines Corporation | Closed-loop design for manufacturability process |
US7383521B2 (en) | 2002-06-07 | 2008-06-03 | Cadence Design Systems, Inc. | Characterization and reduction of variation for integrated circuits |
US20080148216A1 (en) | 2006-12-18 | 2008-06-19 | Cadence Design Systems, Inc. | Method and system for mask optimization |
US20080144361A1 (en) | 2002-10-02 | 2008-06-19 | Cisco Technology, Inc. | Static random access memory architecture |
US20080163141A1 (en) | 2006-12-29 | 2008-07-03 | Cadence Design Systems, Inc. | Supplant design rules in electronic designs |
US7397260B2 (en) | 2005-11-04 | 2008-07-08 | International Business Machines Corporation | Structure and method for monitoring stress-induced degradation of conductive interconnects |
US7400627B2 (en) | 2003-06-05 | 2008-07-15 | Brooktree Broadband Holding, Inc. | ATM header compression using hash tables |
US7404173B2 (en) | 2004-04-07 | 2008-07-22 | Aprio Technologies, Inc. | Intermediate layout for resolution enhancement in semiconductor fabrication |
US7411252B2 (en) | 2005-06-21 | 2008-08-12 | International Business Machines Corporation | Substrate backgate for trigate FET |
US7423298B2 (en) | 2004-03-17 | 2008-09-09 | Sharp Kabushiki Kaisha | Bidirectional photothyristor chip, optical lighting coupler, and solid state relay |
US7424694B2 (en) | 2005-12-26 | 2008-09-09 | Fujitsu Limited | Integrated circuit layout device, method thereof and program thereof |
US20080216207A1 (en) | 2007-03-09 | 2008-09-11 | Shen-Hai Tsai | Finger pressing massage glove |
US7426710B2 (en) | 2004-05-27 | 2008-09-16 | Verisilicon Holdings, Co. Ltd. | Standard cell library having cell drive strengths selected according to delay |
US7434185B2 (en) | 2006-09-27 | 2008-10-07 | International Business Machines Corporation | Method and apparatus for parallel data preparation and processing of integrated circuit graphical design data |
US7441211B1 (en) | 2005-05-06 | 2008-10-21 | Blaze Dfm, Inc. | Gate-length biasing for digital circuit optimization |
US20080276105A1 (en) | 2003-05-07 | 2008-11-06 | Mosaid Technologies Corporation | Power managers for an integrated circuit |
US20080283910A1 (en) | 2007-05-15 | 2008-11-20 | Qimonda Ag | Integrated circuit and method of forming an integrated circuit |
US7458045B2 (en) | 2004-10-29 | 2008-11-25 | Synopsys, Inc. | Silicon tolerance specification using shapes as design intent markers |
US7466607B2 (en) | 2004-09-30 | 2008-12-16 | Analog Devices, Inc. | Memory access system and method using de-coupled read and write circuits |
US20080308848A1 (en) | 2007-05-15 | 2008-12-18 | Satoshi Inaba | Semiconductor device |
US20090024974A1 (en) | 2007-07-17 | 2009-01-22 | Nec Electronics Corporation | Method and program for designing semiconductor integrated circuit |
US7484197B2 (en) | 2006-04-14 | 2009-01-27 | International Business Machines Corporation | Minimum layout perturbation-based artwork legalization with grid constraints for hierarchical designs |
US7487475B1 (en) | 2004-10-15 | 2009-02-03 | Cadence Design Systems, Inc. | Systems, methods, and apparatus to perform statistical static timing analysis |
US20090037864A1 (en) | 2007-08-02 | 2009-02-05 | Tela Innovations, Inc. | Methods for Designing Semiconductor Device with Dynamic Array Section |
US7506300B2 (en) | 2005-04-29 | 2009-03-17 | Cadence Design Systems, Inc. | Apparatus and method for breaking up and merging polygons |
US20090077524A1 (en) | 2007-09-14 | 2009-03-19 | Renesas Technology Corp. | Method of manufacturing photomask |
US7509621B2 (en) | 2005-01-03 | 2009-03-24 | Synopsys, Inc. | Method and apparatus for placing assist features by identifying locations of constructive and destructive interference |
US7509622B2 (en) | 2006-04-17 | 2009-03-24 | Synopsys, Inc. | Dummy filling technique for improved planarization of chip surface topography |
US7512921B2 (en) | 2002-10-10 | 2009-03-31 | Fujitsu Limited | Method and apparatus for designing integrated circuit enabling the yield of integrated circuit to be improved by considering random errors |
US7514959B2 (en) | 2004-07-27 | 2009-04-07 | Easic Corporation | Structured integrated circuit device |
US7523429B2 (en) | 2004-02-20 | 2009-04-21 | Takumi Technology Corporation | System for designing integrated circuits with enhanced manufacturability |
US20090101940A1 (en) | 2007-10-19 | 2009-04-23 | Barrows Corey K | Dual gate fet structures for flexible gate array design methodologies |
US20090106714A1 (en) | 2007-10-23 | 2009-04-23 | International Business Machines Corporation | Methods and system for analysis and management of parametric yield |
US7569310B2 (en) | 2005-12-07 | 2009-08-04 | Intel Corporation | Sub-resolution assist features for photolithography with trim ends |
US20090228853A1 (en) | 2006-03-09 | 2009-09-10 | Tela Innovations, Inc. | Methods for Defining Contact Grid in Dynamic Array Architecture |
US7614030B2 (en) | 2006-01-17 | 2009-11-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Scattering bar OPC application method for mask ESD prevention |
US20090280582A1 (en) | 2008-05-09 | 2009-11-12 | Interuniversitair Microelektronica Centrum | Design Methodology for MuGFET ESD Protection Devices |
US20090302372A1 (en) | 2007-06-20 | 2009-12-10 | International Business Machines Corporation | Fin Field Effect Transistor Devices with Self-Aligned Source and Drain Regions |
US7632610B2 (en) | 2004-09-02 | 2009-12-15 | Intel Corporation | Sub-resolution assist features |
US20100006901A1 (en) | 2006-03-09 | 2010-01-14 | Tela Innovations, Inc. | Semiconductor Device Portion Having Gate Electrode Conductive Structures Formed from Rectangular Shaped Gate Electrode Layout Features Defined Along At Least Four Gate Electrode Tracks and Having Corresponding Non-Symmetric Diffusion Regions |
US20100006951A1 (en) | 2006-03-09 | 2010-01-14 | Tela Innovations, Inc. | Semiconductor Device Portion Having Sub-193 Nanometers -Sized Gate Electrode Conductive Structures Formed from Rectangular Shaped Gate Electrode Layout Features and Having Equal Number of PMOS and NMOS Transistors |
US20100019308A1 (en) | 2008-07-25 | 2010-01-28 | Semiconductor Manufacturing International (Shanghai) Corporation | Electrically programmable device with embedded eeprom and method for making thereof |
US7665051B2 (en) | 2006-08-01 | 2010-02-16 | Qimonda Ag | Method and device for classifying cells in a layout into a same environment and their use for checking the layout of an electronic circuit |
US7712056B2 (en) | 2002-06-07 | 2010-05-04 | Cadence Design Systems, Inc. | Characterization and verification for integrated circuit designs |
US20100232212A1 (en) | 2009-03-13 | 2010-09-16 | International Business Machines Corporation | Split-gate dram with lateral control-gate mugfet |
US7802219B2 (en) | 2006-11-30 | 2010-09-21 | Cadence Design Systems, Inc. | Flat placement of cells on non-integer multiple height rows in a digital integrated circuit layout |
US7825437B2 (en) | 2007-12-28 | 2010-11-02 | Intel Corporation | Unity beta ratio tri-gate transistor static random access memory (SRAM) |
US7898040B2 (en) | 2007-06-18 | 2011-03-01 | Infineon Technologies Ag | Dual gate FinFET |
US7917877B2 (en) | 2008-05-09 | 2011-03-29 | Cadence Design Systems, Inc. | System and method for circuit schematic generation |
US20110154281A1 (en) | 2005-04-09 | 2011-06-23 | Invarium, Inc. | Optical lithography correction process |
US7971160B2 (en) | 2005-07-22 | 2011-06-28 | Fujitsu Semiconductor Limited | Creating method of photomask pattern data, photomask created by using the photomask pattern data, and manufacturing method of semiconductor apparatus using the photomask |
US7992122B1 (en) | 2005-03-25 | 2011-08-02 | Gg Technology, Inc. | Method of placing and routing for power optimization and timing closure |
US8004042B2 (en) | 2009-03-20 | 2011-08-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Static random access memory (SRAM) cell and method for forming same |
US20110207298A1 (en) | 2005-06-24 | 2011-08-25 | International Business Machines Corporation | Dense pitch bulk finfet process by selective epi and etch |
Family Cites Families (469)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US378110A (en) * | 1888-02-21 | Inking apparatus for printing-presses | ||
US626487A (en) * | 1899-06-06 | Edmond r | ||
US597305A (en) * | 1898-01-11 | Railroad-track gage | ||
US649266A (en) * | 1900-03-26 | 1900-05-08 | James F Atwood | Garment-supporting clasp. |
US669297A (en) * | 1900-11-27 | 1901-03-05 | Henry Ackermann | Saw-set. |
US690458A (en) * | 1901-07-16 | 1902-01-07 | Frederic N Pease | Detergent and process of making same. |
US1175940A (en) * | 1915-06-18 | 1916-03-21 | Hiland R Farnsworth | Suction-roll. |
US2973507A (en) * | 1958-09-02 | 1961-02-28 | Collins Radio Co | Call recognition system |
US3521242A (en) | 1967-05-02 | 1970-07-21 | Rca Corp | Complementary transistor write and ndro for memory cell |
US3656112A (en) * | 1969-03-14 | 1972-04-11 | Constellation Science And Tech | Utility meter remote automatic reading system |
US4069493A (en) | 1970-10-02 | 1978-01-17 | Thomson-Csf | Novel integrated circuit and method of manufacturing same |
US3794155A (en) * | 1972-06-02 | 1974-02-26 | Ashworth Bros Inc | Wire mesh belt |
US3792593A (en) * | 1972-08-10 | 1974-02-19 | Gen Motors Corp | Fresh air damper arrangement for room air conditioner |
JPS5943824B2 (en) * | 1982-03-03 | 1984-10-24 | 三菱電機株式会社 | Semiconductor integrated circuit device |
JPS58182242A (en) | 1982-04-19 | 1983-10-25 | Nec Corp | Semiconductor integrated circuit device |
JPS58182242U (en) | 1982-05-28 | 1983-12-05 | パイオニア株式会社 | Push button with slide lock |
JPS58215827A (en) | 1982-06-09 | 1983-12-15 | Toshiba Corp | Logical circuit |
JPS5943548A (en) | 1982-09-06 | 1984-03-10 | Hitachi Ltd | Semiconductor integrated circuit device |
US4613940A (en) | 1982-11-09 | 1986-09-23 | International Microelectronic Products | Method and structure for use in designing and building electronic systems in integrated circuits |
US4575648A (en) | 1983-12-23 | 1986-03-11 | At&T Bell Laboratories | Complementary field effect transistor EXCLUSIVE OR logic gates |
KR940002772B1 (en) | 1984-08-31 | 1994-04-02 | 가부시기가이샤 히다찌세이사꾸쇼 | Semiconductor integrated circuit and its manufacturing method |
US5545904A (en) | 1986-01-17 | 1996-08-13 | Quick Technologies Ltd. | Personalizable gate array devices |
JPH0695570B2 (en) | 1985-02-07 | 1994-11-24 | 三菱電機株式会社 | Semiconductor integrated circuit device |
JPS61202451A (en) | 1985-03-05 | 1986-09-08 | Nec Corp | Wiring structure of semiconductor integrated circuit |
US4975756A (en) | 1985-05-01 | 1990-12-04 | Texas Instruments Incorporated | SRAM with local interconnect |
US4804636A (en) | 1985-05-01 | 1989-02-14 | Texas Instruments Incorporated | Process for making integrated circuits having titanium nitride triple interconnect |
US4657628A (en) | 1985-05-01 | 1987-04-14 | Texas Instruments Incorporated | Process for patterning local interconnects |
JPH0216605Y2 (en) | 1985-05-02 | 1990-05-08 | ||
US4602270A (en) | 1985-05-17 | 1986-07-22 | United Technologies Corporation | Gate array with reduced isolation |
JPS6247148A (en) | 1985-08-27 | 1987-02-28 | Toshiba Corp | Semiconductor integrated circuit device |
JPS62169472A (en) | 1986-01-22 | 1987-07-25 | Hitachi Ltd | Semiconductor integrated circuit device |
US4745084A (en) | 1986-11-12 | 1988-05-17 | Vlsi Technology, Inc. | Method of making a customized semiconductor integrated device |
US4884115A (en) * | 1987-02-27 | 1989-11-28 | Siemens Aktiengesellschaft | Basic cell for a gate array arrangement in CMOS Technology |
US4801986A (en) * | 1987-04-03 | 1989-01-31 | General Electric Company | Vertical double diffused metal oxide semiconductor VDMOS device with increased safe operating area and method |
JP2742052B2 (en) | 1987-06-12 | 1998-04-22 | 日本電信電話株式会社 | Complementary MIS master slice logic integrated circuit |
JPH067345B2 (en) | 1987-06-24 | 1994-01-26 | 株式会社 エイ・ティ・ア−ル自動翻訳電話研究所 | Speech recognition method using vector quantization |
US5004761A (en) * | 1987-07-28 | 1991-04-02 | Dai-Ichi Kogyo Seiyaku Co., Ltd. | Process for continuously preparing acrylic polymer gel |
US5119313A (en) | 1987-08-04 | 1992-06-02 | Texas Instruments Incorporated | Comprehensive logic circuit layout system |
KR100212098B1 (en) | 1987-09-19 | 1999-08-02 | 가나이 쓰도무 | Semiconductor integrated circuit device and manufacturing method thereof, wiring board of semiconductor integrated circuit device and manufacturing method thereof |
US5068603A (en) | 1987-10-07 | 1991-11-26 | Xilinx, Inc. | Structure and method for producing mask-programmed integrated circuits which are pin compatible substitutes for memory-configured logic arrays |
US4812688A (en) | 1987-12-30 | 1989-03-14 | International Business Machines Corporation | Transistor delay circuits |
JPH01284115A (en) | 1988-05-11 | 1989-11-15 | Sharp Corp | Logical circuit |
US5268319A (en) | 1988-06-08 | 1993-12-07 | Eliyahou Harari | Highly compact EPROM and flash EEPROM devices |
US4928160A (en) | 1989-01-17 | 1990-05-22 | Ncr Corporation | Gate isolated base cell structure with off-grid gate polysilicon pattern |
JPH02198154A (en) | 1989-01-27 | 1990-08-06 | Hitachi Ltd | Method of forming wiring and semiconductor device utilizing same |
US5351197A (en) | 1989-04-13 | 1994-09-27 | Cascade Design Automation Corporation | Method and apparatus for designing the layout of a subcircuit in an integrated circuit |
JPH03165061A (en) | 1989-11-22 | 1991-07-17 | Hitachi Ltd | Semiconductor integrated circuit device |
US5298774A (en) | 1990-01-11 | 1994-03-29 | Mitsubishi Denki Kabushiki Kaisha | Gate array system semiconductor integrated circuit device |
US5483104A (en) | 1990-01-12 | 1996-01-09 | Paradigm Technology, Inc. | Self-aligning contact and interconnect structure |
KR100199258B1 (en) | 1990-02-09 | 1999-06-15 | 가나이 쓰도무 | Semiconductor integrated circuit device |
US5084437A (en) * | 1990-02-28 | 1992-01-28 | Westinghouse Electric Corp. | Method for making high-current, ohmic contacts between semiconductors and oxide superconductors |
US5047979A (en) | 1990-06-15 | 1991-09-10 | Integrated Device Technology, Inc. | High density SRAM circuit with ratio independent memory cells |
US5057895A (en) | 1990-08-06 | 1991-10-15 | Harris Corporation | Trench conductor and crossunder architecture |
US5079614A (en) | 1990-09-26 | 1992-01-07 | S-Mos Systems, Inc. | Gate array architecture with basic cell interleaved gate electrodes |
JP3017789B2 (en) | 1990-10-18 | 2000-03-13 | 三菱電機株式会社 | Layout design method for semiconductor integrated circuit device |
US5100025A (en) * | 1991-03-04 | 1992-03-31 | Mcgraw Kim A | Pump dispensing apparatus |
JP2714723B2 (en) * | 1991-03-15 | 1998-02-16 | シャープ株式会社 | Method for manufacturing semiconductor integrated circuit device |
JPH05152937A (en) | 1991-11-26 | 1993-06-18 | Hitachi Ltd | Logic gate circuit |
JP3129336B2 (en) | 1991-12-09 | 2001-01-29 | 沖電気工業株式会社 | Semiconductor storage device |
US5307180A (en) * | 1991-12-18 | 1994-04-26 | Xerox Corporation | Method and apparatus for controlling the processing of digital image signals |
US7071060B1 (en) | 1996-02-28 | 2006-07-04 | Sandisk Corporation | EEPROM with split gate source side infection with sidewall spacers |
JP2760195B2 (en) | 1992-01-20 | 1998-05-28 | 日本電気株式会社 | Logic circuit |
US5526307A (en) | 1992-01-22 | 1996-06-11 | Macronix International Co., Ltd. | Flash EPROM integrated circuit architecture |
JPH05218362A (en) * | 1992-02-04 | 1993-08-27 | Sharp Corp | Basic cells of gate array |
US5303334A (en) * | 1992-03-05 | 1994-04-12 | Adobe Systems Incorporated | System for generating a rasterized graphic image |
US5367187A (en) | 1992-12-22 | 1994-11-22 | Quality Semiconductor, Inc. | Master slice gate array integrated circuits with basic cells adaptable for both input/output and logic functions |
US5692323A (en) * | 1993-01-26 | 1997-12-02 | Rotasole Pty. Ltd. | Footwear with auto-returning turntable |
US5420447A (en) | 1993-01-29 | 1995-05-30 | Sgs-Thomson Microelectronics, Inc. | Double buffer base gate array cell |
US5359226A (en) | 1993-02-02 | 1994-10-25 | Paradigm Technology, Inc. | Static memory with self aligned contacts and split word lines |
US5723908A (en) | 1993-03-11 | 1998-03-03 | Kabushiki Kaisha Toshiba | Multilayer wiring structure |
US5536955A (en) | 1993-03-29 | 1996-07-16 | Toppan Electronics (Usa) Inc. | Electronic devices for use in generating integrated circuit structures and method therefor |
US5338963A (en) | 1993-04-05 | 1994-08-16 | International Business Machines Corporation | Soft error immune CMOS static RAM cell |
NL9300684A (en) * | 1993-04-22 | 1994-11-16 | Oce Nederland Bv | Method of halftoning digitized gray value images and image processing apparatus suitable for performing such a method. |
US5691218A (en) | 1993-07-01 | 1997-11-25 | Lsi Logic Corporation | Method of fabricating a programmable polysilicon gate array base cell structure |
US5396128A (en) | 1993-09-13 | 1995-03-07 | Motorola, Inc. | Output circuit for interfacing integrated circuits having different power supply potentials |
JP3285438B2 (en) | 1993-10-29 | 2002-05-27 | 三菱電機株式会社 | Semiconductor storage device |
JP3144967B2 (en) | 1993-11-08 | 2001-03-12 | 株式会社日立製作所 | Semiconductor integrated circuit and method of manufacturing the same |
JP2746087B2 (en) | 1993-12-01 | 1998-04-28 | 日本電気株式会社 | Semiconductor integrated circuit |
US5625568A (en) | 1993-12-22 | 1997-04-29 | Vlsi Technology, Inc. | Method and apparatus for compacting integrated circuits with standard cell architectures |
JP2684980B2 (en) | 1993-12-24 | 1997-12-03 | 日本電気株式会社 | Semiconductor memory device and manufacturing method thereof |
US6675361B1 (en) | 1993-12-27 | 2004-01-06 | Hyundai Electronics America | Method of constructing an integrated circuit comprising an embedded macro |
US5756385A (en) | 1994-03-30 | 1998-05-26 | Sandisk Corporation | Dense flash EEPROM cell array and peripheral supporting circuits formed in deposited field oxide with the use of spacers |
JP3463180B2 (en) | 1994-05-02 | 2003-11-05 | Necトーキン株式会社 | Method for producing magnetic garnet oxide powder and method for producing magnetic garnet oxide film |
US5591995A (en) * | 1994-05-10 | 1997-01-07 | Texas Instruments, Incorporated | Base cell for BiCMOS and CMOS gate arrays |
TW297158B (en) | 1994-05-27 | 1997-02-01 | Hitachi Ltd | |
JP3469362B2 (en) | 1994-08-31 | 2003-11-25 | 株式会社東芝 | Semiconductor storage device |
JP2647045B2 (en) | 1995-02-28 | 1997-08-27 | 日本電気株式会社 | Semiconductor memory device and method of manufacturing the same |
DE19509663A1 (en) * | 1995-03-17 | 1996-09-19 | Lohmann Therapie Syst Lts | Process for the isolation of galanthamine |
JP3535615B2 (en) | 1995-07-18 | 2004-06-07 | 株式会社ルネサステクノロジ | Semiconductor integrated circuit device |
US5774367A (en) | 1995-07-24 | 1998-06-30 | Motorola, Inc. | Method of selecting device threshold voltages for high speed and low power |
US5764533A (en) | 1995-08-01 | 1998-06-09 | Sun Microsystems, Inc. | Apparatus and methods for generating cell layouts |
US5754826A (en) | 1995-08-04 | 1998-05-19 | Synopsys, Inc. | CAD and simulation system for targeting IC designs to multiple fabrication processes |
US5789776A (en) | 1995-09-22 | 1998-08-04 | Nvx Corporation | Single poly memory cell and array |
JPH0997885A (en) | 1995-09-28 | 1997-04-08 | Denso Corp | Gate array |
US5973369A (en) | 1997-03-11 | 1999-10-26 | Nec Corporation | SRAM having P-channel TFT as load element with less series-connected high resistance |
US5640342A (en) | 1995-11-20 | 1997-06-17 | Micron Technology, Inc. | Structure for cross coupled thin film transistors and static random access memory cell |
JP3400215B2 (en) | 1995-11-21 | 2003-04-28 | 沖電気工業株式会社 | Semiconductor device |
JP3934719B2 (en) | 1995-12-22 | 2007-06-20 | 株式会社東芝 | Optical proximity correction method |
KR100229577B1 (en) | 1996-01-31 | 1999-11-15 | 포만 제프리 엘 | Integrated circuit chip having gate array book personalization using local interconnect |
US5798298A (en) | 1996-02-09 | 1998-08-25 | United Microelectronics Corporation | Method of automatically generating dummy metals for multilevel interconnection |
US5698873A (en) | 1996-03-08 | 1997-12-16 | Lsi Logic Corporation | High density gate array base cell architecture |
JPH09282349A (en) | 1996-04-17 | 1997-10-31 | Shinko Electric Ind Co Ltd | Data convesion processor |
JPH09289251A (en) | 1996-04-23 | 1997-11-04 | Matsushita Electric Ind Co Ltd | Layout structure of semiconductor integrated circuit and its verification method |
JP2914292B2 (en) | 1996-04-25 | 1999-06-28 | 日本電気株式会社 | Semiconductor device |
JP2809200B2 (en) | 1996-06-03 | 1998-10-08 | 日本電気株式会社 | Method for manufacturing semiconductor device |
US5858194A (en) * | 1996-07-18 | 1999-01-12 | Beckman Instruments, Inc. | Capillary, interface and holder |
JP2918101B2 (en) | 1996-07-25 | 1999-07-12 | 日本電気株式会社 | Layout method of semiconductor integrated circuit |
US5796128A (en) * | 1996-07-25 | 1998-08-18 | Translogic Technology, Inc. | Gate array with fully wired multiplexer circuits |
US5759871A (en) * | 1996-07-26 | 1998-06-02 | Advanced Micro Devices, Inc. | Structure for testing junction leakage of salicided devices fabricated using shallow trench and refill techniques |
US5920486A (en) | 1996-08-16 | 1999-07-06 | International Business Machines Corporation | Parameterized cells for generating dense layouts of VLSI circuits |
US5717635A (en) | 1996-08-27 | 1998-02-10 | International Business Machines Corporation | High density EEPROM for solid state file |
JP3152635B2 (en) | 1996-09-09 | 2001-04-03 | 三洋電機株式会社 | Master slice type basic cell, semiconductor integrated circuit device, flip-flop circuit, exclusive OR circuit, multiplexer and adder |
US5923060A (en) | 1996-09-27 | 1999-07-13 | In-Chip Systems, Inc. | Reduced area gate array cell design based on shifted placement of alternate rows of cells |
JP3529563B2 (en) * | 1996-10-09 | 2004-05-24 | 株式会社東芝 | Semiconductor integrated circuit re-layout method and medium recording semiconductor integrated circuit re-layout program |
US5984510A (en) | 1996-11-01 | 1999-11-16 | Motorola Inc. | Automatic synthesis of standard cell layouts |
JP3523762B2 (en) | 1996-12-19 | 2004-04-26 | 株式会社東芝 | Semiconductor storage device |
JP3420694B2 (en) | 1996-12-27 | 2003-06-30 | 株式会社東芝 | Standard cell integrated circuit |
JPH10189746A (en) | 1996-12-27 | 1998-07-21 | Oki Electric Ind Co Ltd | Wiring layout method for lsi logic circuit |
JP3036588B2 (en) | 1997-02-03 | 2000-04-24 | 日本電気株式会社 | Semiconductor storage device |
JP3352349B2 (en) | 1997-02-24 | 2002-12-03 | シャープ株式会社 | Bidirectional thyristor element |
EP0976378A1 (en) * | 1997-03-17 | 2000-02-02 | Hitachi, Ltd. | Walking assist device |
US5977574A (en) | 1997-03-28 | 1999-11-02 | Lsi Logic Corporation | High density gate array cell architecture with sharing of well taps between cells |
JP3178799B2 (en) | 1997-04-18 | 2001-06-25 | シャープ株式会社 | MOS logic circuit and semiconductor device provided with the MOS logic circuit |
KR100227621B1 (en) | 1997-05-22 | 1999-11-01 | 김영환 | Method for manufacturing transistor of semiconductor device |
US6005296A (en) | 1997-05-30 | 1999-12-21 | Stmicroelectronics, Inc. | Layout for SRAM structure |
US6445049B1 (en) | 1997-06-30 | 2002-09-03 | Artisan Components, Inc. | Cell based array comprising logic, transfer and drive cells |
US6506327B2 (en) * | 1997-11-05 | 2003-01-14 | Pedex & Co. Gmbh | Process of making monofilaments |
DE69727581D1 (en) | 1997-11-28 | 2004-03-18 | St Microelectronics Srl | RAM memory cell with low power consumption |
JP3701781B2 (en) | 1997-11-28 | 2005-10-05 | 株式会社ルネサステクノロジ | Logic circuit and its creation method |
US6034433A (en) * | 1997-12-23 | 2000-03-07 | Intel Corporation | Interconnect structure for protecting a transistor gate from charge damage |
JP3926011B2 (en) | 1997-12-24 | 2007-06-06 | 株式会社ルネサステクノロジ | Semiconductor device design method |
JP3777768B2 (en) | 1997-12-26 | 2006-05-24 | 株式会社日立製作所 | Semiconductor integrated circuit device, storage medium storing cell library, and method of designing semiconductor integrated circuit |
KR100278273B1 (en) * | 1997-12-30 | 2001-02-01 | 김영환 | A method for forming contact holes in semiconductor device |
JPH11214662A (en) | 1998-01-29 | 1999-08-06 | Mitsubishi Electric Corp | Semiconductor device |
JPH11297856A (en) | 1998-04-16 | 1999-10-29 | Mitsubishi Electric Corp | Static semiconductor memory |
JP3926928B2 (en) * | 1998-06-02 | 2007-06-06 | セイコーエプソン株式会社 | Printing apparatus, printing method, and recording medium |
US20020008257A1 (en) | 1998-09-30 | 2002-01-24 | John P. Barnak | Mosfet gate electrodes having performance tuned work functions and methods of making same |
JP3852729B2 (en) | 1998-10-27 | 2006-12-06 | 富士通株式会社 | Semiconductor memory device |
JP3680594B2 (en) | 1998-11-10 | 2005-08-10 | 株式会社日立製作所 | Semiconductor integrated circuit |
TW476069B (en) | 1998-11-20 | 2002-02-11 | Via Tech Inc | Placement and routing for array device |
AU1913500A (en) | 1998-11-25 | 2000-06-13 | Nanopower, Inc. | Improved flip-flops and other logic circuits and techniques for improving layouts of integrated circuits |
JP4437565B2 (en) | 1998-11-26 | 2010-03-24 | 富士通マイクロエレクトロニクス株式会社 | Semiconductor integrated circuit device, semiconductor integrated circuit device design method, and recording medium |
KR100291384B1 (en) | 1998-12-31 | 2001-07-12 | 윤종용 | Layout method of semiconductor device |
US6159839A (en) | 1999-02-11 | 2000-12-12 | Vanguard International Semiconductor Corporation | Method for fabricating borderless and self-aligned polysilicon and metal contact landing plugs for multilevel interconnections |
US6347292B1 (en) * | 1999-02-17 | 2002-02-12 | Den-Con Electronics, Inc. | Oilfield equipment identification method and apparatus |
US6974978B1 (en) | 1999-03-04 | 2005-12-13 | Intel Corporation | Gate array architecture |
US6480032B1 (en) | 1999-03-04 | 2002-11-12 | Intel Corporation | Gate array architecture |
JP3986036B2 (en) * | 1999-04-16 | 2007-10-03 | 株式会社日立製作所 | Semiconductor integrated circuit device |
US6507941B1 (en) | 1999-04-28 | 2003-01-14 | Magma Design Automation, Inc. | Subgrid detailed routing |
JP4565700B2 (en) | 1999-05-12 | 2010-10-20 | ルネサスエレクトロニクス株式会社 | Semiconductor device |
JP2001056463A (en) | 1999-08-20 | 2001-02-27 | Casio Comput Co Ltd | Liquid crystal display device |
JP2001068558A (en) | 1999-08-30 | 2001-03-16 | Hitachi Ltd | Semiconductor integrated circuit device |
US6174025B1 (en) * | 1999-08-31 | 2001-01-16 | Daimlerchrysler Corporation | Sun roof air dam wind noise reducer |
TW423218B (en) | 1999-10-06 | 2001-02-21 | Ind Tech Res Inst | Charge-redistribution low-swing differential logic circuit |
US6255845B1 (en) | 1999-11-16 | 2001-07-03 | Advanced Micro Devices, Inc. | Efficient use of spare gates for post-silicon debug and enhancements |
EP1234336A1 (en) | 1999-11-17 | 2002-08-28 | Aeroflex UTMC Microelectronic Systems Inc. | Radiation resistant integrated circuit design |
JP2001144603A (en) | 1999-11-18 | 2001-05-25 | Oki Micro Design Co Ltd | Level shifter circuit and data output circuit including it |
DE19955861A1 (en) * | 1999-11-20 | 2001-05-23 | Basf Ag | Continuous production of crosslinked gel polymer for use e.g. as an absorber involves polymerisation of monomers in a multi-screw machine with heat removal by evaporation of water and product take-off |
US6295224B1 (en) | 1999-12-30 | 2001-09-25 | Stmicroelectronics, Inc. | Circuit and method of fabricating a memory cell for a static random access memory |
KR100346832B1 (en) | 2000-01-12 | 2002-08-03 | 삼성전자 주식회사 | Static random access memory device and manufacturing method thereof |
US6408427B1 (en) | 2000-02-22 | 2002-06-18 | The Regents Of The University Of California | Wire width planning and performance optimization for VLSI interconnects |
US6331790B1 (en) | 2000-03-10 | 2001-12-18 | Easic Corporation | Customizable and programmable cell array |
US6399972B1 (en) | 2000-03-13 | 2002-06-04 | Oki Electric Industry Co., Ltd. | Cell based integrated circuit and unit cell architecture therefor |
JP2001272228A (en) | 2000-03-24 | 2001-10-05 | Railway Technical Res Inst | System and method for measuring amount of relative displacement |
JP2001306641A (en) | 2000-04-27 | 2001-11-02 | Victor Co Of Japan Ltd | Automatic arranging and wiring method for semiconductor integrated circuit |
TW512424B (en) * | 2000-05-01 | 2002-12-01 | Asml Masktools Bv | Hybrid phase-shift mask |
US6583041B1 (en) | 2000-05-01 | 2003-06-24 | Advanced Micro Devices, Inc. | Microdevice fabrication method using regular arrays of lines and spaces |
JP4885365B2 (en) | 2000-05-16 | 2012-02-29 | ルネサスエレクトロニクス株式会社 | Semiconductor device |
US6445065B1 (en) | 2000-06-06 | 2002-09-03 | In-Chip Systems, Inc. | Routing driven, metal programmable integrated circuit architecture with multiple types of core cells |
US6617621B1 (en) | 2000-06-06 | 2003-09-09 | Virage Logic Corporation | Gate array architecture using elevated metal levels for customization |
US6889370B1 (en) | 2000-06-20 | 2005-05-03 | Unisys Corporation | Method and apparatus for selecting and aligning cells using a placement tool |
JP2002026296A (en) | 2000-06-22 | 2002-01-25 | Internatl Business Mach Corp <Ibm> | Semiconductor integrated circuit device |
US6787271B2 (en) | 2000-07-05 | 2004-09-07 | Numerical Technologies, Inc. | Design and layout of phase shifting photolithographic masks |
US6632741B1 (en) | 2000-07-19 | 2003-10-14 | International Business Machines Corporation | Self-trimming method on looped patterns |
US6574786B1 (en) | 2000-07-21 | 2003-06-03 | Aeroflex UTMC Microelectronics Systems, Inc. | Gate array cell generator using cadence relative object design |
JP4357101B2 (en) | 2000-08-23 | 2009-11-04 | 株式会社ルネサステクノロジ | Semiconductor memory device |
JP4764987B2 (en) | 2000-09-05 | 2011-09-07 | 富士電機株式会社 | Super junction semiconductor device |
AU2001290937A1 (en) | 2000-09-13 | 2002-04-02 | Massachusetts Institute Of Technology | Method of design and fabrication of integrated circuits using regular arrays and gratings |
US6800883B2 (en) | 2000-09-21 | 2004-10-05 | Matsushita Electric Industrial Co., Ltd. | CMOS basic cell and method for fabricating semiconductor integrated circuit using the same |
US6794677B2 (en) | 2000-10-02 | 2004-09-21 | Matsushita Electric Industrial Co., Ltd. | Semiconductor integrated circuit device and method for fabricating the same |
US6555450B2 (en) | 2000-10-04 | 2003-04-29 | Samsung Electronics Co., Ltd. | Contact forming method for semiconductor device |
US6566720B2 (en) | 2000-10-05 | 2003-05-20 | United Memories, Inc. | Base cell layout permitting rapid layout with minimum clock line capacitance on CMOS standard-cell and gate-array integrated circuits |
KR20020034313A (en) | 2000-10-31 | 2002-05-09 | 박종섭 | Method of manufacturing sram cell |
US6569328B1 (en) * | 2000-11-02 | 2003-05-27 | Gary D. Haggard | Underdrain filtration system with stamped perforations |
US6498088B1 (en) | 2000-11-09 | 2002-12-24 | Micron Technology, Inc. | Stacked local interconnect structure and method of fabricating same |
US6703170B1 (en) * | 2000-12-13 | 2004-03-09 | Dupont Photomasks, Inc. | Method and apparatus for reducing loading effects on a semiconductor manufacturing component during an etch process |
JP2002184870A (en) | 2000-12-18 | 2002-06-28 | Mitsubishi Electric Corp | Static semiconductor storage device |
KR100355036B1 (en) | 2000-12-22 | 2002-10-05 | 삼성전자 주식회사 | Layout method of cross-coupled transistor pair |
JP2002289703A (en) | 2001-01-22 | 2002-10-04 | Nec Corp | Semiconductor memory and its manufacturing method |
US6792591B2 (en) | 2001-02-28 | 2004-09-14 | Asml Masktools B.V. | Method of identifying an extreme interaction pitch region, methods of designing mask patterns and manufacturing masks, device manufacturing methods and computer programs |
JP4928675B2 (en) | 2001-03-01 | 2012-05-09 | エルピーダメモリ株式会社 | Semiconductor device |
KR100496420B1 (en) | 2001-03-02 | 2005-06-17 | 삼성에스디아이 주식회사 | TFT with souece/drain electrode of double layer and Method for Fabricating the Same and Active Matrix display device and Method for fabricating the Same using the TFT |
DE60202230T2 (en) | 2001-03-14 | 2005-12-15 | Asml Masktools B.V. | Close-effect correction by means of unresolved auxiliary structures in the form of conductor bars |
US6732334B2 (en) | 2001-04-02 | 2004-05-04 | Matsushita Electric Industrial Co., Ltd. | Analog MOS semiconductor device, manufacturing method therefor, manufacturing program therefor, and program device therefor |
US6574779B2 (en) | 2001-04-12 | 2003-06-03 | International Business Machines Corporation | Hierarchical layout method for integrated circuits |
US6524870B2 (en) | 2001-04-24 | 2003-02-25 | Pell, Iii Edwin A. | Method and apparatus for improving resolution of objects in a semiconductor wafer |
US6936908B2 (en) | 2001-05-03 | 2005-08-30 | Ixys Corporation | Forward and reverse blocking devices |
US6553562B2 (en) | 2001-05-04 | 2003-04-22 | Asml Masktools B.V. | Method and apparatus for generating masks utilized in conjunction with dipole illumination techniques |
US6759282B2 (en) | 2001-06-12 | 2004-07-06 | International Business Machines Corporation | Method and structure for buried circuits and devices |
JP2002368135A (en) | 2001-06-12 | 2002-12-20 | Hitachi Ltd | Semiconductor memory device |
US6792329B2 (en) * | 2001-08-22 | 2004-09-14 | Milliken & Company | Construction of colored images on absorbent substrates using a computer-aided design system |
US20030042830A1 (en) * | 2001-08-29 | 2003-03-06 | Gregorio Michael A. | Pivoting television support shelf |
US6684382B2 (en) * | 2001-08-31 | 2004-01-27 | Numerical Technologies, Inc. | Microloading effect correction |
JP4786836B2 (en) | 2001-09-07 | 2011-10-05 | 富士通セミコンダクター株式会社 | Wiring connection design method and semiconductor device |
JP2003092250A (en) | 2001-09-18 | 2003-03-28 | Hitachi Ltd | Semiconductor device and manufacturing method therefor |
JP3989213B2 (en) | 2001-09-25 | 2007-10-10 | シャープ株式会社 | Pass transistor logic circuit |
JP3637299B2 (en) | 2001-10-05 | 2005-04-13 | 松下電器産業株式会社 | Semiconductor memory device |
JP2003124339A (en) | 2001-10-11 | 2003-04-25 | Toshiba Corp | Semiconductor device and its manufacturing method |
JP3526450B2 (en) | 2001-10-29 | 2004-05-17 | 株式会社東芝 | Semiconductor integrated circuit and standard cell layout design method |
JP2003142584A (en) | 2001-11-05 | 2003-05-16 | Matsushita Electric Ind Co Ltd | Method for designing semiconductor integrated circuit |
US6833593B2 (en) | 2001-11-09 | 2004-12-21 | Thin Film Electronics Asa | Electrode means, a method for its manufacture, an apparatus comprising the electrode means as well as use of the latter |
JP2003218238A (en) | 2001-11-14 | 2003-07-31 | Mitsubishi Electric Corp | Semiconductor memory device |
JP3789351B2 (en) | 2001-11-30 | 2006-06-21 | 株式会社日立製作所 | Reflective liquid crystal display device and manufacturing method thereof |
JP2003168640A (en) | 2001-12-03 | 2003-06-13 | Hitachi Ltd | Method of manufacturing semiconductor device |
JP2003188361A (en) | 2001-12-20 | 2003-07-04 | Mitsubishi Electric Corp | Semiconductor integrated circuit with gate array structure |
US6787469B2 (en) | 2001-12-28 | 2004-09-07 | Texas Instruments Incorporated | Double pattern and etch of poly with hard mask |
US6817000B2 (en) | 2002-01-02 | 2004-11-09 | International Business Machines Corporation | Delay correlation analysis and representation for vital complaint VHDL models |
US7085701B2 (en) | 2002-01-02 | 2006-08-01 | International Business Machines Corporation | Size reduction techniques for vital compliant VHDL simulation models |
JP2003203993A (en) | 2002-01-10 | 2003-07-18 | Mitsubishi Electric Corp | Semiconductor storage device and its manufacturing method |
US6721926B2 (en) | 2002-01-25 | 2004-04-13 | Intel Corporation | Method and apparatus for improving digital circuit design |
US6820248B1 (en) | 2002-02-14 | 2004-11-16 | Xilinx, Inc. | Method and apparatus for routing interconnects to devices with dissimilar pitches |
JP2003264231A (en) | 2002-03-11 | 2003-09-19 | Mitsubishi Electric Corp | Method for designing layout and semiconductor device |
US7386433B2 (en) | 2002-03-15 | 2008-06-10 | Synopsys, Inc. | Using a suggested solution to speed up a process for simulating and correcting an integrated circuit layout |
US6754121B2 (en) | 2002-03-29 | 2004-06-22 | Stmicroelectronics, Inc. | Sense amplifying circuit and method |
JP2003308691A (en) * | 2002-04-11 | 2003-10-31 | Elpida Memory Inc | Semiconductor memory |
JP4190796B2 (en) | 2002-04-24 | 2008-12-03 | Necエレクトロニクス株式会社 | How to make exposure master |
JP2004013920A (en) | 2002-06-03 | 2004-01-15 | Mitsubishi Electric Corp | Semiconductor storage device |
US6980211B2 (en) | 2002-06-04 | 2005-12-27 | Springsoft, Inc. | Automatic schematic diagram generation using topology information |
JP3879063B2 (en) | 2002-06-11 | 2007-02-07 | 富士通株式会社 | Semiconductor device and manufacturing method thereof |
JP4036688B2 (en) | 2002-06-18 | 2008-01-23 | 松下電器産業株式会社 | Standard cell library for automatic placement and routing and semiconductor integrated device |
JP4462528B2 (en) | 2002-06-24 | 2010-05-12 | 株式会社日立製作所 | Semiconductor integrated circuit device |
EP1376676A3 (en) | 2002-06-24 | 2008-08-20 | Interuniversitair Microelektronica Centrum Vzw | Multibit non-volatile memory device and method |
JP2004040042A (en) | 2002-07-08 | 2004-02-05 | Fujitsu Ltd | Semiconductor memory device |
US6998722B2 (en) | 2002-07-08 | 2006-02-14 | Viciciv Technology | Semiconductor latches and SRAM devices |
US20040009409A1 (en) | 2002-07-11 | 2004-01-15 | Jiunn-Ren Hwang | Optical proximity correction method |
US7063923B2 (en) | 2002-07-11 | 2006-06-20 | United Electronics Corp. | Optical proximity correction method |
JP4416384B2 (en) | 2002-07-19 | 2010-02-17 | 株式会社ルネサステクノロジ | Semiconductor integrated circuit |
KR100445638B1 (en) | 2002-07-26 | 2004-08-25 | 삼성전자주식회사 | Interconnection structure connecting electrically isolated regions and method of fabricatinging the same |
US7739624B2 (en) | 2002-07-29 | 2010-06-15 | Synopsys, Inc. | Methods and apparatuses to generate a shielding mesh for integrated circuit devices |
US7171645B2 (en) | 2002-08-06 | 2007-01-30 | Matsushita Electric Industrial Co., Ltd. | Semiconductor device, method of generating pattern for semiconductor device, method of manufacturing semiconductor device and device of generating pattern used for semiconductor device |
KR100493025B1 (en) | 2002-08-07 | 2005-06-07 | 삼성전자주식회사 | Method for manufacturing semiconductor memory device |
US7143380B1 (en) | 2002-08-08 | 2006-11-28 | Xilinx, Inc. | Method for application of network flow techniques under constraints |
FR2843481B1 (en) | 2002-08-08 | 2005-09-16 | Soisic | MEMORY ON SUBSTRATE OF THE SILICON TYPE ON INSULATION |
US7345511B2 (en) | 2002-08-29 | 2008-03-18 | Technion Research & Development Foundation Ltd. | Logic circuit and method of logic circuit design |
DE10241170A1 (en) | 2002-09-05 | 2004-03-18 | Infineon Technologies Ag | High density NROM FINFET |
TWI274969B (en) | 2002-09-11 | 2007-03-01 | Asml Masktools Bv | Method and computer program product of generating masks and mask generated thereby, device manufacturing method and device manufactured thereby, and method of printing pattern |
US7214579B2 (en) | 2002-10-24 | 2007-05-08 | Nxp Bv. | Self-aligned 2-bit “double poly CMP” flash memory cell |
US7053424B2 (en) | 2002-10-31 | 2006-05-30 | Yamaha Corporation | Semiconductor integrated circuit device and its manufacture using automatic layout |
JP3848248B2 (en) | 2002-12-17 | 2006-11-22 | 株式会社東芝 | SRAM cell and memory integrated circuit using the same |
US7378710B2 (en) * | 2002-12-19 | 2008-05-27 | International Business Machines Corporation | FinFET SRAM cell using inverted FinFET thin film transistors |
EP1434264A3 (en) | 2002-12-27 | 2017-01-18 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device and manufacturing method using the transfer technique |
JP2004241529A (en) * | 2003-02-05 | 2004-08-26 | Matsushita Electric Ind Co Ltd | Semiconductor circuit device and method of simulating circuit thereof |
JP2004253730A (en) | 2003-02-21 | 2004-09-09 | Renesas Technology Corp | Semiconductor integrated circuit device and its manufacturing method |
JP4531340B2 (en) | 2003-02-27 | 2010-08-25 | ルネサスエレクトロニクス株式会社 | Multiplexer cell layout structure |
JP4290457B2 (en) * | 2003-03-31 | 2009-07-08 | 株式会社ルネサステクノロジ | Semiconductor memory device |
JP3920804B2 (en) | 2003-04-04 | 2007-05-30 | 松下電器産業株式会社 | Semiconductor memory device |
JP3684232B2 (en) * | 2003-04-25 | 2005-08-17 | 株式会社東芝 | Semiconductor device |
TW594991B (en) | 2003-04-29 | 2004-06-21 | Faraday Tech Corp | Integrated circuit with one metal layer for programming functionality of a logic operation module |
JP2004342757A (en) * | 2003-05-14 | 2004-12-02 | Toshiba Corp | Semiconductor integrated circuit and method of designing the same |
JP4233381B2 (en) | 2003-05-21 | 2009-03-04 | 株式会社ルネサステクノロジ | Semiconductor device and manufacturing method thereof |
US7062740B2 (en) | 2003-05-22 | 2006-06-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | System and method for reducing design cycle time for designing input/output cells |
US7291878B2 (en) | 2003-06-03 | 2007-11-06 | Hitachi Global Storage Technologies Netherlands B.V. | Ultra low-cost solid-state memory |
US7183611B2 (en) | 2003-06-03 | 2007-02-27 | Micron Technology, Inc. | SRAM constructions, and electronic systems comprising SRAM constructions |
US6992916B2 (en) | 2003-06-13 | 2006-01-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | SRAM cell design with high resistor CMOS gate structure for soft error rate improvement |
JP4245418B2 (en) | 2003-06-25 | 2009-03-25 | 富士通マイクロエレクトロニクス株式会社 | Semiconductor integrated circuit device having diagonal wiring and layout method thereof |
US20050009312A1 (en) * | 2003-06-26 | 2005-01-13 | International Business Machines Corporation | Gate length proximity corrected device |
US6900999B1 (en) | 2003-06-30 | 2005-05-31 | Integrated Device Technology, Inc. | Ternary content addressable memory (TCAM) cells with small footprint size and efficient layout aspect ratio |
KR100577610B1 (en) | 2003-07-15 | 2006-05-10 | 삼성전자주식회사 | semiconductor device, method for manufacturing semiconductor decice, SRAM device and method for manufacturing SRAM |
US6921982B2 (en) | 2003-07-21 | 2005-07-26 | International Business Machines Corporation | FET channel having a strained lattice structure along multiple surfaces |
EP1569273A3 (en) | 2003-07-30 | 2005-09-14 | St Microelectronics S.A. | Conductive lines embedded in isolation regions |
US6924560B2 (en) | 2003-08-08 | 2005-08-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Compact SRAM cell with FinFET |
US7345909B2 (en) | 2003-09-24 | 2008-03-18 | Yen-Jen Chang | Low-power SRAM memory cell |
KR100516226B1 (en) * | 2003-09-25 | 2005-09-23 | 동부아남반도체 주식회사 | Cell for test of SRAM cell and method for test SRAM cell |
JP2005114752A (en) | 2003-10-02 | 2005-04-28 | Yamaha Corp | Music player |
JP4599048B2 (en) | 2003-10-02 | 2010-12-15 | 川崎マイクロエレクトロニクス株式会社 | Semiconductor integrated circuit layout structure, semiconductor integrated circuit layout method, and photomask |
JP2005123524A (en) | 2003-10-20 | 2005-05-12 | Toshiba Corp | Semiconductor device and its manufacturing method |
JP2005123537A (en) | 2003-10-20 | 2005-05-12 | Sony Corp | Semiconductor device and its manufacturing method |
US6867073B1 (en) | 2003-10-21 | 2005-03-15 | Ziptronix, Inc. | Single mask via method and device |
JP4346410B2 (en) | 2003-10-28 | 2009-10-21 | 東芝メモリシステムズ株式会社 | Wiring design method for semiconductor integrated circuit and semiconductor integrated circuit |
JP2005149265A (en) | 2003-11-18 | 2005-06-09 | Olympus Corp | Arithmetic processing system and arithmetic processor |
US7015871B2 (en) * | 2003-12-18 | 2006-03-21 | Kathrein-Werke Kg | Mobile radio antenna arrangement for a base station |
US7269803B2 (en) | 2003-12-18 | 2007-09-11 | Lsi Corporation | System and method for mapping logical components to physical locations in an integrated circuit design environment |
KR100702552B1 (en) | 2003-12-22 | 2007-04-04 | 인터내셔널 비지네스 머신즈 코포레이션 | METHOD AND DEVICE FOR AUTOMATED LAYER GENERATION FOR DOUBLE-GATE FinFET DESIGNS |
WO2005063534A1 (en) | 2003-12-26 | 2005-07-14 | Tancheng Huang | Hydraulic disc brake |
JP2005197345A (en) | 2004-01-05 | 2005-07-21 | Hitachi Ltd | Semiconductor device |
JP2005203447A (en) * | 2004-01-13 | 2005-07-28 | Toshiba Corp | Semiconductor integrated circuit, designing system thereof, and designing method thereof |
JP2005243928A (en) | 2004-02-26 | 2005-09-08 | Fujitsu Ltd | Semiconductor device with paired transistor isolated by trench isolation |
US7084476B2 (en) | 2004-02-26 | 2006-08-01 | International Business Machines Corp. | Integrated circuit logic with self compensating block delays |
JP4317777B2 (en) | 2004-03-10 | 2009-08-19 | パナソニック株式会社 | Semiconductor integrated circuit |
JP2005268610A (en) | 2004-03-19 | 2005-09-29 | Matsushita Electric Ind Co Ltd | Design method of standard cell, and semiconductor integrated circuit |
DE102004014472B4 (en) | 2004-03-24 | 2012-05-03 | Infineon Technologies Ag | Application specific semiconductor integrated circuit |
US7126837B1 (en) | 2004-03-26 | 2006-10-24 | Netlogic Microsystems, Inc. | Interlocking memory/logic cell layout and method of manufacture |
EP1730777B1 (en) | 2004-04-01 | 2007-09-19 | Soisic | Improved layout of a sram memory cell |
TWI297446B (en) | 2004-04-02 | 2008-06-01 | Clear Shape Technologies Inc | Delta information design closure in integrated circuit fabrication |
CN100576725C (en) * | 2004-04-20 | 2009-12-30 | Nxp股份有限公司 | The differential received machine and the method for differential output signal are provided |
US7053668B2 (en) | 2004-05-25 | 2006-05-30 | Kabushiki Kaisha Toshiba | SOI sense amplifier with cross-coupled body terminal |
US6975133B1 (en) | 2004-05-27 | 2005-12-13 | International Business Machines Corporation | Logic circuits having linear and cellular gate transistors |
US7257017B2 (en) | 2004-05-28 | 2007-08-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | SRAM cell for soft-error rate reduction and cell stability improvement |
KR100591158B1 (en) | 2004-06-01 | 2006-06-19 | 동부일렉트로닉스 주식회사 | Method for manufacturing gate electrode of semiconductor devices |
US20070257277A1 (en) | 2004-06-04 | 2007-11-08 | Nec Corporation | Semiconductor Device and Method for Manufacturing the Same |
JP4834853B2 (en) | 2004-06-10 | 2011-12-14 | シャープ株式会社 | THIN FILM TRANSISTOR CIRCUIT, THIN FILM TRANSISTOR CIRCUIT DESIGN METHOD, THIN FILM TRANSISTOR CIRCUIT DESIGN PROGRAM, DESIGN PROGRAM RECORDING MEDIUM, AND DISPLAY DEVICE |
JP4248451B2 (en) | 2004-06-11 | 2009-04-02 | パナソニック株式会社 | Semiconductor device and layout design method thereof |
JP4778689B2 (en) * | 2004-06-16 | 2011-09-21 | パナソニック株式会社 | Standard cells, standard cell libraries, and semiconductor integrated circuits |
US7327591B2 (en) * | 2004-06-17 | 2008-02-05 | Texas Instruments Incorporated | Staggered memory cell array |
US7003068B2 (en) | 2004-06-21 | 2006-02-21 | Kenet, Inc. | Device for subtracting or adding a constant amount of charge in a charge-coupled device at high operating frequencies |
JP4405865B2 (en) | 2004-06-24 | 2010-01-27 | 富士通マイクロエレクトロニクス株式会社 | Multilayer wiring structure manufacturing method and FIB apparatus |
JP4175649B2 (en) * | 2004-07-22 | 2008-11-05 | 松下電器産業株式会社 | Semiconductor device |
US7176508B2 (en) | 2004-07-27 | 2007-02-13 | International Business Machines Corporation | Temperature sensor for high power very large scale integration circuits |
TWM261152U (en) * | 2004-07-30 | 2005-04-11 | Hsiao-Wei Nien | Roll curtains |
DE102005003457B4 (en) * | 2004-07-30 | 2009-12-03 | IfP Privates Institut für Produktqualität GmbH | Method and parts set for the microbiological determination of vitamines |
JP2006049780A (en) * | 2004-08-09 | 2006-02-16 | Elpida Memory Inc | Semiconductor integrated circuit device |
US7093213B2 (en) | 2004-08-13 | 2006-08-15 | International Business Machines Corporation | Method for designing an integrated circuit defect monitor |
US7365432B2 (en) | 2004-08-23 | 2008-04-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Memory cell structure |
JP2006073696A (en) | 2004-09-01 | 2006-03-16 | Matsushita Electric Ind Co Ltd | Semiconductor integrated circuit using standard cell and design method thereof |
US20060063334A1 (en) * | 2004-09-17 | 2006-03-23 | International Business Machines Corporation | Fin FET diode structures and methods for building |
KR100594295B1 (en) | 2004-09-24 | 2006-06-30 | 삼성전자주식회사 | Method of forming gate with using layer-growth processing and gate structure thereby |
JP2006100718A (en) | 2004-09-30 | 2006-04-13 | Matsushita Electric Ind Co Ltd | Operation analyzing method for semiconductor integrated circuit device, analyzing apparatus used therefor, and optimization designing method using the apparatus |
US7337421B2 (en) * | 2004-09-30 | 2008-02-26 | Cadence Design Systems, Inc. | Method and system for managing design corrections for optical and process effects based on feature tolerances |
JP2006114668A (en) | 2004-10-14 | 2006-04-27 | Sony Corp | Semiconductor integrated circuit and its manufacturing method |
JP4768251B2 (en) | 2004-11-01 | 2011-09-07 | 株式会社東芝 | Semiconductor integrated circuit design method, semiconductor integrated circuit design system, and semiconductor integrated circuit manufacturing method |
US7706021B2 (en) * | 2004-11-01 | 2010-04-27 | Canon Kabushiki Kaisha | Image processing apparatus and image processing method for suppressing jaggies in the edge portions of image |
KR100587692B1 (en) | 2004-11-05 | 2006-06-08 | 삼성전자주식회사 | Circuit wiring layout in semiconductor memory device and layout method thereof |
JP2006156778A (en) * | 2004-11-30 | 2006-06-15 | Matsushita Electric Ind Co Ltd | Semiconductor device and its layout designing method |
US7424696B2 (en) | 2004-12-03 | 2008-09-09 | Lsi Corporation | Power mesh for multiple frequency operation of semiconductor products |
JP4357409B2 (en) | 2004-12-17 | 2009-11-04 | 株式会社東芝 | Semiconductor integrated circuit device and design method thereof |
JP2007043049A (en) | 2004-12-20 | 2007-02-15 | Matsushita Electric Ind Co Ltd | Cell, standard cell, placement method using standard cell, standard cell library, and semiconductor integrated circuit |
JP5392985B2 (en) | 2004-12-28 | 2014-01-22 | スパンション エルエルシー | Semiconductor device and operation control method thereof |
US7106620B2 (en) | 2004-12-30 | 2006-09-12 | International Business Machines Corporation | Memory cell having improved read stability |
US7743349B2 (en) | 2004-12-31 | 2010-06-22 | Tela Innovations, Inc. | Method and system for finding an equivalent circuit representation for one or more elements in an integrated circuit |
JP4455356B2 (en) | 2005-01-28 | 2010-04-21 | Necエレクトロニクス株式会社 | Semiconductor device |
JP4602112B2 (en) | 2005-02-17 | 2010-12-22 | 株式会社東芝 | Manufacturing method of semiconductor integrated circuit and semiconductor integrated circuit |
WO2006090445A1 (en) | 2005-02-23 | 2006-08-31 | Fujitsu Limited | Semiconductor circuit device, and method for manufacturing the semiconductor circuit device |
US7287237B2 (en) | 2005-02-24 | 2007-10-23 | Icera Inc. | Aligned logic cell grid and interconnect routing architecture |
US7266787B2 (en) | 2005-02-24 | 2007-09-04 | Icera, Inc. | Method for optimising transistor performance in integrated circuits |
US7304874B2 (en) | 2005-03-08 | 2007-12-04 | Lsi Corporation | Compact ternary and binary CAM bitcell architecture with no enclosed diffusion areas |
JP4634849B2 (en) | 2005-04-12 | 2011-02-16 | 株式会社東芝 | Integrated circuit pattern layout, photomask, semiconductor device manufacturing method, and data creation method |
TWI334962B (en) | 2005-04-12 | 2010-12-21 | Asml Masktools Bv | A method, program product and apparatus for performing double exposure lithography |
JP4921723B2 (en) | 2005-04-18 | 2012-04-25 | 株式会社東芝 | Manufacturing method of semiconductor device |
TWI297101B (en) | 2005-04-20 | 2008-05-21 | Nanya Technology Corp | Phase shifting mask for equal line/space dense line patterns |
US8044437B1 (en) | 2005-05-16 | 2011-10-25 | Lsi Logic Corporation | Integrated circuit cell architecture configurable for memory or logic elements |
JP4936418B2 (en) | 2005-05-17 | 2012-05-23 | ルネサスエレクトロニクス株式会社 | Semiconductor device, manufacturing method thereof, and semiconductor device design program |
JP4912016B2 (en) | 2005-05-23 | 2012-04-04 | ルネサスエレクトロニクス株式会社 | Semiconductor memory device |
KR20080018905A (en) | 2005-05-26 | 2008-02-28 | 엔엑스피 비 브이 | Electronic device |
US7492013B2 (en) | 2005-06-27 | 2009-02-17 | International Business Machines Corporation | Systems and arrangements to interconnect components of a semiconductor device |
US8405216B2 (en) | 2005-06-29 | 2013-03-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect structure for integrated circuits |
WO2007002799A1 (en) | 2005-06-29 | 2007-01-04 | Lightspeed Logic, Inc. | Methods and systems for placement |
JP2007012855A (en) | 2005-06-30 | 2007-01-18 | Matsushita Electric Ind Co Ltd | Semiconductor integrated circuit, design method and design equipment thereof standard cell, and standard cell library |
US7236396B2 (en) | 2005-06-30 | 2007-06-26 | Texas Instruments Incorporated | Area efficient implementation of small blocks in an SRAM array |
JP2007013060A (en) | 2005-07-04 | 2007-01-18 | Matsushita Electric Ind Co Ltd | Semiconductor device |
JP2007018588A (en) | 2005-07-06 | 2007-01-25 | Toshiba Corp | Semiconductor storage device and method of driving the semiconductor storage device |
US7235424B2 (en) | 2005-07-14 | 2007-06-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and apparatus for enhanced CMP planarization using surrounded dummy design |
EP1917715A2 (en) | 2005-07-22 | 2008-05-07 | Nanopower Technologies, Inc. | High sensitivity rfid tag integrated circuits |
US7404154B1 (en) | 2005-07-25 | 2008-07-22 | Lsi Corporation | Basic cell architecture for structured application-specific integrated circuits |
US7749662B2 (en) | 2005-10-07 | 2010-07-06 | Globalfoundries Inc. | Process margin using discrete assist features |
US7485934B2 (en) | 2005-10-25 | 2009-02-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated semiconductor structure for SRAM cells |
JP4796817B2 (en) | 2005-10-31 | 2011-10-19 | エルピーダメモリ株式会社 | Basic cell design method, layout design method, design apparatus, and program |
US7569309B2 (en) | 2005-11-09 | 2009-08-04 | Texas Instruments Incorporated | Gate critical dimension variation by use of ghost features |
US7527900B2 (en) | 2005-11-10 | 2009-05-05 | United Microelectronics Corp. | Reticle and optical proximity correction method |
JP2007141971A (en) | 2005-11-15 | 2007-06-07 | Matsushita Electric Ind Co Ltd | Designing method of semiconductor integrated circuit |
DE102006027178A1 (en) | 2005-11-21 | 2007-07-05 | Infineon Technologies Ag | A multi-fin device array and method of fabricating a multi-fin device array |
WO2007063990A1 (en) | 2005-12-02 | 2007-06-07 | Nec Corporation | Semiconductor device and method for manufacturing same |
US7543262B2 (en) | 2005-12-06 | 2009-06-02 | Cadence Design Systems, Inc. | Analog layout module generator and method |
US7512017B2 (en) | 2005-12-21 | 2009-03-31 | Intel Corporation | Integration of planar and tri-gate devices on the same substrate |
EP1804282A1 (en) | 2005-12-29 | 2007-07-04 | Interuniversitair Microelektronica Centrum vzw ( IMEC) | Methods for manufacturing dense integrated circuits |
US7640522B2 (en) | 2006-01-14 | 2009-12-29 | Tela Innovations, Inc. | Method and system for placing layout objects in a standard-cell layout |
JP5091462B2 (en) | 2006-01-19 | 2012-12-05 | パナソニック株式会社 | Cell and semiconductor device |
JP4675249B2 (en) | 2006-02-07 | 2011-04-20 | パナソニック株式会社 | Position-dependent variation calculation method and circuit analysis method |
US7469401B2 (en) | 2006-02-22 | 2008-12-23 | International Business Machines Corporation | Method for using partitioned masks to build a chip |
JP4644614B2 (en) | 2006-02-27 | 2011-03-02 | 富士通セミコンダクター株式会社 | Layout editor device, wiring display method, and wiring display program |
JP4791855B2 (en) | 2006-02-28 | 2011-10-12 | 株式会社東芝 | Semiconductor memory device |
US7763534B2 (en) | 2007-10-26 | 2010-07-27 | Tela Innovations, Inc. | Methods, structures and designs for self-aligning local interconnects used in integrated circuits |
US9009641B2 (en) | 2006-03-09 | 2015-04-14 | Tela Innovations, Inc. | Circuits with linear finfet structures |
US9563733B2 (en) | 2009-05-06 | 2017-02-07 | Tela Innovations, Inc. | Cell circuit and layout with linear finfet structures |
US7956421B2 (en) | 2008-03-13 | 2011-06-07 | Tela Innovations, Inc. | Cross-coupled transistor layouts in restricted gate level layout architecture |
US8839175B2 (en) | 2006-03-09 | 2014-09-16 | Tela Innovations, Inc. | Scalable meta-data objects |
US9035359B2 (en) * | 2006-03-09 | 2015-05-19 | Tela Innovations, Inc. | Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods |
US8245180B2 (en) | 2006-03-09 | 2012-08-14 | Tela Innovations, Inc. | Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same |
US8225239B2 (en) | 2006-03-09 | 2012-07-17 | Tela Innovations, Inc. | Methods for defining and utilizing sub-resolution features in linear topology |
US8658542B2 (en) | 2006-03-09 | 2014-02-25 | Tela Innovations, Inc. | Coarse grid design methods and structures |
US8247846B2 (en) | 2006-03-09 | 2012-08-21 | Tela Innovations, Inc. | Oversized contacts and vias in semiconductor chip defined by linearly constrained topology |
US8214778B2 (en) | 2007-08-02 | 2012-07-03 | Tela Innovations, Inc. | Methods for cell phasing and placement in dynamic array architecture and implementation of the same |
JP2007265179A (en) | 2006-03-29 | 2007-10-11 | Fujitsu Ltd | Layout verification method, and layout verification unit |
JP4882455B2 (en) | 2006-03-31 | 2012-02-22 | 富士通セミコンダクター株式会社 | Unit cell of semiconductor integrated circuit, wiring method using unit cell, and wiring program |
US7437691B2 (en) | 2006-04-11 | 2008-10-14 | International Business Machines Corporation | VLSI artwork legalization for hierarchical designs with multiple grid constraints |
JP5579959B2 (en) | 2006-04-18 | 2014-08-27 | ピーエスフォー ルクスコ エスエイアールエル | Semiconductor device |
US7407890B2 (en) | 2006-04-21 | 2008-08-05 | International Business Machines Corporation | Patterning sub-lithographic features with variable widths |
US7941776B2 (en) | 2006-05-26 | 2011-05-10 | Open-Silicon Inc. | Method of IC design optimization via creation of design-specific cells from post-layout patterns |
WO2007149004A1 (en) | 2006-06-13 | 2007-12-27 | Freescale Semiconductor, Inc. | Methods and apparatus for simulating distributed effects |
US7317339B1 (en) | 2006-06-16 | 2008-01-08 | Via Technologies, Inc. | N-domino register with accelerated non-discharge path |
US7459792B2 (en) | 2006-06-19 | 2008-12-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Via layout with via groups placed in interlocked arrangement |
JP2008004796A (en) | 2006-06-23 | 2008-01-10 | Matsushita Electric Ind Co Ltd | Semiconductor device and circuit element layout method |
US7763932B2 (en) | 2006-06-29 | 2010-07-27 | International Business Machines Corporation | Multi-bit high-density memory device and architecture and method of fabricating multi-bit high-density memory devices |
US7739627B2 (en) | 2006-07-05 | 2010-06-15 | Chew Marko P | System and method of maximizing integrated circuit manufacturing yield with context-dependent yield cells |
JP2008027940A (en) | 2006-07-18 | 2008-02-07 | Matsushita Electric Ind Co Ltd | Design method for semiconductor integrated circuit and circuit simulation method |
US7966579B2 (en) | 2006-08-04 | 2011-06-21 | Infineon Technologies Ag | Methods of optical proximity correction |
WO2008015111A2 (en) | 2006-08-04 | 2008-02-07 | Sagantec Israel Ltd | Method and system for adapting a circuit layout to a predefined grid |
US7873929B2 (en) | 2006-08-14 | 2011-01-18 | The Regents Of The University Of California | Method, apparatus and system for designing an integrated circuit including generating at least one auxiliary pattern for cell-based optical proximity correction |
TW200811704A (en) | 2006-08-31 | 2008-03-01 | Univ Nat Yunlin Sci & Tech | Full adder of complementary type carry logic voltage compensator |
KR100773353B1 (en) | 2006-09-26 | 2007-11-05 | 삼성전자주식회사 | Semiconductor devices having substrate plug and methods of forming the same |
JP4362785B2 (en) | 2006-09-28 | 2009-11-11 | エルピーダメモリ株式会社 | Manufacturing method of semiconductor device |
JP4814044B2 (en) | 2006-10-05 | 2011-11-09 | ルネサスエレクトロニクス株式会社 | Pattern design method |
US8230379B2 (en) | 2006-10-20 | 2012-07-24 | Kabushiki Kaisha Toshiba | Layout generating method for semiconductor integrated circuits |
JP2008103610A (en) | 2006-10-20 | 2008-05-01 | Matsushita Electric Ind Co Ltd | Wiring structure for semiconductor integrated circuit, its design method, and design device |
US7774739B2 (en) | 2006-11-30 | 2010-08-10 | Texas Instruments Incorporated | Methods for adjusting shifter width of an alternating phase shifter having variable width |
US8378407B2 (en) | 2006-12-07 | 2013-02-19 | Tower Semiconductor, Ltd. | Floating gate inverter type memory cell and array |
US8178905B2 (en) | 2007-01-12 | 2012-05-15 | Panasonic Corporation | Layout structure of semiconductor device |
US7535751B2 (en) | 2007-02-12 | 2009-05-19 | Taiwan Semioconductor Manufacturing Co., Ltd. | Dual-port SRAM device |
JP5217180B2 (en) | 2007-02-20 | 2013-06-19 | 富士通セミコンダクター株式会社 | Method for manufacturing electrostatic discharge protection device |
US8667443B2 (en) | 2007-03-05 | 2014-03-04 | Tela Innovations, Inc. | Integrated circuit cell library for multiple patterning |
US7578368B2 (en) * | 2007-03-07 | 2009-08-25 | Foxconn Technology Co., Ltd. | Speaker set for electronic product |
KR100911187B1 (en) | 2007-03-13 | 2009-08-06 | 주식회사 하이닉스반도체 | Latch Structure And Bit Line Sense Amp Having The Same |
US7575973B2 (en) | 2007-03-27 | 2009-08-18 | Sandisk 3D Llc | Method of making three dimensional NAND memory |
US7543252B2 (en) | 2007-03-28 | 2009-06-02 | International Business Machines Corporation | Migration of integrated circuit layout for alternating phase shift masks |
US7791109B2 (en) | 2007-03-29 | 2010-09-07 | International Business Machines Corporation | Metal silicide alloy local interconnect |
US7757196B2 (en) | 2007-04-04 | 2010-07-13 | Cisco Technology, Inc. | Optimizing application specific integrated circuit pinouts for high density interconnect printed circuit boards |
US7723786B2 (en) | 2007-04-11 | 2010-05-25 | Ronald Kakoschke | Apparatus of memory array using FinFETs |
US7964267B1 (en) | 2007-04-13 | 2011-06-21 | Bae Systems Tensylon H.P.M., Inc. | Ballistic-resistant panel including high modulus ultra high molecular weight polyethylene tape |
US7453125B1 (en) | 2007-04-24 | 2008-11-18 | Infineon Technologies Ag | Double mesh finfet |
US7911830B2 (en) | 2007-05-17 | 2011-03-22 | Integrated Magnetoelectronics | Scalable nonvolatile memory |
JP4445521B2 (en) | 2007-06-15 | 2010-04-07 | 株式会社東芝 | Semiconductor device |
US7700466B2 (en) | 2007-07-26 | 2010-04-20 | International Business Machines Corporation | Tunneling effect transistor with self-aligned gate |
US7625790B2 (en) | 2007-07-26 | 2009-12-01 | International Business Machines Corporation | FinFET with sublithographic fin width |
US20090057780A1 (en) | 2007-08-27 | 2009-03-05 | International Business Machines Corporation | Finfet structure including multiple semiconductor fin channel heights |
KR100905157B1 (en) | 2007-09-18 | 2009-06-29 | 주식회사 하이닉스반도체 | Method for forming fine pattern of semiconductor device |
JP2009088085A (en) | 2007-09-28 | 2009-04-23 | Tokyo Electron Ltd | Semiconductor device manufacturing method, semiconductor device manufacturing apparatus, control program, and program storage medium |
JP2009130238A (en) | 2007-11-27 | 2009-06-11 | Fujitsu Microelectronics Ltd | Semiconductor device |
JP5193582B2 (en) | 2007-12-12 | 2013-05-08 | 株式会社東芝 | Manufacturing method of semiconductor device |
JPWO2009078069A1 (en) | 2007-12-14 | 2011-04-28 | 富士通株式会社 | Semiconductor device |
JP2009152368A (en) | 2007-12-20 | 2009-07-09 | Hitachi Ltd | Semiconductor device and method of manufacturing the same |
US9664439B2 (en) | 2007-12-31 | 2017-05-30 | Arcelik Anonim Sirketi | Adjustable shelf for a cooling device |
US7957178B2 (en) | 2008-01-04 | 2011-06-07 | Texas Instruments Incorporated | Storage cell having buffer circuit for driving the bitline |
US7934173B2 (en) | 2008-01-14 | 2011-04-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Reverse dummy insertion algorithm |
US7926001B2 (en) | 2008-01-16 | 2011-04-12 | Cadence Design Systems, Inc. | Uniformity for semiconductor patterning operations |
US7984395B2 (en) | 2008-01-17 | 2011-07-19 | Synopsys, Inc. | Hierarchical compression for metal one logic layer |
US8453094B2 (en) | 2008-01-31 | 2013-05-28 | Tela Innovations, Inc. | Enforcement of semiconductor structure regularity for localized transistors and interconnect |
US8866254B2 (en) | 2008-02-19 | 2014-10-21 | Micron Technology, Inc. | Devices including fin transistors robust to gate shorts and methods of making the same |
US7962878B2 (en) | 2008-02-26 | 2011-06-14 | Infineon Technologies Ag | Method of making an integrated circuit using pre-defined interconnect wiring |
US8423947B2 (en) | 2008-03-13 | 2013-04-16 | International Business Machines Corporation | Gridded glyph geometric objects (L3GO) design method |
US7939443B2 (en) | 2008-03-27 | 2011-05-10 | Tela Innovations, Inc. | Methods for multi-wire routing and apparatus implementing same |
US8173544B2 (en) | 2008-05-02 | 2012-05-08 | Texas Instruments Incorporated | Integrated circuit having interleaved gridded features, mask set and method for printing |
US7958465B2 (en) | 2008-05-08 | 2011-06-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Dummy pattern design for reducing device performance drift |
US7830025B2 (en) | 2008-05-19 | 2010-11-09 | United Microelectronics Corp. | Contact layout structure |
US7853915B2 (en) | 2008-06-24 | 2010-12-14 | Synopsys, Inc. | Interconnect-driven physical synthesis using persistent virtual routing |
US7894685B2 (en) * | 2008-07-01 | 2011-02-22 | Texas Instruments Incorporated | Method and apparatus for reducing ringing artifacts |
WO2010009209A2 (en) * | 2008-07-15 | 2010-01-21 | Bal Seal Engineering | Spring-latched connection for torque transmitting shaft |
US8516819B2 (en) * | 2008-07-16 | 2013-08-27 | Siemens Energy, Inc. | Forward-section resonator for high frequency dynamic damping |
GB0812905D0 (en) * | 2008-07-16 | 2008-08-20 | Rolls Royce Plc | Fuel injection system |
US8176739B2 (en) * | 2008-07-17 | 2012-05-15 | General Electric Company | Coanda injection system for axially staged low emission combustors |
US20100011770A1 (en) * | 2008-07-21 | 2010-01-21 | Ronald James Chila | Gas Turbine Premixer with Cratered Fuel Injection Sites |
US8136072B2 (en) | 2008-11-03 | 2012-03-13 | Arm Limited | Standard cell placement |
US8363455B2 (en) | 2008-12-04 | 2013-01-29 | David Rennie | Eight transistor soft error robust storage cell |
JP2010141047A (en) | 2008-12-10 | 2010-06-24 | Renesas Technology Corp | Semiconductor integrated circuit device and method of manufacturing the same |
US20100187609A1 (en) | 2009-01-27 | 2010-07-29 | Synopsys, Inc. | Boosting transistor performance with non-rectangular channels |
JP2011517069A (en) | 2009-03-06 | 2011-05-26 | カイシン インコーポレイテッド | Leadless integrated circuit package with high density contacts |
US8116121B2 (en) | 2009-03-06 | 2012-02-14 | Kabushiki Kaisha Toshiba | Semiconductor device and manufacturing methods with using non-planar type of transistors |
US8053299B2 (en) | 2009-04-17 | 2011-11-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of fabrication of a FinFET element |
US8076236B2 (en) | 2009-06-01 | 2011-12-13 | Globalfoundries Inc. | SRAM bit cell with self-aligned bidirectional local interconnects |
US8782586B2 (en) | 2009-07-16 | 2014-07-15 | Cadence Design Systems, Inc. | Method, system, and program product for routing an integrated circuit to be manufactured by doubled patterning |
US8294212B2 (en) | 2009-09-18 | 2012-10-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods and apparatus for SRAM bit cell with low standby current, low supply voltage and high speed |
US8675397B2 (en) | 2010-06-25 | 2014-03-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Cell structure for dual-port SRAM |
US8860107B2 (en) | 2010-06-03 | 2014-10-14 | International Business Machines Corporation | FinFET-compatible metal-insulator-metal capacitor |
US8839162B2 (en) | 2010-07-14 | 2014-09-16 | International Business Machines Corporation | Specifying circuit level connectivity during circuit design synthesis |
US8796759B2 (en) * | 2010-07-15 | 2014-08-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin-like field effect transistor (FinFET) device and method of manufacturing same |
US9159627B2 (en) | 2010-11-12 | 2015-10-13 | Tela Innovations, Inc. | Methods for linewidth modification and apparatus implementing the same |
US8418111B2 (en) | 2010-11-24 | 2013-04-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and apparatus for achieving multiple patterning technology compliant design layout |
US8402397B2 (en) | 2011-07-26 | 2013-03-19 | Mentor Graphics Corporation | Hotspot detection based on machine learning |
US8689164B2 (en) | 2011-10-18 | 2014-04-01 | National Taiwan University | Method of analytical placement with weighted-average wirelength model |
US9006841B2 (en) | 2011-12-30 | 2015-04-14 | Stmicroelectronics International N.V. | Dual port SRAM having reduced cell size and rectangular shape |
FR2996950B1 (en) | 2012-10-11 | 2016-01-01 | Dolphin Integration Sa | MEMORY NETWORK BASED ON ROCKETS |
-
2007
- 2007-03-07 US US11/683,402 patent/US7446352B2/en active Active
- 2007-03-08 EP EP07752875.0A patent/EP1999793B1/en not_active Not-in-force
- 2007-03-08 WO PCT/US2007/006207 patent/WO2007103587A2/en active Application Filing
- 2007-03-08 EP EP11188136.3A patent/EP2450953A3/en not_active Withdrawn
- 2007-03-08 JP JP2008558437A patent/JP5260325B2/en not_active Expired - Fee Related
-
2008
- 2008-09-17 US US12/212,562 patent/US7842975B2/en not_active Expired - Fee Related
-
2009
- 2009-09-16 US US12/561,243 patent/US7989848B2/en not_active Expired - Fee Related
- 2009-09-16 US US12/561,224 patent/US7948012B2/en not_active Expired - Fee Related
- 2009-09-16 US US12/561,234 patent/US7989847B2/en not_active Expired - Fee Related
- 2009-09-16 US US12/561,246 patent/US8035133B2/en not_active Expired - Fee Related
- 2009-09-16 US US12/561,229 patent/US7943966B2/en not_active Expired - Fee Related
- 2009-09-16 US US12/561,247 patent/US8022441B2/en not_active Expired - Fee Related
- 2009-09-16 US US12/561,207 patent/US7932544B2/en not_active Expired - Fee Related
- 2009-09-16 US US12/561,220 patent/US7952119B2/en not_active Expired - Fee Related
- 2009-09-16 US US12/561,216 patent/US7906801B2/en not_active Expired - Fee Related
- 2009-09-18 US US12/563,056 patent/US8030689B2/en not_active Expired - Fee Related
- 2009-09-18 US US12/563,051 patent/US8058671B2/en not_active Expired - Fee Related
- 2009-09-18 US US12/563,061 patent/US8072003B2/en not_active Expired - Fee Related
- 2009-09-18 US US12/563,031 patent/US7910958B2/en not_active Expired - Fee Related
- 2009-09-18 US US12/563,076 patent/US8258547B2/en active Active
- 2009-09-18 US US12/563,074 patent/US8088679B2/en not_active Expired - Fee Related
- 2009-09-18 US US12/563,063 patent/US8089098B2/en not_active Expired - Fee Related
- 2009-09-18 US US12/563,066 patent/US7923757B2/en not_active Expired - Fee Related
- 2009-09-18 US US12/563,077 patent/US8089099B2/en not_active Expired - Fee Related
- 2009-09-25 US US12/567,623 patent/US8207053B2/en active Active
- 2009-09-25 US US12/567,586 patent/US8089101B2/en not_active Expired - Fee Related
- 2009-09-25 US US12/567,609 patent/US8089103B2/en not_active Expired - Fee Related
- 2009-09-25 US US12/567,597 patent/US8089102B2/en not_active Expired - Fee Related
- 2009-09-25 US US12/567,528 patent/US8089100B2/en not_active Expired - Fee Related
- 2009-09-25 US US12/567,641 patent/US8134184B2/en not_active Expired - Fee Related
- 2009-09-25 US US12/567,555 patent/US8129751B2/en not_active Expired - Fee Related
- 2009-09-25 US US12/567,542 patent/US8129750B2/en not_active Expired - Fee Related
- 2009-09-25 US US12/567,616 patent/US8134183B2/en not_active Expired - Fee Related
- 2009-09-25 US US12/567,654 patent/US8134185B2/en not_active Expired - Fee Related
- 2009-09-25 US US12/567,602 patent/US8101975B2/en not_active Expired - Fee Related
- 2009-09-25 US US12/567,630 patent/US7948013B2/en not_active Expired - Fee Related
- 2009-09-25 US US12/567,648 patent/US8129753B2/en not_active Expired - Fee Related
- 2009-09-25 US US12/567,634 patent/US8129819B2/en not_active Expired - Fee Related
- 2009-09-25 US US12/567,565 patent/US8129752B2/en not_active Expired - Fee Related
- 2009-09-25 US US12/567,574 patent/US8110854B2/en not_active Expired - Fee Related
- 2009-09-30 US US12/571,351 patent/US8217428B2/en active Active
- 2009-09-30 US US12/571,343 patent/US8129754B2/en not_active Expired - Fee Related
- 2009-09-30 US US12/571,357 patent/US8198656B2/en active Active
- 2009-10-01 US US12/572,061 patent/US8138525B2/en active Active
- 2009-10-01 US US12/572,068 patent/US8258550B2/en active Active
- 2009-10-01 US US12/572,225 patent/US8436400B2/en active Active
- 2009-10-01 US US12/572,229 patent/US8264009B2/en active Active
- 2009-10-01 US US12/571,998 patent/US8258548B2/en active Active
- 2009-10-01 US US12/572,046 patent/US8264007B2/en active Active
- 2009-10-01 US US12/572,212 patent/US8264008B2/en active Active
- 2009-10-01 US US12/572,011 patent/US8253172B2/en active Active
- 2009-10-01 US US12/572,022 patent/US8253173B2/en active Active
- 2009-10-01 US US12/572,201 patent/US8089104B2/en not_active Expired - Fee Related
- 2009-10-01 US US12/572,232 patent/US8088682B2/en not_active Expired - Fee Related
- 2009-10-01 US US12/572,228 patent/US8258551B2/en active Active
- 2009-10-01 US US12/572,194 patent/US8088681B2/en not_active Expired - Fee Related
- 2009-10-01 US US12/572,239 patent/US8129757B2/en not_active Expired - Fee Related
- 2009-10-01 US US12/572,221 patent/US8129756B2/en not_active Expired - Fee Related
- 2009-10-01 US US12/572,077 patent/US7910959B2/en not_active Expired - Fee Related
- 2009-10-01 US US12/572,091 patent/US8088680B2/en not_active Expired - Fee Related
- 2009-10-01 US US12/572,218 patent/US8129755B2/en not_active Expired - Fee Related
- 2009-10-01 US US12/572,243 patent/US8258552B2/en active Active
- 2009-10-01 US US12/572,055 patent/US8258549B2/en active Active
- 2009-10-01 US US12/572,237 patent/US8134186B2/en not_active Expired - Fee Related
-
2013
- 2013-02-20 JP JP2013031124A patent/JP5638642B2/en not_active Expired - Fee Related
- 2013-02-22 US US13/774,970 patent/US8952425B2/en not_active Expired - Fee Related
- 2013-02-22 US US13/774,954 patent/US20130168778A1/en not_active Abandoned
- 2013-02-22 US US13/774,919 patent/US20130161760A1/en not_active Abandoned
- 2013-02-22 US US13/774,940 patent/US20130168777A1/en not_active Abandoned
- 2013-03-14 US US13/827,615 patent/US8823062B2/en not_active Expired - Fee Related
- 2013-03-14 US US13/827,755 patent/US8921896B2/en not_active Expired - Fee Related
- 2013-03-15 US US13/837,123 patent/US8946781B2/en not_active Expired - Fee Related
- 2013-03-15 US US13/834,302 patent/US8921897B2/en not_active Expired - Fee Related
-
2015
- 2015-05-13 US US14/711,731 patent/US9443947B2/en active Active
- 2015-06-04 US US14/731,316 patent/US9425272B2/en active Active
- 2015-11-19 US US14/946,292 patent/US9425273B2/en active Active
-
2016
- 2016-09-12 US US15/263,282 patent/US20160379991A1/en not_active Abandoned
- 2016-11-04 US US15/344,452 patent/US20170053937A1/en not_active Abandoned
-
2017
- 2017-03-13 US US15/457,920 patent/US20170186771A1/en not_active Abandoned
- 2017-08-28 US US15/688,187 patent/US10141334B2/en not_active Expired - Fee Related
- 2017-09-06 US US15/696,651 patent/US10141335B2/en not_active Expired - Fee Related
- 2017-09-06 US US15/696,728 patent/US9905576B2/en not_active Expired - Fee Related
-
2018
- 2018-08-31 US US16/119,757 patent/US20190019810A1/en not_active Abandoned
- 2018-08-31 US US16/119,727 patent/US10217763B2/en not_active Expired - Fee Related
- 2018-08-31 US US16/119,794 patent/US10186523B2/en not_active Expired - Fee Related
- 2018-08-31 US US16/119,676 patent/US20180374871A1/en not_active Abandoned
Patent Citations (404)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4197555A (en) | 1975-12-29 | 1980-04-08 | Fujitsu Limited | Semiconductor device |
US4417161A (en) | 1980-09-04 | 1983-11-22 | Matsushita Electric Industrial Co., Ltd. | Complementary channel type MOS transistor exclusive OR/NOR logic gate circuit |
US4424460A (en) | 1981-07-14 | 1984-01-03 | Rockwell International Corporation | Apparatus and method for providing a logical exclusive OR/exclusive NOR function |
US4682202A (en) * | 1983-07-29 | 1987-07-21 | Fujitsu Limited | Master slice IC device |
US5121186A (en) | 1984-06-15 | 1992-06-09 | Hewlett-Packard Company | Integrated circuit device having improved junction connections |
US5097422A (en) | 1986-10-10 | 1992-03-17 | Cascade Design Automation Corporation | Method and apparatus for designing integrated circuits |
US5224057A (en) | 1989-02-28 | 1993-06-29 | Kabushiki Kaisha Toshiba | Arrangement method for logic cells in semiconductor IC device |
US6100025A (en) | 1990-04-20 | 2000-08-08 | Cold Spring Harbor Laboratory | Cloning by complementation and related processes |
US5977305A (en) | 1990-04-20 | 1999-11-02 | Cold Spring Harbor Laboratories | Cloning by complementation and related processes |
US5208765A (en) | 1990-07-20 | 1993-05-04 | Advanced Micro Devices, Inc. | Computer-based method and system for product development |
US5471403A (en) | 1991-03-08 | 1995-11-28 | Mitsubishi Denki Kabushiki Kaisha | Method for predicting the three-dimensional topography of surfaces of semiconductor devices after reflow processing |
US5242770A (en) | 1992-01-16 | 1993-09-07 | Microunity Systems Engineering, Inc. | Mask for photolithography |
US5908827A (en) | 1992-12-22 | 1999-06-01 | Applied Research Systems Ars Holding N.V. | Protein from urine named component B |
US5497334A (en) | 1993-02-19 | 1996-03-05 | International Business Machines Corporation | Application generator for use in verifying a hierarchical circuit design |
US6255600B1 (en) | 1993-03-01 | 2001-07-03 | The Board Of Trustees Of The University Of Arkansas | Electronic interconnection medium having offset electrical mesh plane |
US5378649A (en) | 1994-04-08 | 1995-01-03 | United Microelectronics Corporation | Process for producing non-volatile memory devices having closely spaced buried bit lines and non-overlapping code implant areas |
US5636002A (en) | 1994-04-29 | 1997-06-03 | Lucent Technologies Inc. | Auxiliary mask features for enhancing the resolution of photolithography |
US5745374A (en) | 1994-07-22 | 1998-04-28 | Kabushiki Kaisha Toshiba | Layout method for semiconductor integrated circuit and layout apparatus for semiconductor integrated circuit |
US5796624A (en) | 1994-09-16 | 1998-08-18 | Research Foundation Of State University Of New York | Method and apparatus for designing circuits for wave pipelining |
US5497337A (en) | 1994-10-21 | 1996-03-05 | International Business Machines Corporation | Method for designing high-Q inductors in silicon technology without expensive metalization |
US5852562A (en) | 1994-12-13 | 1998-12-22 | Matsushita Electric Industrial Co., Ltd. | Method and apparatus for designing an LSI layout utilizing cells having a predetermined wiring height in order to reduce wiring zones |
US5838594A (en) | 1995-02-24 | 1998-11-17 | Fujitsu Limited | Method and apparatus for generating finite element meshes, and analyzing method and apparatus |
US6425117B1 (en) | 1995-03-06 | 2002-07-23 | Lsi Logic Corporation | System and method for performing optical proximity correction on the interface between optical proximity corrected cells |
US5682323A (en) | 1995-03-06 | 1997-10-28 | Lsi Logic Corporation | System and method for performing optical proximity correction on macrocell libraries |
US5581098A (en) | 1995-05-05 | 1996-12-03 | Circuit Integration Technology, Inc. | Circuit routing structure using fewer variable masks |
US5973507A (en) | 1995-06-13 | 1999-10-26 | Fujitsu Limited | Exclusive-or gate for use in delay using transmission gate circuitry |
US5841663A (en) | 1995-09-14 | 1998-11-24 | Vlsi Technology, Inc. | Apparatus and method for synthesizing integrated circuits using parameterized HDL modules |
US6084437A (en) | 1995-09-22 | 2000-07-04 | Kawasaki Steel Corporation | Logic circuit utilizing pass transistors and logic gate |
US5923059A (en) | 1995-11-14 | 1999-07-13 | In-Chip Systems, Inc. | Integrated circuit cell architecture and routing scheme |
US5898194A (en) | 1995-11-14 | 1999-04-27 | Inchip Systems, Inc. | Integrated circuit cell architecture and routing scheme |
US5723883A (en) | 1995-11-14 | 1998-03-03 | In-Chip | Gate array cell architecture and routing scheme |
US5825203A (en) | 1995-11-28 | 1998-10-20 | Hitachi, Ltd. | Variable logic integrated circuit device having connections through switch matrix and top layers for inter-cell connections |
US6661041B2 (en) | 1996-01-26 | 2003-12-09 | Micron Technology, Inc. | Digitline architecture for dynamic memory |
US6269472B1 (en) | 1996-02-27 | 2001-07-31 | Lsi Logic Corporation | Optical proximity correction method and apparatus |
US5705301A (en) | 1996-02-27 | 1998-01-06 | Lsi Logic Corporation | Performing optical proximity correction with the aid of design rule checkers |
US5740068A (en) | 1996-05-30 | 1998-04-14 | International Business Machines Corporation | Fidelity enhancement of lithographic and reactive-ion-etched images by optical proximity correction |
US5935763A (en) | 1996-06-11 | 1999-08-10 | International Business Machines Corporation | Self-aligned pattern over a reflective layer |
US6026223A (en) | 1996-06-28 | 2000-02-15 | Scepanovic; Ranko | Advanced modular cell placement system with overlap remover with minimal noise |
US5847421A (en) | 1996-07-15 | 1998-12-08 | Kabushiki Kaisha Toshiba | Logic cell having efficient optical proximity effect correction |
US6194252B1 (en) | 1996-07-15 | 2001-02-27 | Kabushiki Kaisha Toshiba | Semiconductor device and manufacturing method for the same, basic cell library and manufacturing method for the same, and mask |
US5790417A (en) | 1996-09-25 | 1998-08-04 | Taiwan Semiconductor Manufacturing Company Ltd. | Method of automatic dummy layout generation |
US5684733A (en) | 1996-09-30 | 1997-11-04 | Holtek Microelectronics, Inc. | Fixed resistance high density parallel ROM device |
US6209123B1 (en) | 1996-11-01 | 2001-03-27 | Motorola, Inc. | Methods of placing transistors in a circuit layout and semiconductor device with automatically placed transistors |
US6099584A (en) | 1996-12-06 | 2000-08-08 | Vsli Technology, Inc. | System to fix post-layout timing and design rules violations |
US5929469A (en) | 1996-12-25 | 1999-07-27 | Kabushiki Kaisha Toshiba | Contact holes of a different pitch in an application specific integrated circuit |
US6037617A (en) | 1997-02-03 | 2000-03-14 | Nec Corporation | SOI IGFETs having raised integration level |
US5900340A (en) | 1997-03-03 | 1999-05-04 | Motorola, Inc. | One dimensional lithographic proximity correction using DRC shape functions |
US6393601B1 (en) | 1997-04-14 | 2002-05-21 | Matsushita Electric Industrial Co., Ltd. | Layout designing apparatus for integrated circuit, transistor size determining apparatus, circuit characteristic evaluating method, and transistor size determining method |
US6232173B1 (en) | 1997-04-14 | 2001-05-15 | International Business Machines Corporation | Process for forming a memory structure that includes NVRAM, DRAM, and/or SRAM memory structures on one substrate and process for forming a new NVRAM cell structure |
US6282696B1 (en) | 1997-08-15 | 2001-08-28 | Lsi Logic Corporation | Performing optical proximity correction with the aid of design rule checkers |
US5858580A (en) | 1997-09-17 | 1999-01-12 | Numerical Technologies, Inc. | Phase shifting circuit manufacture method and apparatus |
US6470489B1 (en) | 1997-09-17 | 2002-10-22 | Numerical Technologies, Inc. | Design rule checking system and method |
US6370679B1 (en) | 1997-09-17 | 2002-04-09 | Numerical Technologies, Inc. | Data hierarchy layout correction and verification method and apparatus |
US6009251A (en) | 1997-09-30 | 1999-12-28 | Synopsys, Inc. | Method and system for layout verification of an integrated circuit design with reusable subdesigns |
US6114071A (en) | 1997-11-24 | 2000-09-05 | Asml Masktools Netherlands B.V. | Method of fine feature edge tuning with optically-halftoned mask |
US6249902B1 (en) | 1998-01-09 | 2001-06-19 | Silicon Perspective Corporation | Design hierarchy-based placement |
US6571140B1 (en) | 1998-01-15 | 2003-05-27 | Eutech Cybernetics Pte Ltd. | Service-oriented community agent |
US6091845A (en) | 1998-02-24 | 2000-07-18 | Micron Technology, Inc. | Inspection technique of photomask |
US6230299B1 (en) | 1998-03-31 | 2001-05-08 | Mentor Graphics Corporation | Method and apparatus for extracting and storing connectivity and geometrical data for a deep sub-micron integrated circuit design |
US6378110B1 (en) | 1998-03-31 | 2002-04-23 | Synopsys, Inc. | Layer-based rule checking for an integrated circuit layout |
US6388296B1 (en) | 1998-06-04 | 2002-05-14 | Sharp Laboratories Of America, Inc. | CMOS self-aligned strapped interconnection |
US6262487B1 (en) | 1998-06-23 | 2001-07-17 | Kabushiki Kaisha Toshiba | Semiconductor integrated circuit device, semiconductor integrated circuit wiring method, and cell arranging method |
US6063132A (en) | 1998-06-26 | 2000-05-16 | International Business Machines Corporation | Method for verifying design rule checking software |
US6480989B2 (en) | 1998-06-29 | 2002-11-12 | Lsi Logic Corporation | Integrated circuit design incorporating a power mesh |
US6714903B1 (en) | 1998-07-10 | 2004-03-30 | Lsi Logic Corporation | Placement and routing of circuits using a combined processing/buffer cell |
US6240542B1 (en) | 1998-07-14 | 2001-05-29 | Lsi Logic Corporation | Poly routing for chip interconnects with minimal impact on chip performance |
US6182272B1 (en) | 1998-07-16 | 2001-01-30 | Lsi Logic Corporation | Metal layer assignment |
US20030088839A1 (en) | 1998-09-29 | 2003-05-08 | Kabushiki Kaisha Toshiba | Method of designing integrated circuit and apparatus for designing integrated circuit |
US6543039B1 (en) | 1998-09-29 | 2003-04-01 | Kabushiki Kaisha Toshiba | Method of designing integrated circuit and apparatus for designing integrated circuit |
US6335250B1 (en) | 1998-10-05 | 2002-01-01 | Kabushiki Kaisha Toshiba | Semiconductor device and method for the manufacture thereof |
US6338972B1 (en) | 1998-10-30 | 2002-01-15 | Lsi Logic Corporation | Off-grid metal layer utilization |
US6174742B1 (en) | 1998-10-30 | 2001-01-16 | Lsi Logic Corporation | Off-grid metal layer utilization |
US6275973B1 (en) | 1998-10-30 | 2001-08-14 | Lsi Logic Corporation | Integrated circuit design with delayed cell selection |
US6166415A (en) | 1998-11-02 | 2000-12-26 | Mitsubishi Denki Kabushiki Kaisha | Semiconductor device with improved noise resistivity |
US6477695B1 (en) | 1998-12-09 | 2002-11-05 | Artisan Components, Inc. | Methods for designing standard cell transistor structures |
US6588005B1 (en) | 1998-12-11 | 2003-07-01 | Hitachi, Ltd. | Method of manufacturing semiconductor integrated circuit device |
US7103870B2 (en) | 1999-03-04 | 2006-09-05 | Matsushita Electric Industrial Co., Ltd. | Method for planning layout for LSI pattern, method for forming LSI pattern and method for generating mask data for LSI |
US6691297B1 (en) | 1999-03-04 | 2004-02-10 | Matsushita Electric Industrial Co., Ltd. | Method for planning layout for LSI pattern, method for forming LSI pattern and method for generating mask data for LSI |
US6194912B1 (en) | 1999-03-11 | 2001-02-27 | Easic Corporation | Integrated circuit device |
US6044007A (en) | 1999-03-24 | 2000-03-28 | Advanced Micro Devices, Inc. | Modification of mask layout data to improve writeability of OPC |
US6505328B1 (en) | 1999-04-27 | 2003-01-07 | Magma Design Automation, Inc. | Method for storing multiple levels of design data in a common database |
US6492066B1 (en) | 1999-05-28 | 2002-12-10 | Advanced Micro Devices, Inc. | Characterization and synthesis of OPC structures by fourier space analysis and/or wavelet transform expansion |
US6425112B1 (en) | 1999-06-17 | 2002-07-23 | International Business Machines Corporation | Auto correction of error checked simulated printed images |
US6643831B2 (en) | 1999-07-09 | 2003-11-04 | Sequence Design, Inc. | Method and system for extraction of parasitic interconnect impedance including inductance |
US6525350B1 (en) | 1999-07-16 | 2003-02-25 | Kawasaki Steel Corporation | Semiconductor integrated circuit basic cell semiconductor integrated circuit using the same |
US6476493B2 (en) | 1999-08-10 | 2002-11-05 | Easic Corp | Semiconductor device |
US6331733B1 (en) | 1999-08-10 | 2001-12-18 | Easic Corporation | Semiconductor device |
US6436805B1 (en) | 1999-09-01 | 2002-08-20 | Micron Technology, Inc. | Local interconnect structures and methods for making the same |
US6496965B1 (en) | 1999-09-20 | 2002-12-17 | Magma Design Automation, Inc. | Automated design of parallel drive standard cells |
US6194104B1 (en) | 1999-10-12 | 2001-02-27 | Taiwan Semiconductor Manufacturing Company | Optical proximity correction (OPC) method for improving lithography process window |
US6737347B1 (en) | 1999-10-20 | 2004-05-18 | Texas Instruments Incorporated | Semiconductor device with fully self-aligned local interconnects, and method for fabricating the device |
US6426269B1 (en) | 1999-10-21 | 2002-07-30 | International Business Machines Corporation | Dummy feature reduction using optical proximity effect correction |
US6795952B1 (en) | 1999-11-18 | 2004-09-21 | Pdf Solutions, Inc. | System and method for product yield prediction using device and process neighborhood characterization vehicle |
US6834375B1 (en) | 1999-11-18 | 2004-12-21 | Pdf Solutions, Inc. | System and method for product yield prediction using a logic characterization vehicle |
US6700405B1 (en) | 1999-12-03 | 2004-03-02 | Sony Corporation | Logic circuit and full adder using the same |
US6421820B1 (en) | 1999-12-13 | 2002-07-16 | Infineon Technologies Ag | Semiconductor device fabrication using a photomask with assist features |
US6303252B1 (en) | 1999-12-27 | 2001-10-16 | United Microelectronics Corp. | Reticle having assist feature between semi-dense lines |
US6737199B1 (en) | 2000-01-31 | 2004-05-18 | Taiwan Semiconductor Manufacturing Company | Using new pattern fracturing rules for optical proximity correction mask-making to improve critical dimension uniformity |
US6819136B2 (en) | 2000-03-10 | 2004-11-16 | Easic Corporation | Customizable and programmable cell array |
US6536028B1 (en) | 2000-03-14 | 2003-03-18 | Ammocore Technologies, Inc. | Standard block architecture for integrated circuit design |
US6356112B1 (en) | 2000-03-28 | 2002-03-12 | Translogic Technology, Inc. | Exclusive or/nor circuit |
US6553544B2 (en) | 2000-04-04 | 2003-04-22 | Matsushita Electric Industrial Co., Ltd. | Method for design of partial circuit |
US6620561B2 (en) | 2000-04-27 | 2003-09-16 | Micron Technology Inc | Method for designing photolithographic reticle layout, reticle, and photolithographic process |
US6416907B1 (en) | 2000-04-27 | 2002-07-09 | Micron Technology, Inc. | Method for designing photolithographic reticle layout, reticle, and photolithographic process |
US6509952B1 (en) | 2000-05-23 | 2003-01-21 | Silicon Valley Group, Inc. | Method and system for selective linewidth optimization during a lithographic process |
US6610607B1 (en) | 2000-05-25 | 2003-08-26 | International Business Machines Corporation | Method to define and tailor process limited lithographic features using a modified hard mask process |
US6415421B2 (en) | 2000-06-13 | 2002-07-02 | Mentor Graphics Corporation | Integrated verification and manufacturability tool |
US6571379B2 (en) | 2000-06-26 | 2003-05-27 | Nec Electronics Corporation | Semiconductor integrated circuit and semiconductor integrated circuit wiring layout method |
US7225423B2 (en) | 2000-06-30 | 2007-05-29 | Zenasis Technologies, Inc. | Method for automated design of integrated circuits with targeted quality objectives using dynamically generated building blocks |
US6978436B2 (en) | 2000-07-05 | 2005-12-20 | Synopsys, Inc. | Design data format and hierarchy management for phase processing |
US7132203B2 (en) | 2000-07-05 | 2006-11-07 | Synopsys, Inc. | Phase shift masking for complex patterns with proximity adjustments |
US7028285B2 (en) | 2000-07-05 | 2006-04-11 | Synopsys, Inc. | Standard cell design incorporating phase information |
US6635935B2 (en) | 2000-07-10 | 2003-10-21 | Mitsubishi Denki Kabushiki Kaisha | Semiconductor device cell having regularly sized and arranged features |
US6516459B1 (en) | 2000-07-10 | 2003-02-04 | Mentor Graphics Corporation | Integrated circuit design correction using fragment correspondence |
US20050136340A1 (en) | 2000-07-21 | 2005-06-23 | Asml Netherlands B.V. | Lithographic apparatus and methods, patterning structure and method for making a patterning structure, device manufacturing method, and device manufactured thereby |
US20030061592A1 (en) | 2000-08-02 | 2003-03-27 | Numerical Technologies, Inc. | General purpose shape-based layout processing scheme for IC layout modifications |
US6777138B2 (en) | 2000-09-29 | 2004-08-17 | Numerical Technologies, Inc. | Mask product made by selection of evaluation point locations based on proximity effects model amplitudes for correcting proximity effects in a fabricat layout |
US6968527B2 (en) | 2000-09-29 | 2005-11-22 | Synopsys Inc. | High yield reticle with proximity effect halos |
US6918104B2 (en) | 2000-09-29 | 2005-07-12 | Synopsys, Inc. | Dissection of printed edges from a fabrication layout for correcting proximity effects |
US6978437B1 (en) | 2000-10-10 | 2005-12-20 | Toppan Photomasks, Inc. | Photomask for eliminating antenna effects in an integrated circuit and integrated circuit manufacture with same |
US6992394B2 (en) | 2000-12-28 | 2006-01-31 | Infineon Technologies Ag | Multi-level conductive lines with reduced pitch |
US6553559B2 (en) | 2001-01-05 | 2003-04-22 | International Business Machines Corporation | Method to determine optical proximity correction and assist feature rules which account for variations in mask dimensions |
US6578190B2 (en) | 2001-01-11 | 2003-06-10 | International Business Machines Corporation | Process window based optical proximity correction of lithographic images |
US6850854B2 (en) | 2001-02-23 | 2005-02-01 | Hitachi, Ltd. | Semiconductor production system |
US6591207B2 (en) | 2001-02-23 | 2003-07-08 | Hitachi, Ltd. | Semiconductor production system |
JP2002258463A (en) | 2001-03-05 | 2002-09-11 | Dainippon Printing Co Ltd | Photomask pattern defect inspecting method and detecting method for fine figure pattern |
US6514849B1 (en) | 2001-04-02 | 2003-02-04 | Advanced Micro Devices, Inc. | Method of forming smaller contact size using a spacer hard mask |
US6505327B2 (en) | 2001-04-13 | 2003-01-07 | Numerical Technologies, Inc. | Generating an instance-based representation of a design hierarchy |
US6792593B2 (en) | 2001-04-26 | 2004-09-14 | Kabushiki Kaisha Toshiba | Pattern correction method, apparatus, and program |
US6590289B2 (en) | 2001-05-17 | 2003-07-08 | Lsi Logic Corporation | Hexadecagonal routing |
US6523156B2 (en) | 2001-06-08 | 2003-02-18 | Library Technologies, Inc. | Apparatus and methods for wire load independent logic synthesis and timing closure with constant replacement delay cell libraries |
US20030088842A1 (en) | 2001-06-08 | 2003-05-08 | Library Technologies, Inc. | Apparatus and methods for wire load independent logic synthesis and timing closure with constant replacement delay cell libraries |
US6650014B2 (en) | 2001-06-19 | 2003-11-18 | Nec Electronics Corporation | Semiconductor device |
US6609235B2 (en) | 2001-06-22 | 2003-08-19 | Bae Systems Information And Electronic Systems Integration, Inc. | Method for providing a fill pattern for an integrated circuit design |
US7079989B2 (en) | 2001-06-29 | 2006-07-18 | Shmuel Wimer | Arrangements for automatic re-legging of transistors |
US20050101112A1 (en) | 2001-07-25 | 2005-05-12 | Nantero, Inc. | Methods of nanotubes films and articles |
US7264990B2 (en) | 2001-07-25 | 2007-09-04 | Nantero, Inc. | Methods of nanotubes films and articles |
US7041568B2 (en) | 2001-08-02 | 2006-05-09 | Infineon Technologies Ag | Method for the production of a self-adjusted structure on a semiconductor wafer |
US6745380B2 (en) | 2001-08-31 | 2004-06-01 | Infineon Technologies Ag | Method for optimizing and method for producing a layout for a mask, preferably for use in semiconductor production, and computer program therefor |
US6633182B2 (en) | 2001-09-05 | 2003-10-14 | Carnegie Mellon University | Programmable gate array based on configurable metal interconnect vias |
US20030042930A1 (en) | 2001-09-05 | 2003-03-06 | Larry Pileggi | Programmable gate array based on configurable metal interconnect vias |
US7175940B2 (en) | 2001-10-09 | 2007-02-13 | Asml Masktools B.V. | Method of two dimensional feature model calibration and optimization |
US6673638B1 (en) | 2001-11-14 | 2004-01-06 | Kla-Tencor Corporation | Method and apparatus for the production of process sensitive lithographic features |
US20050087806A1 (en) | 2001-12-25 | 2005-04-28 | Akira Hokazono | Semiconductor device having active regions connected together by interconnect layer and method of manufacture thereof |
US7159197B2 (en) | 2001-12-31 | 2007-01-02 | Synopsys, Inc. | Shape-based geometry engine to perform smoothing and other layout beautification operations |
US6749972B2 (en) | 2002-01-15 | 2004-06-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Optical proximity correction common process window maximization over varying feature pitch |
US6662350B2 (en) | 2002-01-28 | 2003-12-09 | International Business Machines Corporation | FinFET layout generation |
US20030145299A1 (en) | 2002-01-28 | 2003-07-31 | Fried David M. | Finfet layout generation |
US6904582B1 (en) | 2002-02-28 | 2005-06-07 | Dupont Photomasks, Inc. | Photomask for reducing power supply voltage fluctuations in an integrated circuit and integrated circuit manufactured with the same |
US6877144B1 (en) | 2002-02-28 | 2005-04-05 | Dupont Photomasks, Inc. | System and method for generating a mask layout file to reduce power supply voltage fluctuations in an integrated circuit |
US7120882B2 (en) | 2002-03-12 | 2006-10-10 | Kabushiki Kaisha Toshiba | Method of setting process parameter and method of setting process parameter and/or design rule |
US6732338B2 (en) | 2002-03-20 | 2004-05-04 | International Business Machines Corporation | Method for comprehensively verifying design rule checking runsets |
US6765245B2 (en) | 2002-03-25 | 2004-07-20 | Bae Systems Information And Electronic Systems Integration Inc. | Gate array core cell for VLSI ASIC devices |
US6745372B2 (en) | 2002-04-05 | 2004-06-01 | Numerical Technologies, Inc. | Method and apparatus for facilitating process-compliant layout optimization |
US6789246B1 (en) | 2002-04-07 | 2004-09-07 | Barcelona Design, Inc. | Method and apparatus for automatic layout of circuit structures |
US7252909B2 (en) | 2002-04-18 | 2007-08-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method to reduce CD non-uniformity in IC manufacturing |
US6992925B2 (en) | 2002-04-26 | 2006-01-31 | Kilopass Technologies, Inc. | High density semiconductor memory cell and memory array using a single transistor and having counter-doped poly and buried diffusion wordline |
US6826738B2 (en) | 2002-05-10 | 2004-11-30 | Pdf Solutions, Inc. | Optimization of die placement on wafers |
US6794914B2 (en) | 2002-05-24 | 2004-09-21 | Qualcomm Incorporated | Non-volatile multi-threshold CMOS latch with leakage control |
US7962867B2 (en) | 2002-06-07 | 2011-06-14 | Cadence Design Systems, Inc. | Electronic design for integrated circuits based on process related variations |
US7174520B2 (en) | 2002-06-07 | 2007-02-06 | Praesagus, Inc. | Characterization and verification for integrated circuit designs |
US7367008B2 (en) | 2002-06-07 | 2008-04-29 | Cadence Design Systems, Inc. | Adjustment of masks for integrated circuit fabrication |
US20030229875A1 (en) | 2002-06-07 | 2003-12-11 | Smith Taber H. | Use of models in integrated circuit fabrication |
US7360179B2 (en) | 2002-06-07 | 2008-04-15 | Cadence Design Systems, Inc. | Use of models in integrated circuit fabrication |
US7243316B2 (en) | 2002-06-07 | 2007-07-10 | Praesagus, Inc. | Test masks for lithographic and etch processes |
US20030229868A1 (en) | 2002-06-07 | 2003-12-11 | David White | Electronic design for integrated circuits based process related variations |
US7152215B2 (en) | 2002-06-07 | 2006-12-19 | Praesagus, Inc. | Dummy fill for integrated circuits |
US20090031261A1 (en) | 2002-06-07 | 2009-01-29 | Cadence Design Systems, Inc. | Characterization and reduction of variation for integrated circuits |
US20070256039A1 (en) | 2002-06-07 | 2007-11-01 | Cadence Design Systems, Inc. | Dummy fill for integrated circuits |
US20070101305A1 (en) | 2002-06-07 | 2007-05-03 | Praesagus, Inc. | Methods and systems for implementing dummy fill for integrated circuits |
US7383521B2 (en) | 2002-06-07 | 2008-06-03 | Cadence Design Systems, Inc. | Characterization and reduction of variation for integrated circuits |
US7712056B2 (en) | 2002-06-07 | 2010-05-04 | Cadence Design Systems, Inc. | Characterization and verification for integrated circuit designs |
US7124386B2 (en) | 2002-06-07 | 2006-10-17 | Praesagus, Inc. | Dummy fill for integrated circuits |
US6795953B2 (en) | 2002-06-11 | 2004-09-21 | Hpl Technologies, Inc. | Method for avoiding false failures attributable to dummy interconnects during defect analysis of an integrated circuit design |
US6760269B2 (en) | 2002-06-17 | 2004-07-06 | Renesas Technology Corp. | Semiconductor memory device capable of generating internal data read timing precisely |
US7360198B2 (en) | 2002-06-17 | 2008-04-15 | Amar Pal Singh Rana | Technology dependent transformations for CMOS in digital design synthesis |
US6687895B2 (en) | 2002-07-03 | 2004-02-03 | Numerical Technologies Inc. | Method and apparatus for reducing optical proximity correction output file size |
US7231628B2 (en) | 2002-07-12 | 2007-06-12 | Cadence Design Systems, Inc. | Method and system for context-specific mask inspection |
US6789244B1 (en) | 2002-08-08 | 2004-09-07 | Xilinx, Inc. | Placement of clock objects under constraints |
US6854096B2 (en) | 2002-08-15 | 2005-02-08 | Fulcrum Microsystems, Inc. | Optimization of cell subtypes in a hierarchical design flow |
US6854100B1 (en) | 2002-08-27 | 2005-02-08 | Taiwan Semiconductor Manufacturing Company | Methodology to characterize metal sheet resistance of copper damascene process |
EP1394858A2 (en) | 2002-08-29 | 2004-03-03 | Fujitsu Limited | Semiconductor device for reading signal from photodiode via transistors |
US6954918B2 (en) | 2002-08-30 | 2005-10-11 | Texas Instruments Incorporated | Integrated circuit cells |
US20040049754A1 (en) | 2002-09-06 | 2004-03-11 | Sun Microsystems, Inc. | Method and apparatus for filling and connecting filler material in a layout |
US6807663B2 (en) | 2002-09-23 | 2004-10-19 | Numerical Technologies, Inc. | Accelerated layout processing using OPC pre-processing |
US6928635B2 (en) | 2002-09-25 | 2005-08-09 | Numerical Technologies, Inc. | Selectively applying resolution enhancement techniques to improve performance and manufacturing cost of integrated circuits |
US20080144361A1 (en) | 2002-10-02 | 2008-06-19 | Cisco Technology, Inc. | Static random access memory architecture |
US7512921B2 (en) | 2002-10-10 | 2009-03-31 | Fujitsu Limited | Method and apparatus for designing integrated circuit enabling the yield of integrated circuit to be improved by considering random errors |
US6994939B1 (en) | 2002-10-29 | 2006-02-07 | Advanced Micro Devices, Inc. | Semiconductor manufacturing resolution enhancement system and method for simultaneously patterning different feature types |
US7219326B2 (en) | 2002-12-16 | 2007-05-15 | Intrinsity, Inc. | Physical realization of dynamic logic using parameterized tile partitioning |
US20040161878A1 (en) | 2002-12-18 | 2004-08-19 | Easic Corporation | Method for fabrication of semiconductor device |
US6953956B2 (en) | 2002-12-18 | 2005-10-11 | Easic Corporation | Semiconductor device having borderless logic array and flexible I/O |
US7105871B2 (en) | 2002-12-18 | 2006-09-12 | Easic Corporation | Semiconductor device |
US7093228B2 (en) | 2002-12-20 | 2006-08-15 | Lsi Logic Corporation | Method and system for classifying an integrated circuit for optical proximity correction |
US7155685B2 (en) | 2002-12-27 | 2006-12-26 | Sipec Corporation | Optimizing designing apparatus of integrated circuit, optimizing designing method of integrated circuit, and storing medium in which program for carrying out optimizing designing method of integrated circuit is stored |
US6898770B2 (en) | 2003-01-09 | 2005-05-24 | Lsi Logic Corporation | Split and merge design flow concept for fast turnaround time of circuit layout design |
US20040145028A1 (en) | 2003-01-29 | 2004-07-29 | Nec Electronics Corporation | Semiconductor device and method of fabricating semiconductor device with high CMP uniformity and resistance to loss that occurs in dicing |
US6841880B2 (en) | 2003-01-29 | 2005-01-11 | Nec Electronics Corporation | Semiconductor device and method of fabricating semiconductor device with high CMP uniformity and resistance to loss that occurs in dicing |
US20040153979A1 (en) | 2003-01-30 | 2004-08-05 | Numerical Technologies, Inc. | System and method for generating a two-dimensional yield map for a full layout |
US6884712B2 (en) | 2003-02-07 | 2005-04-26 | Chartered Semiconductor Manufacturing, Ltd. | Method of manufacturing semiconductor local interconnect and contact |
US6777146B1 (en) | 2003-02-21 | 2004-08-17 | International Business Machines Corporation | Method of optical proximity correction with sub-resolution assists |
US7149999B2 (en) | 2003-02-25 | 2006-12-12 | The Regents Of The University Of California | Method for correcting a mask design layout |
US20040229135A1 (en) | 2003-02-27 | 2004-11-18 | Jun Wang | Multiple exposure method for circuit performance improvement |
US6931617B2 (en) | 2003-04-21 | 2005-08-16 | Synopsys, Inc. | Mask cost driven logic optimization and synthesis |
US7065731B2 (en) | 2003-05-07 | 2006-06-20 | Cadence Design Systems, Inc. | Removal of acute angles in a design layout |
US20080276105A1 (en) | 2003-05-07 | 2008-11-06 | Mosaid Technologies Corporation | Power managers for an integrated circuit |
US7093208B2 (en) | 2003-05-12 | 2006-08-15 | International Business Machines Corporation | Method for tuning a digital design for synthesized random logic circuit macros in a continuous design space with optional insertion of multiple threshold voltage devices |
US7063920B2 (en) | 2003-05-16 | 2006-06-20 | Asml Holding, N.V. | Method for the generation of variable pitch nested lines and/or contact holes using fixed size pixels for direct-write lithographic systems |
US7770144B2 (en) | 2003-05-28 | 2010-08-03 | Eric Dellinger | Modular array defined by standard cell logic |
US20040243966A1 (en) | 2003-05-28 | 2004-12-02 | Eric Dellinger | Modular array defined by standard cell logic |
US7107551B1 (en) | 2003-05-30 | 2006-09-12 | Prolific, Inc. | Optimization of circuit designs using a continuous spectrum of library cells |
US7400627B2 (en) | 2003-06-05 | 2008-07-15 | Brooktree Broadband Holding, Inc. | ATM header compression using hash tables |
US6993741B2 (en) | 2003-07-15 | 2006-01-31 | International Business Machines Corporation | Generating mask patterns for alternating phase-shift mask lithography |
US7202517B2 (en) | 2003-07-18 | 2007-04-10 | Interuniversitair Microelektronica Centrum (Imec Vzw) | Multiple gate semiconductor device and method for forming same |
US6920079B2 (en) | 2003-08-08 | 2005-07-19 | Matsushita Electric Industrial Co., Ltd. | Semiconductor device and semiconductor memory device |
US7137092B2 (en) | 2003-08-21 | 2006-11-14 | Kawasaki Microelectronics, Inc. | Layout method of semiconductor integrated circuit, layout structure thereof, and photomask for forming the layout structure |
US20050055828A1 (en) | 2003-09-17 | 2005-03-17 | Hsin-Shih Wang | Method for programming a routing layout design through one via layer |
US7287320B2 (en) | 2003-09-17 | 2007-10-30 | Faraday Technology Corp. | Method for programming a routing layout design through one via layer |
US6957402B2 (en) | 2003-09-24 | 2005-10-18 | Artisan Components, Inc. | Yield maximization in the manufacture of integrated circuits |
US7016214B2 (en) | 2003-10-06 | 2006-03-21 | Hitachi, Ltd. | Semiconductor integrated circuit device |
US7155689B2 (en) | 2003-10-07 | 2006-12-26 | Magma Design Automation, Inc. | Design-manufacturing interface via a unified model |
FR2860920A1 (en) | 2003-10-14 | 2005-04-15 | St Microelectronics Sa | Multiple short local electrical connections for selective linkage of integrated circuit elements comprise masked selective humid attack of deposited metal |
US20050093147A1 (en) | 2003-10-29 | 2005-05-05 | Taiwan Semiconductor Manufacturing Co. | Structure for reducing leakage currents and high contact resistance for embedded memory and method for making same |
US7052972B2 (en) | 2003-12-19 | 2006-05-30 | Micron Technology, Inc. | Method for forming sublithographic features during the manufacture of a semiconductor device and a resulting in-process apparatus |
US20050138598A1 (en) | 2003-12-22 | 2005-06-23 | Nec Electronics Corporation | Method for providing layout design and photo mask |
US7376931B2 (en) | 2003-12-22 | 2008-05-20 | Nec Electronics Corporation | Method for providing layout design and photo mask |
US7064068B2 (en) | 2004-01-23 | 2006-06-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method to improve planarity of electroplated copper |
US20050185325A1 (en) | 2004-02-19 | 2005-08-25 | Samsung Electronics Co., Ltd. | Hard disk drive |
US7523429B2 (en) | 2004-02-20 | 2009-04-21 | Takumi Technology Corporation | System for designing integrated circuits with enhanced manufacturability |
US20050196685A1 (en) | 2004-02-24 | 2005-09-08 | Jun Wang | Rectangular contact lithography for circuit performance improvement and manufacture cost reduction |
US7353492B2 (en) | 2004-02-26 | 2008-04-01 | International Business Machines Corporation | Method of IC fabrication, IC mask fabrication and program product therefor |
US7335966B2 (en) | 2004-02-26 | 2008-02-26 | Triad Semiconductor, Inc. | Configurable integrated circuit capacitor array using via mask layers |
US20050189614A1 (en) | 2004-02-26 | 2005-09-01 | David Ihme | Configurable integrated circuit capacitor array using via mask layers |
US7115343B2 (en) | 2004-03-10 | 2006-10-03 | International Business Machines Corporation | Pliant SRAF for improved performance and manufacturability |
US7423298B2 (en) | 2004-03-17 | 2008-09-09 | Sharp Kabushiki Kaisha | Bidirectional photothyristor chip, optical lighting coupler, and solid state relay |
US7449371B2 (en) | 2004-04-02 | 2008-11-11 | Triad Semiconductor | VIA configurable architecture for customization of analog circuitry in a semiconductor device |
US20050224982A1 (en) | 2004-04-02 | 2005-10-13 | Kemerling James C | Via configurable architecture for customization of analog circuitry in a semiconductor device |
US20050268256A1 (en) | 2004-04-02 | 2005-12-01 | Chi-Ming Tsai | Modeling resolution enhancement processes in integrated circuit fabrication |
US7404173B2 (en) | 2004-04-07 | 2008-07-22 | Aprio Technologies, Inc. | Intermediate layout for resolution enhancement in semiconductor fabrication |
US20050229130A1 (en) | 2004-04-07 | 2005-10-13 | Aprio Technologies, Inc. | Method and apparatus for selective, incremental, reconfigurable and reusable semiconductor manufacturing resolution-enhancements |
US7115920B2 (en) | 2004-04-12 | 2006-10-03 | International Business Machines Corporation | FinFET transistor and circuit |
US20100203689A1 (en) | 2004-04-12 | 2010-08-12 | International Business Machines Corporation | finFET TRANSISTOR AND CIRCUIT |
US20080099795A1 (en) | 2004-04-12 | 2008-05-01 | Kerry Bernstein | FinFET TRANSISTOR AND CIRCUIT |
US20050251771A1 (en) | 2004-05-07 | 2005-11-10 | Mentor Graphics Corporation | Integrated circuit layout design methodology with process variation bands |
US7194712B2 (en) | 2004-05-12 | 2007-03-20 | Synopsys, Inc. | Method and apparatus for identifying line-end features for lithography verification |
US7426710B2 (en) | 2004-05-27 | 2008-09-16 | Verisilicon Holdings, Co. Ltd. | Standard cell library having cell drive strengths selected according to delay |
US7514959B2 (en) | 2004-07-27 | 2009-04-07 | Easic Corporation | Structured integrated circuit device |
US7632610B2 (en) | 2004-09-02 | 2009-12-15 | Intel Corporation | Sub-resolution assist features |
US7227183B2 (en) | 2004-09-17 | 2007-06-05 | International Business Machines Corporation | Polysilicon conductor width measurement for 3-dimensional FETs |
US7185294B2 (en) | 2004-09-23 | 2007-02-27 | Verisilicon Holdings, Co Ltd | Standard cell library having globally scalable transistor channel length |
US7346885B2 (en) | 2004-09-24 | 2008-03-18 | Qimonda Ag | Method for producing a mask layout avoiding imaging errors for a mask |
US20060070018A1 (en) | 2004-09-24 | 2006-03-30 | Armin Semmler | Method for producing a mask layout avoiding imaging errors for a mask |
US7466607B2 (en) | 2004-09-30 | 2008-12-16 | Analog Devices, Inc. | Memory access system and method using de-coupled read and write circuits |
US7487475B1 (en) | 2004-10-15 | 2009-02-03 | Cadence Design Systems, Inc. | Systems, methods, and apparatus to perform statistical static timing analysis |
US7294534B2 (en) | 2004-10-19 | 2007-11-13 | Nec Electronics Corporation | Interconnect layout method |
US20060084261A1 (en) | 2004-10-19 | 2006-04-20 | Nec Electronics Corporation | Interconnect layout method |
US7458045B2 (en) | 2004-10-29 | 2008-11-25 | Synopsys, Inc. | Silicon tolerance specification using shapes as design intent markers |
US7302651B2 (en) | 2004-10-29 | 2007-11-27 | International Business Machines Corporation | Technology migration for integrated circuits with radical design restrictions |
US7278118B2 (en) | 2004-11-04 | 2007-10-02 | Pdf Solutions, Inc. | Method and process for design of integrated circuits using regular geometry patterns to obtain geometrically consistent component features |
US20060112355A1 (en) | 2004-11-04 | 2006-05-25 | Fabbrix, Inc. | Method and process for design of integrated circuits using regular geometry patterns to obtain geometrically consistent component features |
US20080098334A1 (en) | 2004-11-04 | 2008-04-24 | Pileggi Lawrence T | Method and process for design of integrated circuits using regular geometry patterns to obtain geometrically consistent component features |
US20060101370A1 (en) | 2004-11-05 | 2006-05-11 | International Business Machines Corporation | Method for improving optical proximity correction |
US7350183B2 (en) | 2004-11-05 | 2008-03-25 | International Business Machines Corporation | Method for improving optical proximity correction |
US7465973B2 (en) | 2004-12-03 | 2008-12-16 | International Business Machines Corporation | Integrated circuit having gates and active regions forming a regular grating |
US20060121715A1 (en) | 2004-12-03 | 2006-06-08 | International Business Machines Corporation | Integrated circuit having gates and active regions forming a regular grating |
US20060125024A1 (en) | 2004-12-09 | 2006-06-15 | Yoshiyuki Ishigaki | Semiconductor device and a method of manufacturing the same |
EP1670062A1 (en) | 2004-12-09 | 2006-06-14 | OmniVision Technologies, Inc. | Local interconnect structure for a CMOS image sensor and its manufacturing method |
US7338896B2 (en) | 2004-12-17 | 2008-03-04 | Interuniversitair Microelektronica Centrum (Imec) | Formation of deep via airgaps for three dimensional wafer to wafer interconnect |
US20060158270A1 (en) | 2004-12-20 | 2006-07-20 | Atmel Nantes Sa | Electronic circuit including at least one first and one second differential pair with the transistors sharing one and the same well |
US7509621B2 (en) | 2005-01-03 | 2009-03-24 | Synopsys, Inc. | Method and apparatus for placing assist features by identifying locations of constructive and destructive interference |
US7366997B1 (en) | 2005-01-11 | 2008-04-29 | Synplicity, Inc. | Methods and apparatuses for thermal analysis based circuit design |
US20080168406A1 (en) | 2005-01-11 | 2008-07-10 | Khalid Rahmat | Methods and apparatuses for thermal analysis based circuit design |
US20060151810A1 (en) | 2005-01-12 | 2006-07-13 | Nec Electronics Corporation | Semiconductor device and computer program product for designing the same |
US20060177744A1 (en) | 2005-01-14 | 2006-08-10 | Christof Bodendorf | Method for producing a mask layout avoiding imaging errors for a mask |
US20060181310A1 (en) | 2005-02-17 | 2006-08-17 | Young-Chul Rhee | Exclusive-or and/or exclusive-nor circuits including output switches and related methods |
US20060206854A1 (en) | 2005-02-24 | 2006-09-14 | Barnes Levi D | Assist feature placement using a process-sensitivity model |
US7200835B2 (en) | 2005-02-24 | 2007-04-03 | Texas Instruments Incorporated | Method of locating sub-resolution assist feature(s) |
US20070038973A1 (en) | 2005-02-24 | 2007-02-15 | Jianliang Li | Method and apparatus for quickly determining the effect of placing an assist feature at a location in a layout |
US7421678B2 (en) | 2005-02-24 | 2008-09-02 | Synopsys, Inc. | Assist feature placement using a process-sensitivity model |
US7188322B2 (en) | 2005-02-25 | 2007-03-06 | International Business Machines Corporation | Circuit layout methodology using a shape processing application |
US20060197557A1 (en) | 2005-03-07 | 2006-09-07 | Yuan-Hung Chung | Self dc-bias high frequency logic gate, high frequency nand gate and high frequency nor gate |
US7992122B1 (en) | 2005-03-25 | 2011-08-02 | Gg Technology, Inc. | Method of placing and routing for power optimization and timing closure |
US20060223302A1 (en) | 2005-03-31 | 2006-10-05 | Chang Peter L | Self-aligned contacts for transistors |
US7563701B2 (en) | 2005-03-31 | 2009-07-21 | Intel Corporation | Self-aligned contacts for transistors |
US20110154281A1 (en) | 2005-04-09 | 2011-06-23 | Invarium, Inc. | Optical lithography correction process |
US7480891B2 (en) | 2005-04-29 | 2009-01-20 | Cadence Design Systems, Inc. | Method and apparatus of model-based photomask synthesis |
US20060248495A1 (en) | 2005-04-29 | 2006-11-02 | Invarium, Inc. | Method and apparatus of model-based photomask synthesis |
US7506300B2 (en) | 2005-04-29 | 2009-03-17 | Cadence Design Systems, Inc. | Apparatus and method for breaking up and merging polygons |
US7441211B1 (en) | 2005-05-06 | 2008-10-21 | Blaze Dfm, Inc. | Gate-length biasing for digital circuit optimization |
US7308669B2 (en) | 2005-05-18 | 2007-12-11 | International Business Machines Corporation | Use of redundant routes to increase the yield and reliability of a VLSI layout |
US7411252B2 (en) | 2005-06-21 | 2008-08-12 | International Business Machines Corporation | Substrate backgate for trigate FET |
US20110207298A1 (en) | 2005-06-24 | 2011-08-25 | International Business Machines Corporation | Dense pitch bulk finfet process by selective epi and etch |
US7971160B2 (en) | 2005-07-22 | 2011-06-28 | Fujitsu Semiconductor Limited | Creating method of photomask pattern data, photomask created by using the photomask pattern data, and manufacturing method of semiconductor apparatus using the photomask |
US20070209029A1 (en) | 2005-08-08 | 2007-09-06 | Micronic Laser Systems Ab | SLM Lithography: Printing to below K1=.30 without previous OPC processing |
US7568174B2 (en) | 2005-08-19 | 2009-07-28 | Cadence Design Systems, Inc. | Method for checking printability of a lithography target |
US20070094634A1 (en) | 2005-08-19 | 2007-04-26 | Abdurrahman Seizginer | Method for checking printability of a lithography target |
US20070074145A1 (en) | 2005-09-28 | 2007-03-29 | Renesas Technology Corp. | Mask pattern design method and manufacturing method of semiconductor device |
US7397260B2 (en) | 2005-11-04 | 2008-07-08 | International Business Machines Corporation | Structure and method for monitoring stress-induced degradation of conductive interconnects |
US20070106971A1 (en) | 2005-11-04 | 2007-05-10 | Lizotech, Inc. | Apparatus for a routing system |
US20070113216A1 (en) | 2005-11-14 | 2007-05-17 | Youping Zhang | Photolithographic mask correction |
US7569310B2 (en) | 2005-12-07 | 2009-08-04 | Intel Corporation | Sub-resolution assist features for photolithography with trim ends |
US7424694B2 (en) | 2005-12-26 | 2008-09-09 | Fujitsu Limited | Integrated circuit layout device, method thereof and program thereof |
US7614030B2 (en) | 2006-01-17 | 2009-11-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Scattering bar OPC application method for mask ESD prevention |
US7480880B2 (en) | 2006-02-21 | 2009-01-20 | International Business Machines Corporation | Method, system, and program product for computing a yield gradient from statistical timing |
US20070234252A1 (en) | 2006-02-21 | 2007-10-04 | Chandramouli Visweswariah | Method, system, and program product for computing a yield gradient from statistical timing |
US20100011333A1 (en) * | 2006-03-09 | 2010-01-14 | Tela Innovations, Inc. | Semiconductor Device Layout Having Restricted Layout Region Including Linear Shaped Gate Electrode Layout Features Defined with Minimum End-to-End Spacing and At Least Eight Transistors |
US20100012984A1 (en) | 2006-03-09 | 2010-01-21 | Tela Innovations, Inc. | Semiconductor Device Portion Having Sub-Wavelength-Sized Gate Electrode Conductive Structures Formed from Linear Shaped Gate Electrode Layout Features Defined with Minimum End-to-End Spacing and Having Equal Number of PMOS and NMOS Transistors |
US20070210391A1 (en) | 2006-03-09 | 2007-09-13 | Tela Innovations, Inc. | Dynamic Array Architecture |
US20100096671A1 (en) | 2006-03-09 | 2010-04-22 | Tela Innovations, Inc. | Cell of Semiconductor Device Having Gate Electrode Conductive Structures Formed from Rectangular Shaped Gate Electrode Layout Features and At Least Eight Transistors |
US20100037194A1 (en) | 2006-03-09 | 2010-02-11 | Tela Innovations, Inc. | Layout of Cell of Semiconductor Device Having Linear Shaped Gate Electrode Layout Features Defined with Minimum End-to-End Spacing and Having Equal Number of PMOS and NMOS Transistors |
US20100037195A1 (en) | 2006-03-09 | 2010-02-11 | Tela Innovations, Inc. | Layout of Cell of Semiconductor Device Having Linear Shaped Gate Electrode Layout Features Defined with Minimum End-to-End Spacing and Having Equal Number of PMOS and NMOS Transistors and Having Corresponding p-type and n-type Diffusion Regions Separated by Central Inactive Region |
US20100032722A1 (en) * | 2006-03-09 | 2010-02-11 | Tela Innovations, Inc. | Semiconductor Device Portion Having Gate Electrode Conductive Structures Formed from Linear Shaped Gate Electrode Layout Features Defined with Minimum End-to-End Spacing and Having At Least Eight Transistors |
US20100032723A1 (en) * | 2006-03-09 | 2010-02-11 | Tela Innovations, Inc. | Semiconductor Device Portion Having Sub-Wavelength-Sized Gate Electrode Conductive Structures Formed from Linear Shaped Gate Electrode Layout Features Defined with Minimum End-to-End Spacing and Having At Least Eight Transistors |
US20090014811A1 (en) | 2006-03-09 | 2009-01-15 | Tela Innovations, Inc. | Dynamic Array Architecture |
US20100032724A1 (en) | 2006-03-09 | 2010-02-11 | Tela Innovations, Inc. | Cell of Semiconductor Device Having Sub-193 Nanometers-Sized Gate Electrode Conductive Structures Formed from Rectangular Shaped Gate Electrode Layout Features and Equal Number of PMOS and NMOS Transistors |
US20100032726A1 (en) | 2006-03-09 | 2010-02-11 | Tela Innovations, Inc. | Semiconductor Device Portion Having Sub-193 Nanometers -Sized Gate Electrode Conductive Structures Formed from Linear Shaped Gate Electrode Layout Features Defined Along At Least Four Gate Electrode Tracks with Minimum End-to-End Spacing and Having Corresponding Non-Symmetric Diffusion Regions |
US20100025733A1 (en) | 2006-03-09 | 2010-02-04 | Tela Innovations, Inc. | Cell of Semiconductor Device Having Sub-193 Nanometers-Sized Gate Electrode Conductive Structures Formed from Linear Shaped Gate Electrode Layout Features Defined with Minimum End-to-End Spacing and At Least Eight Transistors |
US20100025734A1 (en) | 2006-03-09 | 2010-02-04 | Tela Innovations, Inc. | Cell of Semiconductor Device Having Sub-Wavelength-Sized Gate Electrode Conductive Structures Formed from Linear Shaped Gate Electrode Layout Features Defined with Minimum End-to-End Spacing and Equal Number of PMOS and NMOS Transistors |
US7446352B2 (en) * | 2006-03-09 | 2008-11-04 | Tela Innovations, Inc. | Dynamic array architecture |
US20100025736A1 (en) * | 2006-03-09 | 2010-02-04 | Tela Innovations, Inc. | Cell of Semiconductor Device Having Gate Electrode Conductive Structures Formed from Linear Shaped Gate Electrode Layout Features Defined with Minimum End-to-End Spacing and At Least Eight Transistors |
US20090228853A1 (en) | 2006-03-09 | 2009-09-10 | Tela Innovations, Inc. | Methods for Defining Contact Grid in Dynamic Array Architecture |
US20100025732A1 (en) | 2006-03-09 | 2010-02-04 | Tela Innovations, Inc. | Cell of Semiconductor Device Having Sub-Wavelength-Sized Gate Electrode Conductive Structures Formed from Linear Shaped Gate Electrode Layout Features Defined with Minimum End-to-End Spacing and At Least Eight Transistors |
US20100025735A1 (en) | 2006-03-09 | 2010-02-04 | Tela Innovations, Inc. | Cell of Semiconductor Device Having Sub-193 Nanometers-Sized Gate Electrode Conductive Structures Formed from Linear Shaped Gate Electrode Layout Features Defined with Minimum End-to-End Spacing and Equal Number of PMOS and NMOS Transistors |
US20100025731A1 (en) | 2006-03-09 | 2010-02-04 | Tela Innovations, Inc. | Cell of Semiconductor Device Having Gate Electrode Conductive Structures Formed from Linear Shaped Gate Electrode Layout Features Defined with Minimum End-to-End Spacing and Equal Number of PMOS and NMOS Transistors |
US20100019282A1 (en) | 2006-03-09 | 2010-01-28 | Tela Innovations, Inc. | Cell of Semiconductor Device Having Sub-193 Nanometers-Sized Gate Electrode Conductive Structures Formed from Rectangular Shaped Gate Electrode Layout Features Defined Along At Least Four Gate Electrode Tracks |
US20100001321A1 (en) | 2006-03-09 | 2010-01-07 | Tela Innovations, Inc. | Semiconductor Device Layout Having Restricted Layout Region Including Rectangular Shaped Gate Electrode Layout Features Defined Along At Least Four Gate Electrode Tracks with Corresponding Non-Symmetric Diffusion Regions |
US20100006948A1 (en) | 2006-03-09 | 2010-01-14 | Tela Innovations, Inc. | Semiconductor Device Portion Having Sub-193 Nanometers -Sized Gate Electrode Conductive Structures Formed from Rectangular Shaped Gate Electrode Layout Features and Having At Least Eight Transistors |
US20100011329A1 (en) | 2006-03-09 | 2010-01-14 | Tela Innovations, Inc. | Semiconductor Device Layout Including Cell Layout Having Restricted Gate Electrode Level Layout with Rectangular Shaped Gate Electrode Layout Features and Equal Number of PMOS and NMOS Transistors |
US20100019287A1 (en) | 2006-03-09 | 2010-01-28 | Tela Innovations, Inc. | Cell of Semiconductor Device Having Sub-193 Nanometers-Sized Gate Electrode Conductive Structures Formed from Linear Shaped Gate Electrode Layout Features Defined Along At Least Four Gate Electrode Tracks with Minimum End-to-End Spacing |
US20100006947A1 (en) | 2006-03-09 | 2010-01-14 | Tela Innovations, Inc. | Semiconductor Device Portion Having Sub-Wavelength-Sized Gate Electrode Conductive Structures Formed from Rectangular Shaped Gate Electrode Layout Features and Having At Least Eight Transistors |
US20100006899A1 (en) | 2006-03-09 | 2010-01-14 | Tela Innovations, Inc. | Semiconductor Device Portion Having Gate Electrode Conductive Structures Formed from Rectangular Shaped Gate Electrode Layout Features and Having Equal Number of PMOS and NMOS Transistors |
US20100006950A1 (en) | 2006-03-09 | 2010-01-14 | Tela Innovations, Inc. | Semiconductor Device Portion Having Gate Electrode Conductive Structures Formed from Rectangular Shaped Gate Electrode Layout Features and Having At Least Eight Transistors |
US20100006901A1 (en) | 2006-03-09 | 2010-01-14 | Tela Innovations, Inc. | Semiconductor Device Portion Having Gate Electrode Conductive Structures Formed from Rectangular Shaped Gate Electrode Layout Features Defined Along At Least Four Gate Electrode Tracks and Having Corresponding Non-Symmetric Diffusion Regions |
US20100006897A1 (en) | 2006-03-09 | 2010-01-14 | Tela Innovations. Inc. | Semiconductor Device Layout Having Restricted Layout Region Including Rectangular Shaped Gate Electrode Layout Features and Equal Number of PMOS and NMOS Transistors |
US20100011327A1 (en) | 2006-03-09 | 2010-01-14 | Tela Innovations, Inc. | Semiconductor Device Layout Having Restricted Layout Region Including Rectangular Shaped Gate Electrode Layout Features and At Least Eight Transistors |
US20100006903A1 (en) | 2006-03-09 | 2010-01-14 | Tela Innovations, Inc. | Semiconductor Device Portion Having Sub-193 Nanometers-Sized Gate Electrode Conductive Structures Formed from Rectangular Shaped Gate Electrode Layout Features Defined Along At Least Four Gate Electrode Tracks and Having Corresponding Non-Symmetric Diffusion Regions |
US20100011332A1 (en) | 2006-03-09 | 2010-01-14 | Tela Innovations, Inc. | Semiconductor Device Layout Having Restricted Layout Region Including Linear Shaped Gate Electrode Layout Features Defined with Minimum End-to-End Spacing and Equal Number of PMOS and NMOS Transistors |
US20100006951A1 (en) | 2006-03-09 | 2010-01-14 | Tela Innovations, Inc. | Semiconductor Device Portion Having Sub-193 Nanometers -Sized Gate Electrode Conductive Structures Formed from Rectangular Shaped Gate Electrode Layout Features and Having Equal Number of PMOS and NMOS Transistors |
US20100006986A1 (en) | 2006-03-09 | 2010-01-14 | Tela Innovations, Inc. | Semiconductor Device Layout Including Cell Layout Having Restricted Gate Electrode Level Layout with Rectangular Shaped Gate Electrode Layout Features Defined Along At Least Four Gate Electrode Tracks with Corresponding Non-Symmetric Diffusion Regions |
US20100011328A1 (en) | 2006-03-09 | 2010-01-14 | Tela Innovations, Inc. | Semiconductor Device Layout Including Cell Layout Having Restricted Gate Electrode Level Layout with Linear Shaped Gate Electrode Layout Features Defined with Minimum End-to-End Spacing and Equal Number of PMOS and NMOS Transistors |
US20100006898A1 (en) | 2006-03-09 | 2010-01-14 | Tela Innovations, Inc. | Semiconductor Device Layout Including Cell Layout Having Restricted Gate Electrode Level Layout with Rectangular Shaped Gate Electrode Layout Features and At Least Eight Transistors |
US20100006902A1 (en) | 2006-03-09 | 2010-01-14 | Tela Innovations, Inc. | Semiconductor Device Portion Having Sub-Wavelength-Sized Gate Electrode Conductive Structures Formed from Rectangular Shaped Gate Electrode Layout Features Defined Along At Least Four Gate Electrode Tracks and Having Corresponding Non-Symmetric Diffusion Regions |
US20100006900A1 (en) | 2006-03-09 | 2010-01-14 | Tela Innovations, Inc. | Semiconductor Device Portion Having Sub-Wavelength-Sized Gate Electrode Conductive Structures Formed from Rectangular Shaped Gate Electrode Layout Features and Having Equal Number of PMOS and NMOS Transistors |
US20100011331A1 (en) | 2006-03-09 | 2010-01-14 | Tela Innovations, Inc. | Semiconductor Device Layout Including Cell Layout Having Restricted Gate Electrode Level Layout with Linear Shaped Gate Electrode Layout Features Defined Along At Least Four Gate Electrode Tracks with Minimum End-to-End Spacing with Corresponding Non-Symmetric Diffusion Regions |
US20100011330A1 (en) | 2006-03-09 | 2010-01-14 | Tela Innovations, Inc. | Semiconductor Device Layout Having Restricted Layout Region Including Linear Shaped Gate Electrode Layout Features Defined Along At Least Four Gate Electrode Tracks with Minimum End-to-End Spacing with Corresponding Non-Symmetric Diffusion Regions |
US20100017772A1 (en) | 2006-03-09 | 2010-01-21 | Tela Innovations, Inc. | Layout of Cell of Semiconductor Device Having Rectangular Shaped Gate Electrode Layout Features and At Least Eight Transistors with Corresponding p-type and n-type Diffusion Regions Separated by Central Inactive Region |
US20100012986A1 (en) | 2006-03-09 | 2010-01-21 | Tela Innovations, Inc. | Cell of Semiconductor Device Having Gate Electrode Conductive Structures Formed from Rectangular Shaped Gate Electrode Layout Features and Equal Number of PMOS and NMOS Transistors |
US20100012983A1 (en) | 2006-03-09 | 2010-01-21 | Tela Innovations, Inc. | Semiconductor Device Portion Having Gate Electrode Conductive Structures Formed from Linear Shaped Gate Electrode Layout Features Defined with Minimum End-to-End Spacing and Having Equal Number of PMOS and NMOS Transistors |
US20100017767A1 (en) | 2006-03-09 | 2010-01-21 | Tela Innovations, Inc. | Layout of Cell of Semiconductor Device Having Rectangular Shaped Gate Electrode Layout Features Defined Along At Least Four Gate Electrode Tracks |
US20100017766A1 (en) | 2006-03-09 | 2010-01-21 | Tela Innovations, Inc. | Semiconductor Device Layout Including Cell Layout Having Restricted Gate Electrode Level Layout with Linear Shaped Gate Electrode Layout Features Defined with Minimum End-to-End Spacing and At Least Eight Transistors |
US20100012981A1 (en) | 2006-03-09 | 2010-01-21 | Tela Innovations, Inc. | Semiconductor Device Portion Having Gate Electrode Conductive Structures Formed from Linear Shaped Gate Electrode Layout Features Defined Along At Least Four Gate Electrode Tracks with Minimum End-to-End Spacing and Having Corresponding Non-Symmetric Diffusion Regions |
US20100017768A1 (en) | 2006-03-09 | 2010-01-21 | Tela Innovations, Inc. | Layout of Cell of Semiconductor Device Having Rectangular Shaped Gate Electrode Layout Features Defined Along At Least Four Gate Electrode Tracks with Corresponding p-type and n-type Diffusion Regions Separated by Central Inactive Region |
US20100017771A1 (en) | 2006-03-09 | 2010-01-21 | Tela Innovations, Inc. | Layout of Cell of Semiconductor Device Having Rectangular Shaped Gate Electrode Layout Features and At Least Eight Transistors |
US20100012985A1 (en) | 2006-03-09 | 2010-01-21 | Tela Innovations, Inc. | Semiconductor Device Portion Having Sub-193 Nanometers -Sized Gate Electrode Conductive Structures Formed from Linear Shaped Gate Electrode Layout Features Defined with Minimum End-to-End Spacing and Having At Least Eight Transistors |
US20100023907A1 (en) | 2006-03-09 | 2010-01-28 | Tela Innovations, Inc. | Layout of Cell of Semiconductor Device Having Linear Shaped Gate Electrode Layout Features Defined Along At Least Four Gate Electrode Tracks with Minimum End-to-End Spacing and Having Corresponding p-type and n-type Diffusion Regions Separated by Central Inactive Region |
US20100017770A1 (en) | 2006-03-09 | 2010-01-21 | Tela Innovations, Inc. | Layout of Cell of Semiconductor Device Having Rectangular Shaped Gate Electrode Layout Features and Equal Number of PMOS and NMOS Transistors with Corresponding p-type and n-type Diffusion Regions Separated by Central Inactive Region |
US20100012982A1 (en) | 2006-03-09 | 2010-01-21 | Tela Innovations, Inc. | Semiconductor Device Portion Having Sub-Wavelength-Sized Gate Electrode Conductive Structures Formed from Linear Shaped Gate Electrode Layout Features Defined Along At Least Four Gate Electrode Tracks with Minimum End-to-End Spacing and Having Corresponding Non-Symmetric Diffusion Regions |
US20100017769A1 (en) | 2006-03-09 | 2010-01-21 | Tela Innovations, Inc. | Layout of Cell of Semiconductor Device Having Rectangular Shaped Gate Electrode Layout Features and Equal Number of PMOS and NMOS Transistors |
US20100019285A1 (en) | 2006-03-09 | 2010-01-28 | Tela Innovations, Inc. | Cell of Semiconductor Device Having Sub-193 Nanometers-Sized Gate Electrode Conductive Structures Formed from Rectangular Shaped Gate Electrode Layout Features and At Least Eight Transistors |
US20100019286A1 (en) | 2006-03-09 | 2010-01-28 | Tela Innovations, Inc. | Cell of Semiconductor Device Having Gate Electrode Conductive Structures Formed from Linear Shaped Gate Electrode Layout Features Defined Along At Least Four Gate Electrode Tracks with Minimum End-to-End Spacing |
US20100019283A1 (en) | 2006-03-09 | 2010-01-28 | Tela Innovations, Inc. | Cell of Semiconductor Device Having Sub-Wavelength-Sized Gate Electrode Conductive Structures Formed from Rectangular Shaped Gate Electrode Layout Features and Equal Number of PMOS and NMOS Transistors |
US20100019280A1 (en) | 2006-03-09 | 2010-01-28 | Tela Innovations, Inc. | Cell of Semiconductor Device Having Gate Electrode Conductive Structures Formed from Rectangular Shaped Gate Electrode Layout Features Defined Along At Least Four Gate Electrode Tracks |
US20100023906A1 (en) | 2006-03-09 | 2010-01-28 | Tela Innovations, Inc. | Layout of Cell of Semiconductor Device Having Linear Shaped Gate Electrode Layout Features Defined Along At Least Four Gate Electrode Tracks with Minimum End-to-End Spacing |
US20100023911A1 (en) * | 2006-03-09 | 2010-01-28 | Tela Innovations, Inc. | Layout of Cell of Semiconductor Device Having Linear Shaped Gate Electrode Layout Features Defined with Minimum End-to-End Spacing and Having At Least Eight Transistors |
US20100019284A1 (en) | 2006-03-09 | 2010-01-28 | Tela Innovations, Inc. | Cell of Semiconductor Device Having Sub-Wavelength-Sized Gate Electrode Conductive Structures Formed from Rectangular Shaped Gate Electrode Layout Features and At Least Eight Transistors |
US20100023908A1 (en) | 2006-03-09 | 2010-01-28 | Tela Innovations, Inc. | Layout of Cell of Semiconductor Device Having Linear Shaped Gate Electrode Layout Features Defined with Minimum End-to-End Spacing and Having At Least Eight Transistors and Having Corresponding p-type and n-type Diffusion Regions Separated by Central Inactive Region |
US20100019281A1 (en) | 2006-03-09 | 2010-01-28 | Tela Innovations, Inc. | Cell of Semiconductor Device Having Sub-Wavelength-Sized Gate Electrode Conductive Structures Formed from Rectangular Shaped Gate Electrode Layout Features Defined Along At Least Four Gate Electrode Tracks |
US20100019288A1 (en) | 2006-03-09 | 2010-01-28 | Tela Innovations, Inc. | Cell of Semiconductor Device Having Sub-Wavelength-Sized Gate Electrode Conductive Structures Formed from Linear Shaped Gate Electrode Layout Features Defined Along At Least Four Gate Electrode Tracks with Minimum End-to-End Spacing |
US7484197B2 (en) | 2006-04-14 | 2009-01-27 | International Business Machines Corporation | Minimum layout perturbation-based artwork legalization with grid constraints for hierarchical designs |
US7509622B2 (en) | 2006-04-17 | 2009-03-24 | Synopsys, Inc. | Dummy filling technique for improved planarization of chip surface topography |
US20070274140A1 (en) | 2006-05-24 | 2007-11-29 | International Business Machines Corporation | A novel sram cell design to improve stability |
US20070294652A1 (en) | 2006-06-20 | 2007-12-20 | Bowen C T | System and method for designing a common centroid layout for an integrated circuit |
US7444609B2 (en) | 2006-06-29 | 2008-10-28 | International Business Machines Corporation | Method of optimizing customizable filler cells in an integrated circuit physical design process |
US20080005712A1 (en) | 2006-06-29 | 2008-01-03 | Charlebois Steven E | Method of optimizing customizable filler cells in an integrated circuit physical design process |
US7665051B2 (en) | 2006-08-01 | 2010-02-16 | Qimonda Ag | Method and device for classifying cells in a layout into a same environment and their use for checking the layout of an electronic circuit |
US20080046846A1 (en) | 2006-08-15 | 2008-02-21 | Chew Marko P | System and method of maximizing integrated circuit manufacturing yield with fabrication process simulation driven layout optimization |
US7434185B2 (en) | 2006-09-27 | 2008-10-07 | International Business Machines Corporation | Method and apparatus for parallel data preparation and processing of integrated circuit graphical design data |
US20080082952A1 (en) | 2006-09-29 | 2008-04-03 | Texas Instruments Incorporated | Method of inclusion of sub-resolution assist feature(s) |
US20080127029A1 (en) | 2006-10-31 | 2008-05-29 | International Business Machines Corporation | Closed-loop design for manufacturability process |
US7802219B2 (en) | 2006-11-30 | 2010-09-21 | Cadence Design Systems, Inc. | Flat placement of cells on non-integer multiple height rows in a digital integrated circuit layout |
US20080148216A1 (en) | 2006-12-18 | 2008-06-19 | Cadence Design Systems, Inc. | Method and system for mask optimization |
US20080163141A1 (en) | 2006-12-29 | 2008-07-03 | Cadence Design Systems, Inc. | Supplant design rules in electronic designs |
US20080216207A1 (en) | 2007-03-09 | 2008-09-11 | Shen-Hai Tsai | Finger pressing massage glove |
US20080283910A1 (en) | 2007-05-15 | 2008-11-20 | Qimonda Ag | Integrated circuit and method of forming an integrated circuit |
US20080308848A1 (en) | 2007-05-15 | 2008-12-18 | Satoshi Inaba | Semiconductor device |
US20110260253A1 (en) | 2007-05-15 | 2011-10-27 | Satoshi Inaba | Semiconductor device |
US7994583B2 (en) | 2007-05-15 | 2011-08-09 | Kabushiki Kaisha Toshiba | Semiconductor device including n-type and p-type FinFET's constituting an inverter structure |
US7898040B2 (en) | 2007-06-18 | 2011-03-01 | Infineon Technologies Ag | Dual gate FinFET |
US20090302372A1 (en) | 2007-06-20 | 2009-12-10 | International Business Machines Corporation | Fin Field Effect Transistor Devices with Self-Aligned Source and Drain Regions |
US7923337B2 (en) | 2007-06-20 | 2011-04-12 | International Business Machines Corporation | Fin field effect transistor devices with self-aligned source and drain regions |
US20090024974A1 (en) | 2007-07-17 | 2009-01-22 | Nec Electronics Corporation | Method and program for designing semiconductor integrated circuit |
US20090032967A1 (en) | 2007-08-02 | 2009-02-05 | Tela Innovations, Inc. | Semiconductor Device with Dynamic Array Section |
US20090037864A1 (en) | 2007-08-02 | 2009-02-05 | Tela Innovations, Inc. | Methods for Designing Semiconductor Device with Dynamic Array Section |
US20090032898A1 (en) | 2007-08-02 | 2009-02-05 | Tela Innovations, Inc. | Methods for Defining Dynamic Array Section with Manufacturing Assurance Halo and Apparatus Implementing the Same |
US20090077524A1 (en) | 2007-09-14 | 2009-03-19 | Renesas Technology Corp. | Method of manufacturing photomask |
US20090101940A1 (en) | 2007-10-19 | 2009-04-23 | Barrows Corey K | Dual gate fet structures for flexible gate array design methodologies |
US20090106714A1 (en) | 2007-10-23 | 2009-04-23 | International Business Machines Corporation | Methods and system for analysis and management of parametric yield |
US7825437B2 (en) | 2007-12-28 | 2010-11-02 | Intel Corporation | Unity beta ratio tri-gate transistor static random access memory (SRAM) |
US7923266B2 (en) | 2008-05-09 | 2011-04-12 | Imec | Design methodology for MuGFET ESD protection devices |
US7917877B2 (en) | 2008-05-09 | 2011-03-29 | Cadence Design Systems, Inc. | System and method for circuit schematic generation |
US20090280582A1 (en) | 2008-05-09 | 2009-11-12 | Interuniversitair Microelektronica Centrum | Design Methodology for MuGFET ESD Protection Devices |
US20100019308A1 (en) | 2008-07-25 | 2010-01-28 | Semiconductor Manufacturing International (Shanghai) Corporation | Electrically programmable device with embedded eeprom and method for making thereof |
US20100232212A1 (en) | 2009-03-13 | 2010-09-16 | International Business Machines Corporation | Split-gate dram with lateral control-gate mugfet |
US8004042B2 (en) | 2009-03-20 | 2011-08-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Static random access memory (SRAM) cell and method for forming same |
Non-Patent Citations (112)
Cited By (110)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10216890B2 (en) | 2004-04-21 | 2019-02-26 | Iym Technologies Llc | Integrated circuits having in-situ constraints |
US10860773B2 (en) | 2004-04-21 | 2020-12-08 | Iym Technologies Llc | Integrated circuits having in-situ constraints |
US10846454B2 (en) | 2004-04-21 | 2020-11-24 | Iym Technologies Llc | Integrated circuits having in-situ constraints |
US8658542B2 (en) | 2006-03-09 | 2014-02-25 | Tela Innovations, Inc. | Coarse grid design methods and structures |
US10141334B2 (en) | 2006-03-09 | 2018-11-27 | Tela Innovations, Inc. | Semiconductor chip including region having rectangular-shaped gate structures and first-metal structures |
US9673825B2 (en) | 2006-03-09 | 2017-06-06 | Tela Innovations, Inc. | Circuitry and layouts for XOR and XNOR logic |
US10186523B2 (en) | 2006-03-09 | 2019-01-22 | Tela Innovations, Inc. | Semiconductor chip having region including gate electrode features formed in part from rectangular layout shapes on gate horizontal grid and first-metal structures formed in part from rectangular layout shapes on at least eight first-metal gridlines of first-metal vertical grid |
US9589091B2 (en) | 2006-03-09 | 2017-03-07 | Tela Innovations, Inc. | Scalable meta-data objects |
US10141335B2 (en) | 2006-03-09 | 2018-11-27 | Tela Innovations, Inc. | Semiconductor CIP including region having rectangular-shaped gate structures and first metal structures |
US9917056B2 (en) | 2006-03-09 | 2018-03-13 | Tela Innovations, Inc. | Coarse grid design methods and structures |
US9905576B2 (en) | 2006-03-09 | 2018-02-27 | Tela Innovations, Inc. | Semiconductor chip including region having rectangular-shaped gate structures and first metal structures |
US9859277B2 (en) | 2006-03-09 | 2018-01-02 | Tela Innovations, Inc. | Methods, structures, and designs for self-aligning local interconnects used in integrated circuits |
US9754878B2 (en) | 2006-03-09 | 2017-09-05 | Tela Innovations, Inc. | Semiconductor chip including a chip level based on a layout that includes both regular and irregular wires |
US9741719B2 (en) | 2006-03-09 | 2017-08-22 | Tela Innovations, Inc. | Methods, structures, and designs for self-aligning local interconnects used in integrated circuits |
US9443947B2 (en) | 2006-03-09 | 2016-09-13 | Tela Innovations, Inc. | Semiconductor chip including region having integrated circuit transistor gate electrodes formed by various conductive structures of specified shape and position and method for manufacturing the same |
US8653857B2 (en) | 2006-03-09 | 2014-02-18 | Tela Innovations, Inc. | Circuitry and layouts for XOR and XNOR logic |
US8823062B2 (en) | 2006-03-09 | 2014-09-02 | Tela Innovations, Inc. | Integrated circuit with offset line end spacings in linear gate electrode level |
US10230377B2 (en) | 2006-03-09 | 2019-03-12 | Tela Innovations, Inc. | Circuitry and layouts for XOR and XNOR logic |
US10217763B2 (en) | 2006-03-09 | 2019-02-26 | Tela Innovations, Inc. | Semiconductor chip having region including gate electrode features of rectangular shape on gate horizontal grid and first-metal structures of rectangular shape on at least eight first-metal gridlines of first-metal vertical grid |
US9711495B2 (en) | 2006-03-09 | 2017-07-18 | Tela Innovations, Inc. | Oversized contacts and vias in layout defined by linearly constrained topology |
US9425272B2 (en) | 2006-03-09 | 2016-08-23 | Tela Innovations, Inc. | Semiconductor chip including integrated circuit including four transistors of first transistor type and four transistors of second transistor type with electrical connections between various transistors and methods for manufacturing the same |
US9425145B2 (en) | 2006-03-09 | 2016-08-23 | Tela Innovations, Inc. | Oversized contacts and vias in layout defined by linearly constrained topology |
US9425273B2 (en) | 2006-03-09 | 2016-08-23 | Tela Innovations, Inc. | Semiconductor chip including integrated circuit including at least five gate level conductive structures having particular spatial and electrical relationship and method for manufacturing the same |
US9336344B2 (en) | 2006-03-09 | 2016-05-10 | Tela Innovations, Inc. | Coarse grid design methods and structures |
US9240413B2 (en) | 2006-03-09 | 2016-01-19 | Tela Innovations, Inc. | Methods, structures, and designs for self-aligning local interconnects used in integrated circuits |
US9035359B2 (en) | 2006-03-09 | 2015-05-19 | Tela Innovations, Inc. | Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods |
US9009641B2 (en) | 2006-03-09 | 2015-04-14 | Tela Innovations, Inc. | Circuits with linear finfet structures |
US8952425B2 (en) | 2006-03-09 | 2015-02-10 | Tela Innovations, Inc. | Integrated circuit including at least four linear-shaped conductive structures having extending portions of different length |
US8946781B2 (en) | 2006-03-09 | 2015-02-03 | Tela Innovations, Inc. | Integrated circuit including gate electrode conductive structures with different extension distances beyond contact |
US8921897B2 (en) | 2006-03-09 | 2014-12-30 | Tela Innovations, Inc. | Integrated circuit with gate electrode conductive structures having offset ends |
US8921896B2 (en) | 2006-03-09 | 2014-12-30 | Tela Innovations, Inc. | Integrated circuit including linear gate electrode structures having different extension distances beyond contact |
US8839175B2 (en) | 2006-03-09 | 2014-09-16 | Tela Innovations, Inc. | Scalable meta-data objects |
US9633987B2 (en) | 2007-03-05 | 2017-04-25 | Tela Innovations, Inc. | Integrated circuit cell library for multiple patterning |
US20080222587A1 (en) * | 2007-03-05 | 2008-09-11 | Tela Innovations, Inc. | Integrated Circuit Cell Library for Multiple Patterning |
US8667443B2 (en) | 2007-03-05 | 2014-03-04 | Tela Innovations, Inc. | Integrated circuit cell library for multiple patterning |
US10074640B2 (en) | 2007-03-05 | 2018-09-11 | Tela Innovations, Inc. | Integrated circuit cell library for multiple patterning |
US8966424B2 (en) | 2007-03-07 | 2015-02-24 | Tela Innovations, Inc. | Methods for cell phasing and placement in dynamic array architecture and implementation of the same |
US9910950B2 (en) | 2007-03-07 | 2018-03-06 | Tela Innovations, Inc. | Methods for cell phasing and placement in dynamic array architecture and implementation of the same |
US9595515B2 (en) | 2007-03-07 | 2017-03-14 | Tela Innovations, Inc. | Semiconductor chip including integrated circuit defined within dynamic array section |
US9424387B2 (en) | 2007-03-07 | 2016-08-23 | Tela Innovations, Inc. | Methods for cell phasing and placement in dynamic array architecture and implementation of the same |
US8759882B2 (en) | 2007-08-02 | 2014-06-24 | Tela Innovations, Inc. | Semiconductor device with dynamic array sections defined and placed according to manufacturing assurance halos |
US8756551B2 (en) | 2007-08-02 | 2014-06-17 | Tela Innovations, Inc. | Methods for designing semiconductor device with dynamic array section |
US8549455B2 (en) | 2007-08-02 | 2013-10-01 | Tela Innovations, Inc. | Methods for cell phasing and placement in dynamic array architecture and implementation of the same |
US8680626B2 (en) | 2007-10-26 | 2014-03-25 | Tela Innovations, Inc. | Methods, structures, and designs for self-aligning local interconnects used in integrated circuits |
US10734383B2 (en) | 2007-10-26 | 2020-08-04 | Tela Innovations, Inc. | Methods, structures, and designs for self-aligning local interconnects used in integrated circuits |
US9281371B2 (en) | 2007-12-13 | 2016-03-08 | Tela Innovations, Inc. | Super-self-aligned contacts and method for making the same |
US8951916B2 (en) | 2007-12-13 | 2015-02-10 | Tela Innovations, Inc. | Super-self-aligned contacts and method for making the same |
US9818747B2 (en) | 2007-12-13 | 2017-11-14 | Tela Innovations, Inc. | Super-self-aligned contacts and method for making the same |
US10461081B2 (en) | 2007-12-13 | 2019-10-29 | Tel Innovations, Inc. | Super-self-aligned contacts and method for making the same |
US9530734B2 (en) | 2008-01-31 | 2016-12-27 | Tela Innovations, Inc. | Enforcement of semiconductor structure regularity for localized transistors and interconnect |
US8701071B2 (en) | 2008-01-31 | 2014-04-15 | Tela Innovations, Inc. | Enforcement of semiconductor structure regularity for localized transistors and interconnect |
US9202779B2 (en) | 2008-01-31 | 2015-12-01 | Tela Innovations, Inc. | Enforcement of semiconductor structure regularity for localized transistors and interconnect |
US8742462B2 (en) | 2008-03-13 | 2014-06-03 | Tela Innovations, Inc. | Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate contact position specifications |
US8552509B2 (en) | 2008-03-13 | 2013-10-08 | Tela Innovations, Inc. | Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with other transistors positioned between cross-coupled transistors |
US8772839B2 (en) | 2008-03-13 | 2014-07-08 | Tela Innovations, Inc. | Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with four inside positioned gate contacts having offset and aligned relationships and electrical connection of transistor gates through linear interconnect conductors in single interconnect layer |
US8735944B2 (en) | 2008-03-13 | 2014-05-27 | Tela Innovations, Inc. | Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with serially connected transistors |
US8729643B2 (en) | 2008-03-13 | 2014-05-20 | Tela Innovations, Inc. | Cross-coupled transistor circuit including offset inner gate contacts |
US9081931B2 (en) | 2008-03-13 | 2015-07-14 | Tela Innovations, Inc. | Cross-coupled transistor circuit having diffusion regions of common node on opposing sides of same gate electrode track and gate node connection through single interconnect layer |
US9117050B2 (en) | 2008-03-13 | 2015-08-25 | Tela Innovations, Inc. | Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate contact position and offset specifications |
US20100187621A1 (en) * | 2008-03-13 | 2010-07-29 | Tela Innovations, Inc. | Linear Gate Level Cross-Coupled Transistor Device with Constant Gate Electrode Pitch |
US20100258879A1 (en) * | 2008-03-13 | 2010-10-14 | Tela Innovations, Inc. | Channelized Gate Level Cross-Coupled Transistor Device with Cross-Coupled Transistor Gate Electrode Connections Made Using Linear First Interconnect Level above Gate Electrode Level |
US8742463B2 (en) | 2008-03-13 | 2014-06-03 | Tela Innovations, Inc. | Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with outer positioned gate contacts |
US9208279B2 (en) | 2008-03-13 | 2015-12-08 | Tela Innovations, Inc. | Semiconductor chip including digital logic circuit including linear-shaped conductive structures having electrical connection areas located within inner region between transistors of different type and associated methods |
US9213792B2 (en) | 2008-03-13 | 2015-12-15 | Tela Innovations, Inc. | Semiconductor chip including digital logic circuit including at least six transistors with some transistors forming cross-coupled transistor configuration and associated methods |
US8729606B2 (en) | 2008-03-13 | 2014-05-20 | Tela Innovations, Inc. | Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels |
US9245081B2 (en) | 2008-03-13 | 2016-01-26 | Tela Innovations, Inc. | Semiconductor chip including digital logic circuit including at least nine linear-shaped conductive structures collectively forming gate electrodes of at least six transistors with some transistors forming cross-coupled transistor configuration and associated methods |
US8785978B2 (en) | 2008-03-13 | 2014-07-22 | Tela Innovations, Inc. | Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with electrical connection of cross-coupled transistors through same interconnect layer |
US8872283B2 (en) | 2008-03-13 | 2014-10-28 | Tela Innovations, Inc. | Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with shared diffusion regions on opposite sides of two-transistor-forming gate level feature |
US8866197B2 (en) | 2008-03-13 | 2014-10-21 | Tela Innovations, Inc. | Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with at least two gate electrodes electrically connected to each other through another transistor forming gate level feature |
US10727252B2 (en) | 2008-03-13 | 2020-07-28 | Tela Innovations, Inc. | Semiconductor chip including integrated circuit having cross-coupled transistor configuration and method for manufacturing the same |
US10658385B2 (en) | 2008-03-13 | 2020-05-19 | Tela Innovations, Inc. | Cross-coupled transistor circuit defined on four gate electrode tracks |
US8680583B2 (en) | 2008-03-13 | 2014-03-25 | Tela Innovations, Inc. | Integrated circuit including cross-coupled transistors having gate electrodes formed within at least nine gate level feature layout channels |
US8853793B2 (en) | 2008-03-13 | 2014-10-07 | Tela Innovations, Inc. | Integrated circuit including gate electrode level region including cross-coupled transistors having gate contacts located over inner portion of gate electrode level region and offset gate level feature line ends |
US8669594B2 (en) | 2008-03-13 | 2014-03-11 | Tela Innovations, Inc. | Integrated circuit including cross-coupled transistors having gate electrodes formed within at least twelve gate level feature layout channels |
US8669595B2 (en) | 2008-03-13 | 2014-03-11 | Tela Innovations, Inc. | Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate contact position, alignment, and offset specifications |
US10651200B2 (en) | 2008-03-13 | 2020-05-12 | Tela Innovations, Inc. | Cross-coupled transistor circuit defined on three gate electrode tracks |
US8853794B2 (en) | 2008-03-13 | 2014-10-07 | Tela Innovations, Inc. | Integrated circuit within semiconductor chip including cross-coupled transistor configuration |
US9536899B2 (en) | 2008-03-13 | 2017-01-03 | Tela Innovations, Inc. | Semiconductor chip including integrated circuit having cross-coupled transistor configuration and method for manufacturing the same |
US8785979B2 (en) | 2008-03-13 | 2014-07-22 | Tela Innovations, Inc. | Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with two inside positioned gate contacts and two outside positioned gate contacts and electrical connection of cross-coupled transistors through same interconnect layer |
US8847329B2 (en) | 2008-03-13 | 2014-09-30 | Tela Innovations, Inc. | Cross-coupled transistor circuit defined having diffusion regions of common node on opposing sides of same gate electrode track with at least two non-inner positioned gate contacts |
US8847331B2 (en) | 2008-03-13 | 2014-09-30 | Tela Innovations, Inc. | Semiconductor chip including region having cross-coupled transistor configuration with offset electrical connection areas on gate electrode forming conductive structures and at least two different inner extension distances of gate electrode forming conductive structures |
US8816402B2 (en) | 2008-03-13 | 2014-08-26 | Tela Innovations, Inc. | Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate level feature layout channel including single transistor |
US8552508B2 (en) | 2008-03-13 | 2013-10-08 | Tela Innovations, Inc. | Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with shared diffusion regions on opposite sides of two-transistor-forming gate level feature and electrical connection of transistor gates through linear interconnect conductors in single interconnect layer |
US8735995B2 (en) | 2008-03-13 | 2014-05-27 | Tela Innovations, Inc. | Cross-coupled transistor circuit defined on three gate electrode tracks with diffusion regions of common node on opposing sides of same gate electrode track |
US8587034B2 (en) | 2008-03-13 | 2013-11-19 | Tela Innovations, Inc. | Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with four inside positioned gate contacts and electrical connection of transistor gates through linear interconnect conductors in single interconnect layer |
US8581304B2 (en) | 2008-03-13 | 2013-11-12 | Tela Innovations, Inc. | Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with four inside positioned gate contacts having offset and aligned relationships |
US8575706B2 (en) | 2008-03-13 | 2013-11-05 | Tela Innovations, Inc. | Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with at least two different gate level features inner extensions beyond gate electrode |
US10020321B2 (en) | 2008-03-13 | 2018-07-10 | Tela Innovations, Inc. | Cross-coupled transistor circuit defined on two gate electrode tracks |
US8836045B2 (en) | 2008-03-13 | 2014-09-16 | Tela Innovations, Inc. | Cross-coupled transistor circuit having diffusion regions of common node on opposing sides of same gate electrode track |
US8569841B2 (en) | 2008-03-13 | 2013-10-29 | Tela Innovations, Inc. | Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with at least one gate level feature extending into adjacent gate level feature layout channel |
US9871056B2 (en) | 2008-03-13 | 2018-01-16 | Tela Innovations, Inc. | Semiconductor chip including integrated circuit having cross-coupled transistor configuration and method for manufacturing the same |
US8564071B2 (en) | 2008-03-13 | 2013-10-22 | Tela Innovations, Inc. | Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with at least two different gate level feature extensions beyond contact |
US8835989B2 (en) | 2008-03-13 | 2014-09-16 | Tela Innovations, Inc. | Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate electrode placement specifications |
US8558322B2 (en) | 2008-03-13 | 2013-10-15 | Tela Innovations, Inc. | Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with at least two gate electrodes electrically connected to each other through gate level feature |
US9779200B2 (en) | 2008-03-27 | 2017-10-03 | Tela Innovations, Inc. | Methods for multi-wire routing and apparatus implementing same |
US8759985B2 (en) | 2008-03-27 | 2014-06-24 | Tela Innovations, Inc. | Methods for multi-wire routing and apparatus implementing same |
US9390215B2 (en) | 2008-03-27 | 2016-07-12 | Tela Innovations, Inc. | Methods for multi-wire routing and apparatus implementing same |
US9122832B2 (en) | 2008-08-01 | 2015-09-01 | Tela Innovations, Inc. | Methods for controlling microloading variation in semiconductor wafer layout and fabrication |
US10446536B2 (en) | 2009-05-06 | 2019-10-15 | Tela Innovations, Inc. | Cell circuit and layout with linear finfet structures |
US9563733B2 (en) | 2009-05-06 | 2017-02-07 | Tela Innovations, Inc. | Cell circuit and layout with linear finfet structures |
US8863063B2 (en) | 2009-05-06 | 2014-10-14 | Tela Innovations, Inc. | Finfet transistor circuit |
US20130207164A1 (en) * | 2009-07-23 | 2013-08-15 | Renesas Electronics Corporation | Semiconductor device |
US8432003B2 (en) * | 2009-07-23 | 2013-04-30 | Renesas Electronics Corporation | Semiconductor device |
US20110018090A1 (en) * | 2009-07-23 | 2011-01-27 | Nec Electronics Corporation | Semiconductor device |
US8847330B2 (en) * | 2009-07-23 | 2014-09-30 | Renesas Electronics Corporation | Semiconductor device |
US9530795B2 (en) | 2009-10-13 | 2016-12-27 | Tela Innovations, Inc. | Methods for cell boundary encroachment and semiconductor devices implementing the same |
US8661392B2 (en) | 2009-10-13 | 2014-02-25 | Tela Innovations, Inc. | Methods for cell boundary encroachment and layouts implementing the Same |
US9269702B2 (en) | 2009-10-13 | 2016-02-23 | Tela Innovations, Inc. | Methods for cell boundary encroachment and layouts implementing the same |
US9159627B2 (en) | 2010-11-12 | 2015-10-13 | Tela Innovations, Inc. | Methods for linewidth modification and apparatus implementing the same |
US9704845B2 (en) | 2010-11-12 | 2017-07-11 | Tela Innovations, Inc. | Methods for linewidth modification and apparatus implementing the same |
Also Published As
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US8129757B2 (en) | Integrated circuit including at least six linear-shaped conductive structive structures at equal pitch including at least two linear-shaped conductive structures having non-gate portions of different length | |
US7932545B2 (en) | Semiconductor device and associated layouts including gate electrode level region having arrangement of six linear conductive segments with side-to-side spacing less than 360 nanometers |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
STCF | Information on status: patent grant |
Free format text: PATENTED CASE |
|
FEPP | Fee payment procedure |
Free format text: PAT HOLDER NO LONGER CLAIMS SMALL ENTITY STATUS, ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: STOL); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY |
|
FPAY | Fee payment |
Year of fee payment: 4 |
|
MAFP | Maintenance fee payment |
Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY Year of fee payment: 8 |
|
AS | Assignment |
Owner name: RPX CORPORATION, CALIFORNIA Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:TELA INNOVATIONS, INC.;REEL/FRAME:056602/0001 Effective date: 20210604 |
|
AS | Assignment |
Owner name: BARINGS FINANCE LLC, AS COLLATERAL AGENT, NORTH CAROLINA Free format text: PATENT SECURITY AGREEMENT;ASSIGNOR:RPX CORPORATION;REEL/FRAME:063424/0569 Effective date: 20210706 |
|
FEPP | Fee payment procedure |
Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY |
|
LAPS | Lapse for failure to pay maintenance fees |
Free format text: PATENT EXPIRED FOR FAILURE TO PAY MAINTENANCE FEES (ORIGINAL EVENT CODE: EXP.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY |