US7560376B2 - Method for adjoining adjacent coatings on a processing element - Google Patents

Method for adjoining adjacent coatings on a processing element Download PDF

Info

Publication number
US7560376B2
US7560376B2 US10/550,416 US55041604A US7560376B2 US 7560376 B2 US7560376 B2 US 7560376B2 US 55041604 A US55041604 A US 55041604A US 7560376 B2 US7560376 B2 US 7560376B2
Authority
US
United States
Prior art keywords
protective barrier
recited
processing element
region
protective
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active, expires
Application number
US10/550,416
Other versions
US20070142956A1 (en
Inventor
Gary Escher
Mark A. Allen
Yasuhisa Kudo
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Assigned to TOKYO ELECTRON LIMITED reassignment TOKYO ELECTRON LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KUDO, YASUHISA, ALLEN, MARK A., ESCHER, GARY
Publication of US20070142956A1 publication Critical patent/US20070142956A1/en
Application granted granted Critical
Publication of US7560376B2 publication Critical patent/US7560376B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material

Definitions

  • the present invention relates to a method of forming a protective barrier on a processing element, and more particularly to a method of adjoining adjacent protective barriers on a processing element.
  • IC integrated circuits
  • plasma is formed within the plasma reactor under vacuum conditions by heating electrons to energies sufficient to sustain ionizing collisions with a supplied process gas.
  • the heated electrons can have energy sufficient to sustain dissociative collisions and, therefore, a specific set of gases under predetermined conditions (e.g., chamber pressure, gas flow rate, etc.) are chosen to produce a population of charged species and chemically reactive species suitable to the particular process being performed within the chamber (e.g., etching processes where materials are removed from the substrate or deposition processes where materials are added to the substrate).
  • components of the plasma processing system are coated with a protective barrier.
  • a protective barrier For example, components fabricated from aluminum can be anodized to produce a surface layer of aluminum oxide, which is more resistant to the plasma.
  • a consumable or replaceable component such as one fabricated from silicon, quartz, alumina, carbon, or silicon carbide, can be inserted within the processing chamber to protect the surfaces of more valuable components that would impose greater costs during frequent replacement.
  • a method of forming a protective barrier on a processing element is described.
  • a method for adjoining two or more protective barriers on a processing element comprising: defining a transition region on the processing element, wherein the transition region comprises an overlap of a first protective barrier and a second protective barrier; applying the first protective barrier to a first region of the processing element, the first region comprising the transition region; treating a second region of the processing element in order to improve adhesion of the second protective barrier, the second region comprising the transition region; and applying the second protective barrier to the second region.
  • a processing element for a processing system comprising a first protective barrier coupled to a first region on the processing element; and a second protective barrier coupled to a second region on the processing element, wherein the first region and the second region overlap to form a transition region.
  • FIG. 1 illustrates a schematic block diagram of a plasma processing system according to an embodiment of the present invention
  • FIG. 2A presents an expanded cross-sectional view of a portion of a processing element in a plasma processing system as shown in FIG. 1 ;
  • FIG. 2B presents another expanded cross-sectional view of a portion of a processing element in a plasma processing system as shown in FIG. 1 ;
  • FIG. 2C presents another expanded cross-sectional view of a portion of a processing element in a plasma processing system as shown in FIG. 1 ;
  • FIG. 2D presents another expanded cross-sectional view of a portion of a processing element in a plasma processing system as shown in FIG. 1 ;
  • FIG. 3 presents a method of forming a protective barrier on a processing element in a plasma processing system according to an embodiment of the present invention.
  • a plasma processing system 1 such as one capable of plasma etching, is depicted in FIG. 1 comprising a processing chamber 10 , an upper assembly 20 , an upper wall 24 , a substrate holder 30 for supporting a substrate 35 , and a pumping duct 40 coupled to a vacuum pump (not shown) for providing a reduced pressure atmosphere 11 in processing chamber 10 .
  • Processing chamber 10 can, for example, facilitate the formation of a processing plasma in a process space 12 adjacent substrate 35 .
  • the plasma processing system 1 can be configured to process various substrates (i.e. 200 mm substrates, 300 mm substrates, or larger).
  • upper assembly 20 can comprise at least one of a cover, a gas injection assembly, and an upper electrode impedance match network.
  • the upper wall 24 can, for example, be configured to comprise an electrode having an electrode plate that is coupled to a radio frequency (RF) source, and therefore facilitate an upper electrode for the plasma processing system 1 .
  • the upper assembly 20 comprises a cover and an upper wall 24 , wherein the upper wall 24 is maintained at an electrical potential equivalent to that of the processing chamber 10 .
  • the processing chamber 10 , the upper assembly 20 , and the upper wall 24 can be electrically connected to ground potential, and facilitate a grounded wall for the plasma processing system 1 .
  • Processing chamber 10 can, for example, further comprise a deposition shield 14 for protecting the plasma processing chamber 10 from the processing plasma in the process space 12 , and an optical viewport 16 .
  • Optical viewport 16 can comprise an optical window 17 coupled to the backside of an optical window deposition shield 18 , and an optical window flange 19 can be configured to couple optical window 17 to the optical window deposition shield 18 .
  • Sealing members such as O-rings, can be provided between the optical window flange 19 and the optical window 17 , between the optical window 17 and the optical window deposition shield 18 , and between the optical window deposition shield 18 and the processing chamber 10 .
  • Optical window deposition shield 18 can extend through an opening 70 within deposition shield 14 .
  • Optical viewport 16 can, for example, permit monitoring of optical emission from the processing plasma in process space 12 .
  • Substrate holder 30 can, for example, further comprise a vertical translational device 50 surrounded by a bellows 52 coupled to the substrate holder 30 and the processing chamber 10 , and configured to seal the vertical translational device 50 from the reduced pressure atmosphere 11 in processing chamber 10 .
  • a bellows shield 54 can, for example, be coupled to the substrate holder 30 and configured to protect the bellows 52 from a processing plasma.
  • Substrate holder 10 can further be coupled to a focus ring 60 , and, optionally, a shield ring 56 .
  • a baffle plate 58 can, for example, extend about a periphery of the substrate holder 30 .
  • Substrate 35 can be, for example, transferred into and out of processing chamber 10 through a slot valve (not shown) and chamber feed-through (not shown) via robotic substrate transfer system where it is received by substrate lift pins (not shown) housed within substrate holder 30 and mechanically translated by devices housed therein. Once substrate 35 is received from the substrate transfer system, it is lowered to an upper surface of substrate holder 30 .
  • Substrate 35 can be, for example, affixed to the substrate holder 30 via an electrostatic clamping system.
  • substrate holder 30 can, for example, further include a cooling system including a re-circulating coolant flow that receives heat from substrate holder 30 and transfers heat to a heat exchanger system (not shown), or when heating, transfers heat from the heat exchanger system to substrate holder 30 .
  • gas can, for example, be delivered to the back-side of substrate 35 via a backside gas system to improve the gas-gap thermal conductance between substrate 35 and substrate holder 30 .
  • Such a system can be utilized when temperature control of the substrate is required at elevated or reduced temperatures.
  • heating elements such as resistive heating elements, or thermo-electric heaters/coolers can be included.
  • substrate holder 30 can comprise an electrode through which RF power is coupled to the processing plasma in process space 12 .
  • substrate holder 30 can be electrically biased at a RF voltage via the transmission of RF power from a RF generator (not shown) through an impedance match network (not shown) to substrate holder 30 .
  • the RF bias can serve to heat electrons to form and maintain plasma.
  • the system can operate as a reactive ion etch (RIE) reactor, wherein the chamber and upper gas injection electrode serve as ground surfaces.
  • RIE reactive ion etch
  • a typical frequency for the RF bias can range from 1 MHz to 100 MHz, for example, 13.56 MHz.
  • RF systems for plasma processing are well known to those skilled in the art.
  • the processing plasma formed in process space 12 can be formed using a parallel-plate, capacitively coupled plasma (CCP) source, an inductively coupled plasma (ICP) source, transformer coupled plasma (TCP) source, any combination thereof, and with and without DC magnet systems.
  • the processing plasma in process space 12 can be formed using electron cyclotron resonance (ECR).
  • ECR electron cyclotron resonance
  • the processing plasma in process space 12 is formed from the launching of a Helicon wave.
  • the processing plasma in process space 12 is formed from a propagating surface wave.
  • plasma processing device 1 comprises one or more processing elements, each of which can be exposed to the processing plasma in process space 12 , and are, therefore, subject to potential erosion during processing.
  • the one or more processing elements can comprise an electrode plate, a deposition shield, a chamber liner, a bellows shield, a baffle plate, an optical window deposition shield, a shield ring, a focus ring, etc.
  • the processing elements are coated with a protective barrier.
  • a processing element 100 comprises a transition region 110 , wherein a first protective barrier 120 is adjoined with a second protective barrier 130 .
  • the transition region can define the extent of overlap between the first protective barrier 120 and the second protective barrier 130 .
  • the transition region 110 can comprise an edge, wherein the edge can be characterized by at least one edge radius 112 .
  • the transition region 110 can extend from 0 to 100% of the radial arc of the edge.
  • Either protective barrier 120 , 130 can, for example, comprise one of a surface anodization, a coating formed using plasma electrolytic oxidation, or a spray coating such as a thermal spray coating.
  • either protective barrier 120 , 130 can comprise at least one of Al 2 O 3 and Y 2 O 3 .
  • either protective barrier 120 , 130 comprises at least one of a III-column element (column III of periodic table) and a Lanthanon element.
  • the III column element comprises at least one of Yttrium, Scandium, and Lanthanum.
  • the Lanthanon element comprises at least one of Cerium, Dysprosium, and Europium.
  • the compound forming protective layer comprises at least one of Yttria (Y 2 O 3 ), Sc 2 O 3 , Sc 2 F 3 , YF 3 , La 2 O 3 , CeO 2 , Eu 2 O 3 , and Dy 2 O 3 .
  • either protective barrier 120 , 130 can comprise Keronite (surface coating treatment commercially available from Keronite Limited, Advanced Surface Technology, PO Box 700, Granta Park, Great Abington, Cambridge CB1 6ZY, UK).
  • either protective barrier 120 , 130 can comprise at least one of silicon, silicon carbide, alumina, Teflon, Vespel, or Kapton.
  • the first protective barrier 120 can comprise surface anodization
  • the second protective barrier 130 can comprise a spray coating.
  • the transition region 110 can comprise an edge of the processing element 100 , and the edge can be machined to comprise an edge radius 112 .
  • the edge radius 112 can exceed 0.5 mm, and, for example, it can range from 0.5 mm to 2 mm. Alternately, the edge radius 112 can exceed 2 mm. Alternately, the edge radius can approach an infinite radius (i.e. a flat surface).
  • the first protective barrier 120 can be applied to a first region 140 of the processing element in order to extend over at least a portion of the transition region 110 .
  • the extension of the first protective barrier 120 over the transition region 110 should comprise at least 50% of the edge radial arc, and desirably, the extension ranges from 90 to 110% of the edge radial arc.
  • the application of the first protective barrier can include masking regions, or surfaces, of the processing element in order to prevent the application of the first protective barrier 120 to these regions/surfaces.
  • the application of the first protective barrier 120 can further include subsequent re-machining of regions, or surfaces, of the processing element 100 where the application of the first protective coating 120 is undesirable.
  • a second region 142 of the processing element 100 can be altered in order to roughen the surface layer of the second region 142 .
  • the altering of the second region 142 can, for example, comprise grit blasting.
  • the second region 142 (highlighted by the thick dashed line) comprises a portion of the first region 140 and extends over at least a portion of the transition region 110 of the processing element.
  • the transition region 110 comprises an edge
  • the extension of the second region 142 should comprise at least 50% of the edge radial arc as shown in FIG. 2C .
  • the second protective barrier 130 can be applied to a third region 144 of the processing element in order to extend over the transition region 110 and partially cover the first protective barrier 120 .
  • the extension of the second protective barrier 130 over the transition region 110 should comprise at least 50% of the edge radial arc, and desirably, the extension ranges from 90 to 110%, as illustrated in FIG. 2D .
  • the application of the second protective barrier 130 can include masking regions, or surfaces, of the processing element in order to prevent the application of the second protective barrier 130 to these regions/surfaces.
  • FIG. 3 presents a method of adjoining adjacent coatings following the description set forth above.
  • the method is presented in flow chart 500 beginning with step 510 , wherein a transition region is defined on at least one portion of a processing element.
  • the transition region can comprise at least a portion of an edge having an edge radius on the processing element.
  • the processing element can, for example, be fabricated using at least one of machining, polishing, and grinding.
  • the processing element described above can be machined according to specifications set forth on a mechanical drawing, using conventional techniques including a mill, etc.
  • the techniques for machining a component using, for example, a mill are well known to those skilled in the art of machining such materials.
  • the processing element can, for example, comprise aluminum.
  • a first protective barrier is formed on a first region of the processing element, wherein the first region includes the transition region of the processing element.
  • the first protective barrier can, for example, comprise a surface anodization layer. At least one of masking or re-machining can be performed to ensure conformance of the first protective barrier to the first region.
  • a second region of the processing element also occupying the transition region can be altered to promote improved adhesion of a second protective barrier, particularly over the transition region.
  • the altering of the second region can, for example, comprise grit blasting.
  • a second protective barrier is formed on the second region of the processing element.
  • the second protective barrier can, for example, comprise a surface spray coating. At least one of masking or re-machining can be performed to ensure conformance of the second protective barrier to the second region.

Abstract

Two or more coatings applied to processing elements of a plasma processing system are treated with protective barriers or coatings. A method is described for adjoining two or more coatings on the processing element. Having applied a first protective barrier, a portion of the first protective barrier is treated. A second protective barrier is then applied over at least a portion of a region to which the first protective barrier was applied.

Description

CROSS-REFERENCE TO RELATED APPLICATIONS
This application claims priority to and is related to U.S. Provisional Application Ser. No. 60/458,407, filed on Mar. 31, 2003, which is related to co-pending U.S. patent application Ser. No. 10/259,757, filed Sep. 30, 2002; co-pending U.S. patent application Ser. No. 10/259,858, filed Sep. 30, 2002; co-pending U.S. patent application Ser. No. 10/259,382, filed Sep. 30, 2002; co-pending U.S. patent application Ser. No. 10/259,380, filed Sep. 30, 2002; co-pending U.S. patent application Ser. No. 10/259,353, filed Sep. 30, 2002; co-pending U.S. patent application Ser. No. 10/259,352, filed Sep. 30, 2002; and co-pending U.S. patent application Ser. No. 10/259,306, filed Sep. 30, 2002. The entire contents of all of those applications are herein incorporated by reference in their entirety.
FIELD OF THE INVENTION
The present invention relates to a method of forming a protective barrier on a processing element, and more particularly to a method of adjoining adjacent protective barriers on a processing element.
BACKGROUND OF THE INVENTION
The fabrication of integrated circuits (IC) in the semiconductor industry typically employs plasma to create and assist surface chemistry within a plasma reactor necessary to remove material from and deposit material to a substrate. In general, plasma is formed within the plasma reactor under vacuum conditions by heating electrons to energies sufficient to sustain ionizing collisions with a supplied process gas. Moreover, the heated electrons can have energy sufficient to sustain dissociative collisions and, therefore, a specific set of gases under predetermined conditions (e.g., chamber pressure, gas flow rate, etc.) are chosen to produce a population of charged species and chemically reactive species suitable to the particular process being performed within the chamber (e.g., etching processes where materials are removed from the substrate or deposition processes where materials are added to the substrate).
Although the formation of a population of charged species (ions, etc.) and chemically reactive species is necessary for performing the function of the plasma processing system (i.e. material etch, material deposition, etc.) at the substrate surface, other component surfaces on the interior of the processing chamber are exposed to the physically and chemically active plasma and, in time, can erode. The erosion of exposed components in the plasma processing system can lead to a gradual degradation of the plasma processing performance and ultimately to complete failure of the system.
In order to minimize the damage sustained by exposure to the processing plasma, components of the plasma processing system, known to sustain exposure to the processing plasma, are coated with a protective barrier. For example, components fabricated from aluminum can be anodized to produce a surface layer of aluminum oxide, which is more resistant to the plasma. In another example, a consumable or replaceable component, such as one fabricated from silicon, quartz, alumina, carbon, or silicon carbide, can be inserted within the processing chamber to protect the surfaces of more valuable components that would impose greater costs during frequent replacement. Furthermore, it is desirable to select surface materials that minimize the introduction of unwanted contaminants, impurities, etc. to the processing plasma and possibly to the devices formed on the substrate.
In both cases, the inevitable failure of the protective coating, either due to the integrity of the protective barrier or the integrity of the fabrication of the protective barrier, and the consumable nature of the replaceable components demands frequent maintenance of the plasma processing system. This frequent maintenance can produce costs associated with plasma processing down-time and new plasma processing chamber components, which can be excessive.
SUMMARY OF THE INVENTION
A method of forming a protective barrier on a processing element is described.
A method for adjoining two or more protective barriers on a processing element comprising: defining a transition region on the processing element, wherein the transition region comprises an overlap of a first protective barrier and a second protective barrier; applying the first protective barrier to a first region of the processing element, the first region comprising the transition region; treating a second region of the processing element in order to improve adhesion of the second protective barrier, the second region comprising the transition region; and applying the second protective barrier to the second region.
A processing element for a processing system comprising a first protective barrier coupled to a first region on the processing element; and a second protective barrier coupled to a second region on the processing element, wherein the first region and the second region overlap to form a transition region.
BRIEF DESCRIPTION OF THE DRAWINGS
These and other advantages of the invention will become more apparent and more readily appreciated from the following detailed description of the exemplary embodiments of the invention taken in conjunction with the accompanying drawings, where:
FIG. 1 illustrates a schematic block diagram of a plasma processing system according to an embodiment of the present invention;
FIG. 2A presents an expanded cross-sectional view of a portion of a processing element in a plasma processing system as shown in FIG. 1;
FIG. 2B presents another expanded cross-sectional view of a portion of a processing element in a plasma processing system as shown in FIG. 1;
FIG. 2C presents another expanded cross-sectional view of a portion of a processing element in a plasma processing system as shown in FIG. 1;
FIG. 2D presents another expanded cross-sectional view of a portion of a processing element in a plasma processing system as shown in FIG. 1; and
FIG. 3 presents a method of forming a protective barrier on a processing element in a plasma processing system according to an embodiment of the present invention.
DETAILED DESCRIPTION OF AN EMBODIMENT
A plasma processing system 1, such as one capable of plasma etching, is depicted in FIG. 1 comprising a processing chamber 10, an upper assembly 20, an upper wall 24, a substrate holder 30 for supporting a substrate 35, and a pumping duct 40 coupled to a vacuum pump (not shown) for providing a reduced pressure atmosphere 11 in processing chamber 10. Processing chamber 10 can, for example, facilitate the formation of a processing plasma in a process space 12 adjacent substrate 35. The plasma processing system 1 can be configured to process various substrates (i.e. 200 mm substrates, 300 mm substrates, or larger).
In the illustrated embodiment, upper assembly 20 can comprise at least one of a cover, a gas injection assembly, and an upper electrode impedance match network. For example, the upper wall 24 can, for example, be configured to comprise an electrode having an electrode plate that is coupled to a radio frequency (RF) source, and therefore facilitate an upper electrode for the plasma processing system 1. In another alternate embodiment, the upper assembly 20 comprises a cover and an upper wall 24, wherein the upper wall 24 is maintained at an electrical potential equivalent to that of the processing chamber 10. For example, the processing chamber 10, the upper assembly 20, and the upper wall 24 can be electrically connected to ground potential, and facilitate a grounded wall for the plasma processing system 1.
Processing chamber 10 can, for example, further comprise a deposition shield 14 for protecting the plasma processing chamber 10 from the processing plasma in the process space 12, and an optical viewport 16. Optical viewport 16 can comprise an optical window 17 coupled to the backside of an optical window deposition shield 18, and an optical window flange 19 can be configured to couple optical window 17 to the optical window deposition shield 18. Sealing members, such as O-rings, can be provided between the optical window flange 19 and the optical window 17, between the optical window 17 and the optical window deposition shield 18, and between the optical window deposition shield 18 and the processing chamber 10. Optical window deposition shield 18 can extend through an opening 70 within deposition shield 14. Optical viewport 16 can, for example, permit monitoring of optical emission from the processing plasma in process space 12.
Substrate holder 30 can, for example, further comprise a vertical translational device 50 surrounded by a bellows 52 coupled to the substrate holder 30 and the processing chamber 10, and configured to seal the vertical translational device 50 from the reduced pressure atmosphere 11 in processing chamber 10. Additionally, a bellows shield 54 can, for example, be coupled to the substrate holder 30 and configured to protect the bellows 52 from a processing plasma. Substrate holder 10 can further be coupled to a focus ring 60, and, optionally, a shield ring 56. Furthermore, a baffle plate 58 can, for example, extend about a periphery of the substrate holder 30.
Substrate 35 can be, for example, transferred into and out of processing chamber 10 through a slot valve (not shown) and chamber feed-through (not shown) via robotic substrate transfer system where it is received by substrate lift pins (not shown) housed within substrate holder 30 and mechanically translated by devices housed therein. Once substrate 35 is received from the substrate transfer system, it is lowered to an upper surface of substrate holder 30.
Substrate 35 can be, for example, affixed to the substrate holder 30 via an electrostatic clamping system. Furthermore, substrate holder 30 can, for example, further include a cooling system including a re-circulating coolant flow that receives heat from substrate holder 30 and transfers heat to a heat exchanger system (not shown), or when heating, transfers heat from the heat exchanger system to substrate holder 30. Moreover, gas can, for example, be delivered to the back-side of substrate 35 via a backside gas system to improve the gas-gap thermal conductance between substrate 35 and substrate holder 30. Such a system can be utilized when temperature control of the substrate is required at elevated or reduced temperatures. In other embodiments, heating elements, such as resistive heating elements, or thermo-electric heaters/coolers can be included.
In the illustrated embodiment, shown in FIG. 1, substrate holder 30 can comprise an electrode through which RF power is coupled to the processing plasma in process space 12. For example, substrate holder 30 can be electrically biased at a RF voltage via the transmission of RF power from a RF generator (not shown) through an impedance match network (not shown) to substrate holder 30. The RF bias can serve to heat electrons to form and maintain plasma. In this configuration, the system can operate as a reactive ion etch (RIE) reactor, wherein the chamber and upper gas injection electrode serve as ground surfaces. A typical frequency for the RF bias can range from 1 MHz to 100 MHz, for example, 13.56 MHz. RF systems for plasma processing are well known to those skilled in the art.
Alternately, the processing plasma formed in process space 12 can be formed using a parallel-plate, capacitively coupled plasma (CCP) source, an inductively coupled plasma (ICP) source, transformer coupled plasma (TCP) source, any combination thereof, and with and without DC magnet systems. Alternately, the processing plasma in process space 12 can be formed using electron cyclotron resonance (ECR). In yet another embodiment, the processing plasma in process space 12 is formed from the launching of a Helicon wave. In yet another embodiment, the processing plasma in process space 12 is formed from a propagating surface wave.
Referring still to FIG. 1, plasma processing device 1 comprises one or more processing elements, each of which can be exposed to the processing plasma in process space 12, and are, therefore, subject to potential erosion during processing. For example, the one or more processing elements can comprise an electrode plate, a deposition shield, a chamber liner, a bellows shield, a baffle plate, an optical window deposition shield, a shield ring, a focus ring, etc. In order to mitigate erosion of the exposed processing elements by the processing plasma and any subsequent contamination of the substrate, the processing elements are coated with a protective barrier.
In an embodiment, as depicted in FIG. 2A, a processing element 100 comprises a transition region 110, wherein a first protective barrier 120 is adjoined with a second protective barrier 130. For example, the transition region can define the extent of overlap between the first protective barrier 120 and the second protective barrier 130. As shown in FIG. 2A, the transition region 110 can comprise an edge, wherein the edge can be characterized by at least one edge radius 112. Moreover, in this example, the transition region 110 can extend from 0 to 100% of the radial arc of the edge.
Either protective barrier 120, 130 can, for example, comprise one of a surface anodization, a coating formed using plasma electrolytic oxidation, or a spray coating such as a thermal spray coating. In an embodiment, either protective barrier 120, 130 can comprise at least one of Al2O3 and Y2O3. In another embodiment, either protective barrier 120, 130 comprises at least one of a III-column element (column III of periodic table) and a Lanthanon element. In another embodiment, the III column element comprises at least one of Yttrium, Scandium, and Lanthanum. In another embodiment, the Lanthanon element comprises at least one of Cerium, Dysprosium, and Europium. In another embodiment, the compound forming protective layer comprises at least one of Yttria (Y2O3), Sc2O3, Sc2F3, YF3, La2O3, CeO2, Eu2O3, and Dy2O3. In another embodiment, either protective barrier 120, 130 can comprise Keronite (surface coating treatment commercially available from Keronite Limited, Advanced Surface Technology, PO Box 700, Granta Park, Great Abington, Cambridge CB1 6ZY, UK). In another embodiment, either protective barrier 120, 130 can comprise at least one of silicon, silicon carbide, alumina, Teflon, Vespel, or Kapton. For example, the first protective barrier 120 can comprise surface anodization, and the second protective barrier 130 can comprise a spray coating.
As illustrated in FIG. 2A, the transition region 110 can comprise an edge of the processing element 100, and the edge can be machined to comprise an edge radius 112. The edge radius 112 can exceed 0.5 mm, and, for example, it can range from 0.5 mm to 2 mm. Alternately, the edge radius 112 can exceed 2 mm. Alternately, the edge radius can approach an infinite radius (i.e. a flat surface). As illustrated in FIG. 2B, the first protective barrier 120 can be applied to a first region 140 of the processing element in order to extend over at least a portion of the transition region 110. For example, when the transition region 110 comprises an edge, the extension of the first protective barrier 120 over the transition region 110 should comprise at least 50% of the edge radial arc, and desirably, the extension ranges from 90 to 110% of the edge radial arc. The application of the first protective barrier can include masking regions, or surfaces, of the processing element in order to prevent the application of the first protective barrier 120 to these regions/surfaces. Moreover, the application of the first protective barrier 120 can further include subsequent re-machining of regions, or surfaces, of the processing element 100 where the application of the first protective coating 120 is undesirable.
Following the application of the first protective barrier 120, a second region 142 of the processing element 100 can be altered in order to roughen the surface layer of the second region 142. The altering of the second region 142 can, for example, comprise grit blasting. As illustrated in FIG. 2C, the second region 142 (highlighted by the thick dashed line) comprises a portion of the first region 140 and extends over at least a portion of the transition region 110 of the processing element. For example, when the transition region 110 comprises an edge, the extension of the second region 142 should comprise at least 50% of the edge radial arc as shown in FIG. 2C.
Following the altering of the second region 142, the second protective barrier 130 can be applied to a third region 144 of the processing element in order to extend over the transition region 110 and partially cover the first protective barrier 120. For example, when the transition region 110 comprises an edge, the extension of the second protective barrier 130 over the transition region 110 should comprise at least 50% of the edge radial arc, and desirably, the extension ranges from 90 to 110%, as illustrated in FIG. 2D. The application of the second protective barrier 130 can include masking regions, or surfaces, of the processing element in order to prevent the application of the second protective barrier 130 to these regions/surfaces.
FIG. 3 presents a method of adjoining adjacent coatings following the description set forth above. The method is presented in flow chart 500 beginning with step 510, wherein a transition region is defined on at least one portion of a processing element. For example, the transition region can comprise at least a portion of an edge having an edge radius on the processing element. The processing element can, for example, be fabricated using at least one of machining, polishing, and grinding. For example, the processing element described above can be machined according to specifications set forth on a mechanical drawing, using conventional techniques including a mill, etc. The techniques for machining a component using, for example, a mill, are well known to those skilled in the art of machining such materials. The processing element can, for example, comprise aluminum.
In step 520, a first protective barrier is formed on a first region of the processing element, wherein the first region includes the transition region of the processing element. The first protective barrier can, for example, comprise a surface anodization layer. At least one of masking or re-machining can be performed to ensure conformance of the first protective barrier to the first region.
In step 530, a second region of the processing element also occupying the transition region can be altered to promote improved adhesion of a second protective barrier, particularly over the transition region. The altering of the second region can, for example, comprise grit blasting.
In step 540, a second protective barrier is formed on the second region of the processing element. The second protective barrier can, for example, comprise a surface spray coating. At least one of masking or re-machining can be performed to ensure conformance of the second protective barrier to the second region.
Although only certain exemplary embodiments of this invention have been described in detail above, those skilled in the art will readily appreciate that many modifications are possible in the exemplary embodiments without materially departing from the novel teachings and advantages of this invention. Accordingly, all such modifications are intended to be included within the scope of this invention.

Claims (25)

1. A method for adjoining at least two protective barriers on a processing element comprising:
defining a transition region on said processing element, wherein said transition region comprises an overlap of a first protective barrier and a second protective barrier;
applying said first protective barrier to a first region of said processing element, said first region comprising a region where the second protective barrier is not applied and including said transition region;
treating a second region of said processing element in order to improve adhesion of said second protective barrier, said second region comprising a region where the first protective barrier is not applied and including said transition region; and
applying said second protective barrier to said second region such that the second protective barrier overlaps the first protective barrier only in the transition region of the first and second regions.
2. The method as recited in claim 1, wherein said transition region comprises at least a portion of an edge.
3. The method as recited in claim 2, wherein said edge is characterized by at least one edge radius.
4. The method as recited in claim 3 further comprising forming said edge, wherein said edge comprises one edge radius, and said edge radius ranges from 0.5 mm to 5 mm.
5. The method as recited in claim 4, wherein said edge radius ranges from 0.5 mm to 2 mm.
6. The method as recited in claim 1, wherein said first protective layer and said second protective layer comprise at least one of a surface anodization, a coating formed using plasma electrolytic oxidation, and a spray coating.
7. The method as recited in claim 1, wherein said first protective layer and said second protective layer comprise at least one of alumina, carbon, silicon carbide, silicon, quartz, Teflon, Vespel, and Kapton.
8. The method as recited in claim 1, wherein said first protective barrier and said second protective barrier comprise at least one of a III-column element and a Lanthanon element.
9. The method as recited in claim 1, wherein said first protective barrier and said second protective barrier comprise at least one of Yttria (Y2O3), Sc2O3, Sc2F3, YF3, La2O3, CeO2, Eu2O3, and Dy2O3.
10. The method as recited in claim 1, wherein said first protective barrier comprises a surface anodization, and said second protective barrier comprises a spray coating.
11. The method as recited in claim 1, wherein said treating comprises grit blasting.
12. A processing element for a processing system comprising:
a first protective barrier coupled to a first region on said processing element the first region including a transition region; and
a second protective barrier coupled to a second region on said processing element the second region including said transition region,
wherein said first region and said second region overlap only in said transition region such that the first and second protective barriers overlap only in the transition region of the first and second regions.
13. The processing element as recited in claim 12, wherein said second region is treated to improve the adhesion of said second protective barrier.
14. The processing element as recited in claim 13, wherein said treating comprises grit blasting.
15. The processing element as recited in claim 12, wherein said transition region comprises at least a portion of an edge.
16. The processing element as recited in claim 15, wherein said edge is characterized by at least one edge radius.
17. The processing element as recited in claim 16, wherein said edge comprises one edge radius, and said edge radius ranges from 0.5 mm to 5 mm.
18. The processing element as recited in claim 17, wherein said edge radius ranges from 0.5 mm to 2 mm.
19. The processing element as recited in claim 12, wherein said first protective layer and said second protective layer comprise at least one of a surface anodization, a coating formed using plasma electrolytic oxidation, and a spray coating.
20. The processing element as recited in claim 12, wherein said first protective layer and said second protective layer comprise at least one of alumina, carbon, silicon carbide, silicon, quartz, Teflon, Vespel, and Kapton.
21. The processing element as recited in claim 12, wherein said first protective barrier and said second protective barrier comprise at least one of a III-column element and a Lanthanon element.
22. The processing element as recited in claim 12, wherein said first protective barrier and said second protective barrier comprise at least one of Yttria (Y2O3), Sc2O3, Sc2F3, YF3, La2O3, CeO2, Eu2O3, and Dy2O3.
23. The processing element as recited in claim 12, wherein said first protective barrier comprises a surface anodization, and said second protective barrier comprises a spray coating.
24. The processing element as recited in claim 12, wherein the first and second protective barriers comprise the same material.
25. The processing element as recited in claim 12, wherein the first and second protective barriers comprise different materials.
US10/550,416 2003-03-31 2004-03-17 Method for adjoining adjacent coatings on a processing element Active 2025-02-12 US7560376B2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US45840703P 2003-03-31 2003-03-31
PCT/US2004/006077 WO2004095530A2 (en) 2003-03-31 2004-03-17 Adjoining adjacent coatings on an element

Publications (2)

Publication Number Publication Date
US20070142956A1 US20070142956A1 (en) 2007-06-21
US7560376B2 true US7560376B2 (en) 2009-07-14

Family

ID=33310682

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/550,416 Active 2025-02-12 US7560376B2 (en) 2003-03-31 2004-03-17 Method for adjoining adjacent coatings on a processing element

Country Status (6)

Country Link
US (1) US7560376B2 (en)
JP (1) JP4597972B2 (en)
KR (1) KR100918528B1 (en)
CN (1) CN100495413C (en)
TW (1) TWI238778B (en)
WO (1) WO2004095530A2 (en)

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090314432A1 (en) * 2008-06-23 2009-12-24 Tokyo Electron Limited Baffle plate and substrate processing apparatus
US20110031214A1 (en) * 2009-08-06 2011-02-10 Jisoo Kim Vacuum processing chambers incorporating a moveable flow equalizer
US20110284166A1 (en) * 2008-04-07 2011-11-24 Carducci James D Lower liner with integrated flow equalizer and improved conductance
US20160230302A1 (en) * 2013-10-31 2016-08-11 Hewlett-Packard Development Company, L.P. Method of treating metal surfaces
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10443125B2 (en) 2017-05-10 2019-10-15 Applied Materials, Inc. Flourination process to create sacrificial oxy-flouride layer
US10443126B1 (en) 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
US10676819B2 (en) 2016-06-23 2020-06-09 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US10755900B2 (en) 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
US10930526B2 (en) 2013-07-20 2021-02-23 Applied Materials, Inc. Rare-earth oxide based coatings based on ion assisted deposition
US11008653B2 (en) 2016-07-15 2021-05-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US11180847B2 (en) 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
US11198937B2 (en) 2016-04-27 2021-12-14 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11279656B2 (en) 2017-10-27 2022-03-22 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
US11566317B2 (en) 2013-12-06 2023-01-31 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US11572617B2 (en) 2016-05-03 2023-02-07 Applied Materials, Inc. Protective metal oxy-fluoride coatings
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010062209A (en) 1999-12-10 2001-07-07 히가시 데쓰로 Processing apparatus with a chamber having therein a high-etching resistant sprayed film
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US6837966B2 (en) 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
CN1249789C (en) 2002-11-28 2006-04-05 东京毅力科创株式会社 Plasma processing container internal parts
JP2012221979A (en) * 2011-04-04 2012-11-12 Toshiba Corp Plasma processing apparatus
CN104241069B (en) * 2013-06-13 2016-11-23 中微半导体设备(上海)有限公司 There is in plasma device parts and the manufacture method thereof of yittrium oxide clad
WO2015030167A1 (en) * 2013-08-29 2015-03-05 株式会社ブリヂストン Susceptor
CN105428195B (en) * 2014-09-17 2018-07-17 东京毅力科创株式会社 The component of plasma processing apparatus and the manufacturing method of component
JP6544902B2 (en) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 Plasma processing system
WO2020023302A1 (en) * 2018-07-26 2020-01-30 Lam Research Corporation Surface coating for plasma processing chamber components
CN111627790A (en) * 2019-02-27 2020-09-04 Toto株式会社 Semiconductor manufacturing apparatus member, semiconductor manufacturing apparatus, and display manufacturing apparatus
JP7357513B2 (en) * 2019-11-12 2023-10-06 東京エレクトロン株式会社 plasma processing equipment
KR102585287B1 (en) * 2020-09-08 2023-10-05 세메스 주식회사 Apparatus for treating substrate and cover ring of the same
WO2023200909A1 (en) * 2022-04-15 2023-10-19 Mks Instruments, Inc. Coatings for use in remote plasma source applications and method of their manufacture

Citations (107)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3796929A (en) * 1970-12-09 1974-03-12 Philips Nv Junction isolated integrated circuit resistor with crystal damage near isolation junction
US4310390A (en) 1977-08-10 1982-01-12 Lockheed Corporation Protective coating process for aluminum and aluminum alloys
US4357387A (en) 1981-08-20 1982-11-02 Subtex, Inc. Flame resistant insulating fabric compositions prepared by plasma spraying
US4469619A (en) 1981-09-30 1984-09-04 Sony Corporation Method of manufacturing a green phosphor
US4593007A (en) 1984-12-06 1986-06-03 The Perkin-Elmer Corporation Aluminum and silica clad refractory oxide thermal spray powder
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US4649858A (en) 1984-10-12 1987-03-17 Sumitomo Metal Industries, Ltd. Repairing apparatus for furnace wall
US4842683A (en) 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US4877757A (en) 1987-07-16 1989-10-31 Texas Instruments Incorporated Method of sequential cleaning and passivating a GaAs substrate using remote oxygen plasma
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5126102A (en) 1990-03-15 1992-06-30 Kabushiki Kaisha Toshiba Fabricating method of composite material
US5180467A (en) 1990-08-08 1993-01-19 Vlsi Technology, Inc. Etching system having simplified diffuser element removal
US5200634A (en) * 1988-09-30 1993-04-06 Hitachi, Ltd. Thin film phototransistor and photosensor array using the same
US5334462A (en) 1989-09-08 1994-08-02 United Technologies Corporation Ceramic material and insulating coating made thereof
US5362335A (en) 1993-03-25 1994-11-08 General Motors Corporation Rare earth coating process for aluminum alloys
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5367838A (en) 1992-06-01 1994-11-29 Ice Blast International, Inc. Particle blasting using crystalline ice
US5423936A (en) 1992-10-19 1995-06-13 Hitachi, Ltd. Plasma etching system
US5426310A (en) 1989-07-11 1995-06-20 Sony Corporation Method of heat-treating an oxide optical crystal and a heat treatment apparatus for carrying out the same
US5484752A (en) 1993-11-12 1996-01-16 Ube Industries, Ltd. Ceramic composite material
US5489449A (en) 1990-03-28 1996-02-06 Nisshin Flour Milling Co., Ltd. Coated particles of inorganic or metallic materials and processes of producing the same
US5494713A (en) 1994-02-03 1996-02-27 Tokyo Electron Limited Method for treating surface of aluminum material and plasma treating apparatus
US5521790A (en) 1994-05-12 1996-05-28 International Business Machines Corporation Electrostatic chuck having relatively thick and thin areas and means for uniformly cooling said thick and thin areas during chuck anodization
US5534356A (en) 1995-04-26 1996-07-09 Olin Corporation Anodized aluminum substrate having increased breakdown voltage
US5551190A (en) 1993-05-19 1996-09-03 Ohi Seisakusho Co., Ltd. Slide door driving system
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5637237A (en) 1994-03-08 1997-06-10 International Business Machines Corporation Method for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5641375A (en) 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
US5651723A (en) 1994-04-13 1997-07-29 Viratec Thin Films, Inc. Method and apparatus for cleaning substrates in preparation for deposition of thin film coatings
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5725960A (en) 1992-12-28 1998-03-10 Nippon Zeon Co., Ltd. Molded articles having hard coat layer and method for producing same
US5759360A (en) 1995-03-13 1998-06-02 Applied Materials, Inc. Wafer clean sputtering process
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5834070A (en) 1996-04-04 1998-11-10 International Center For Electron Beam Technologies Of E.O. Paton Electric Welding Institute Method of producing protective coatings with chemical composition and structure gradient across the thickness
US5851343A (en) 1997-05-16 1998-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Protective shield around the inner edge of endpoint window in a plasma etching chamber
US5868848A (en) 1995-06-07 1999-02-09 Tokyo Electron Limited Plasma processing apparatus
US5879575A (en) 1995-11-29 1999-03-09 Applied Materials, Inc. Self-cleaning plasma processing reactor
US5882411A (en) 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US5885402A (en) 1996-07-17 1999-03-23 Applied Materials Diagnostic head assembly for plasma chamber
US5885356A (en) 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5891350A (en) 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
US5892278A (en) 1996-05-24 1999-04-06 Dai Nippon Printingco., Ltd. Aluminum and aluminum alloy radiator for semiconductor device and process for producing the same
US5891253A (en) 1993-05-14 1999-04-06 Applied Materials, Inc. Corrosion resistant apparatus
US5894887A (en) 1995-11-30 1999-04-20 Applied Materials, Inc. Ceramic dome temperature control using heat pipe structure and method
US5895586A (en) 1994-05-17 1999-04-20 Hitachi, Ltd. Plasma processing apparatus and plasma processing method in which a part of the processing chamber is formed using a pre-fluorinated material of aluminum
US5900064A (en) 1997-05-01 1999-05-04 Applied Materials, Inc. Plasma process chamber
US5902763A (en) 1995-01-19 1999-05-11 Ube Industries, Inc. Fused ceramic composite
US5904778A (en) 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
US5911852A (en) 1995-06-15 1999-06-15 Sumitomo Metal Industries Limited Plasma processing apparatus
US5919332A (en) 1995-06-07 1999-07-06 Tokyo Electron Limited Plasma processing apparatus
US5925228A (en) 1997-01-09 1999-07-20 Sandia Corporation Electrophoretically active sol-gel processes to backfill, seal, and/or densify porous, flawed, and/or cracked coatings on electrically conductive material
US5944902A (en) 1997-02-10 1999-08-31 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US5948521A (en) 1995-08-11 1999-09-07 Siemens Aktiengesellscahft Thermally conductive, electrically insulating connection
US5952054A (en) 1996-02-28 1999-09-14 Nippon Steel Hardfacing Co., Ltd. Method of forming spray deposit and integrated sealer layer
US5952060A (en) 1996-06-14 1999-09-14 Applied Materials, Inc. Use of carbon-based films in extending the lifetime of substrate processing system components
US5955182A (en) 1996-02-05 1999-09-21 Kabushiki Kaisha Toshiba Heat resisting member and its production method
US5968377A (en) 1996-05-24 1999-10-19 Sekisui Chemical Co., Ltd. Treatment method in glow-discharge plasma and apparatus thereof
US5985102A (en) 1996-01-29 1999-11-16 Micron Technology, Inc. Kit for electrically isolating collimator of PVD chamber, chamber so modified, and method of using
US5994662A (en) 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
US6068729A (en) 1997-03-03 2000-05-30 Applied Materials, Inc. Two step process for cleaning a substrate processing chamber
US6073449A (en) 1996-06-25 2000-06-13 Technova Inc. Thermoelectric apparatus
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6096161A (en) 1997-12-24 2000-08-01 Samsung Electronics Co., Ltd. Dry etching apparatus having means for preventing micro-arcing
US6106625A (en) 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6108189A (en) 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US6110287A (en) 1993-03-31 2000-08-29 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US6120955A (en) 1997-06-27 2000-09-19 Minolta Co., Ltd. Substrate for photosensitive member, photosensitive member, production method thereof and image forming apparatus using the photosensitive member
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6123804A (en) 1999-02-22 2000-09-26 Applied Materials, Inc. Sectional clamp ring
US6129808A (en) 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6139983A (en) 1997-07-15 2000-10-31 Ngk Insulators, Ltd. Corrosion-resistant member, wafer-supporting member, and method of manufacturing the same
US6143646A (en) 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
US6170429B1 (en) 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
US6176969B1 (en) 1998-04-22 2001-01-23 Samsung Electronics Co., Ltd. Baffle plate of dry etching apparatus for manufacturing semiconductor devices
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6188109B1 (en) * 1998-01-13 2001-02-13 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having a sense electrode
US6210486B1 (en) 1997-07-16 2001-04-03 Tokyo Electron Limited CVD film forming method in which a film formation preventing gas is supplied in a direction from a rear surface of an object to be processed
US6221202B1 (en) 1999-04-01 2001-04-24 International Business Machines Corporation Efficient plasma containment structure
US6246479B1 (en) 1998-06-08 2001-06-12 Lj Laboratories, L.L.C. Integrated spectrometer assembly and methods
US6266133B1 (en) 1998-05-06 2001-07-24 Canon Kabushiki Kaisha Stage device, an exposure apparatus and a device manufacturing method using the same
US6264788B1 (en) 1994-04-20 2001-07-24 Tokyo Electron Limited Plasma treatment method and apparatus
US6265757B1 (en) 1999-11-09 2001-07-24 Agere Systems Guardian Corp. Forming attached features on a semiconductor substrate
US6296740B1 (en) 1995-04-24 2001-10-02 Si Diamond Technology, Inc. Pretreatment process for a surface texturing process
US6296716B1 (en) 1999-10-01 2001-10-02 Saint-Gobain Ceramics And Plastics, Inc. Process for cleaning ceramic articles
US6312981B1 (en) * 1996-06-24 2001-11-06 Matsushita Electric Industrial Co., Ltd. Method for manufacturing semiconductor device
US6335293B1 (en) 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
JP2002094182A (en) * 2000-09-11 2002-03-29 Sony Corp Method of manufacturing semiconductor laser
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6368987B1 (en) 1997-09-30 2002-04-09 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6373573B1 (en) 2000-03-13 2002-04-16 Lj Laboratories L.L.C. Apparatus for measuring optical characteristics of a substrate and pigments applied thereto
US6383333B1 (en) 1998-04-28 2002-05-07 Tokai Carbon Company, Ltd. Protective member for inner surface of chamber and plasma processing apparatus
US6383964B1 (en) 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
US6387817B1 (en) 1999-09-07 2002-05-14 Agere Systems Guardian Corp. Plasma confinement shield
US6413578B1 (en) 2000-10-12 2002-07-02 General Electric Company Method for repairing a thermal barrier coating and repaired coating formed thereby
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
US6514377B1 (en) 1999-09-08 2003-02-04 Tokyo Electron Limited Apparatus for and method of processing an object to be processed
US6519037B2 (en) 1999-12-23 2003-02-11 Lj Laboratories, Llc Spectrometer having optical unit including a randomized fiber optic implement
US6527911B1 (en) 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
US6533910B2 (en) 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6670255B2 (en) * 2001-09-27 2003-12-30 International Business Machines Corporation Method of fabricating lateral diodes and bipolar transistors
US6849486B2 (en) * 2002-05-17 2005-02-01 Renesas Technology Corp. Method of manufacturing a thinned gate electrode utilizing protective films and etching
US7037754B2 (en) * 1999-09-20 2006-05-02 Rohm Co., Ltd. Semiconductor chip and method of producing the same
US7122861B2 (en) * 2001-08-30 2006-10-17 Sony Corporation Semiconductor device and manufacturing method thereof
US7332371B2 (en) * 1998-07-01 2008-02-19 Seiko Epson Corporation Semiconductor device and method of manufacture thereof, circuit board and electronic instrument

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69031612T2 (en) * 1989-07-05 1998-03-12 Mitsui Petrochemical Ind Magneto-optical recording media
JP2524692Y2 (en) * 1990-09-07 1997-02-05 ロデール・ニッタ株式会社 Workpiece holder
JPH076959A (en) * 1993-06-15 1995-01-10 Hiroshima Nippon Denki Kk Wafer support
JPH09139389A (en) * 1995-11-13 1997-05-27 F T L:Kk Jig for manufacturing semiconductor device and manufacture of semiconductor device
CN1076868C (en) * 1997-10-22 2001-12-26 研能科技股份有限公司 Method for processing through-hole on crystal chip with protective layer
US6383954B1 (en) * 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
JP4272786B2 (en) * 2000-01-21 2009-06-03 トーカロ株式会社 Electrostatic chuck member and manufacturing method thereof
US6863594B2 (en) * 2000-03-15 2005-03-08 Paul-Eric Preising Method and device for cleaning high-voltage carrying installation component parts
JP4651166B2 (en) * 2000-06-30 2011-03-16 京セラ株式会社 Corrosion resistant material
US20020090464A1 (en) * 2000-11-28 2002-07-11 Mingwei Jiang Sputter chamber shield
GB2383833A (en) * 2001-12-27 2003-07-09 Perkins Engines Co Ltd Piston with a ceramic reinforced ring groove
GB2386907B (en) * 2002-03-27 2005-10-26 Isle Coat Ltd Process and device for forming ceramic coatings on metals and alloys, and coatings produced by this process
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US20040060779A1 (en) * 2002-10-01 2004-04-01 Charles Kreger Distance compensating shim for clutch/brake and method of determining same
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate

Patent Citations (109)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3796929A (en) * 1970-12-09 1974-03-12 Philips Nv Junction isolated integrated circuit resistor with crystal damage near isolation junction
US4310390A (en) 1977-08-10 1982-01-12 Lockheed Corporation Protective coating process for aluminum and aluminum alloys
US4357387A (en) 1981-08-20 1982-11-02 Subtex, Inc. Flame resistant insulating fabric compositions prepared by plasma spraying
US4469619A (en) 1981-09-30 1984-09-04 Sony Corporation Method of manufacturing a green phosphor
US4649858A (en) 1984-10-12 1987-03-17 Sumitomo Metal Industries, Ltd. Repairing apparatus for furnace wall
US4593007A (en) 1984-12-06 1986-06-03 The Perkin-Elmer Corporation Aluminum and silica clad refractory oxide thermal spray powder
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US4842683A (en) 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4877757A (en) 1987-07-16 1989-10-31 Texas Instruments Incorporated Method of sequential cleaning and passivating a GaAs substrate using remote oxygen plasma
US5200634A (en) * 1988-09-30 1993-04-06 Hitachi, Ltd. Thin film phototransistor and photosensor array using the same
US5426310A (en) 1989-07-11 1995-06-20 Sony Corporation Method of heat-treating an oxide optical crystal and a heat treatment apparatus for carrying out the same
US5334462A (en) 1989-09-08 1994-08-02 United Technologies Corporation Ceramic material and insulating coating made thereof
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5126102A (en) 1990-03-15 1992-06-30 Kabushiki Kaisha Toshiba Fabricating method of composite material
US5489449A (en) 1990-03-28 1996-02-06 Nisshin Flour Milling Co., Ltd. Coated particles of inorganic or metallic materials and processes of producing the same
US5180467A (en) 1990-08-08 1993-01-19 Vlsi Technology, Inc. Etching system having simplified diffuser element removal
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5367838A (en) 1992-06-01 1994-11-29 Ice Blast International, Inc. Particle blasting using crystalline ice
US5423936A (en) 1992-10-19 1995-06-13 Hitachi, Ltd. Plasma etching system
US5725960A (en) 1992-12-28 1998-03-10 Nippon Zeon Co., Ltd. Molded articles having hard coat layer and method for producing same
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5362335A (en) 1993-03-25 1994-11-08 General Motors Corporation Rare earth coating process for aluminum alloys
US6110287A (en) 1993-03-31 2000-08-29 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US5891253A (en) 1993-05-14 1999-04-06 Applied Materials, Inc. Corrosion resistant apparatus
US5551190A (en) 1993-05-19 1996-09-03 Ohi Seisakusho Co., Ltd. Slide door driving system
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5484752A (en) 1993-11-12 1996-01-16 Ube Industries, Ltd. Ceramic composite material
US5494713A (en) 1994-02-03 1996-02-27 Tokyo Electron Limited Method for treating surface of aluminum material and plasma treating apparatus
US5637237A (en) 1994-03-08 1997-06-10 International Business Machines Corporation Method for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5798016A (en) 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5651723A (en) 1994-04-13 1997-07-29 Viratec Thin Films, Inc. Method and apparatus for cleaning substrates in preparation for deposition of thin film coatings
US6264788B1 (en) 1994-04-20 2001-07-24 Tokyo Electron Limited Plasma treatment method and apparatus
US5521790A (en) 1994-05-12 1996-05-28 International Business Machines Corporation Electrostatic chuck having relatively thick and thin areas and means for uniformly cooling said thick and thin areas during chuck anodization
US5895586A (en) 1994-05-17 1999-04-20 Hitachi, Ltd. Plasma processing apparatus and plasma processing method in which a part of the processing chamber is formed using a pre-fluorinated material of aluminum
US5641375A (en) 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
US5885356A (en) 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5891350A (en) 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
US5902763A (en) 1995-01-19 1999-05-11 Ube Industries, Inc. Fused ceramic composite
US5759360A (en) 1995-03-13 1998-06-02 Applied Materials, Inc. Wafer clean sputtering process
US6296740B1 (en) 1995-04-24 2001-10-02 Si Diamond Technology, Inc. Pretreatment process for a surface texturing process
US5534356A (en) 1995-04-26 1996-07-09 Olin Corporation Anodized aluminum substrate having increased breakdown voltage
US5919332A (en) 1995-06-07 1999-07-06 Tokyo Electron Limited Plasma processing apparatus
US5868848A (en) 1995-06-07 1999-02-09 Tokyo Electron Limited Plasma processing apparatus
US5911852A (en) 1995-06-15 1999-06-15 Sumitomo Metal Industries Limited Plasma processing apparatus
US5948521A (en) 1995-08-11 1999-09-07 Siemens Aktiengesellscahft Thermally conductive, electrically insulating connection
US5879575A (en) 1995-11-29 1999-03-09 Applied Materials, Inc. Self-cleaning plasma processing reactor
US5894887A (en) 1995-11-30 1999-04-20 Applied Materials, Inc. Ceramic dome temperature control using heat pipe structure and method
US5985102A (en) 1996-01-29 1999-11-16 Micron Technology, Inc. Kit for electrically isolating collimator of PVD chamber, chamber so modified, and method of using
US5955182A (en) 1996-02-05 1999-09-21 Kabushiki Kaisha Toshiba Heat resisting member and its production method
US5952054A (en) 1996-02-28 1999-09-14 Nippon Steel Hardfacing Co., Ltd. Method of forming spray deposit and integrated sealer layer
US5834070A (en) 1996-04-04 1998-11-10 International Center For Electron Beam Technologies Of E.O. Paton Electric Welding Institute Method of producing protective coatings with chemical composition and structure gradient across the thickness
US6108189A (en) 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US5892278A (en) 1996-05-24 1999-04-06 Dai Nippon Printingco., Ltd. Aluminum and aluminum alloy radiator for semiconductor device and process for producing the same
US5968377A (en) 1996-05-24 1999-10-19 Sekisui Chemical Co., Ltd. Treatment method in glow-discharge plasma and apparatus thereof
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5952060A (en) 1996-06-14 1999-09-14 Applied Materials, Inc. Use of carbon-based films in extending the lifetime of substrate processing system components
US6312981B1 (en) * 1996-06-24 2001-11-06 Matsushita Electric Industrial Co., Ltd. Method for manufacturing semiconductor device
US6073449A (en) 1996-06-25 2000-06-13 Technova Inc. Thermoelectric apparatus
US5885402A (en) 1996-07-17 1999-03-23 Applied Materials Diagnostic head assembly for plasma chamber
US5904778A (en) 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
US5882411A (en) 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US5925228A (en) 1997-01-09 1999-07-20 Sandia Corporation Electrophoretically active sol-gel processes to backfill, seal, and/or densify porous, flawed, and/or cracked coatings on electrically conductive material
US5944902A (en) 1997-02-10 1999-08-31 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US6068729A (en) 1997-03-03 2000-05-30 Applied Materials, Inc. Two step process for cleaning a substrate processing chamber
US5900064A (en) 1997-05-01 1999-05-04 Applied Materials, Inc. Plasma process chamber
US5851343A (en) 1997-05-16 1998-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Protective shield around the inner edge of endpoint window in a plasma etching chamber
US5994662A (en) 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
US6143646A (en) 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
US6120955A (en) 1997-06-27 2000-09-19 Minolta Co., Ltd. Substrate for photosensitive member, photosensitive member, production method thereof and image forming apparatus using the photosensitive member
US6139983A (en) 1997-07-15 2000-10-31 Ngk Insulators, Ltd. Corrosion-resistant member, wafer-supporting member, and method of manufacturing the same
US6210486B1 (en) 1997-07-16 2001-04-03 Tokyo Electron Limited CVD film forming method in which a film formation preventing gas is supplied in a direction from a rear surface of an object to be processed
US6368987B1 (en) 1997-09-30 2002-04-09 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6106625A (en) 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6096161A (en) 1997-12-24 2000-08-01 Samsung Electronics Co., Ltd. Dry etching apparatus having means for preventing micro-arcing
US6188109B1 (en) * 1998-01-13 2001-02-13 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having a sense electrode
US6394026B1 (en) 1998-03-31 2002-05-28 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6129808A (en) 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6176969B1 (en) 1998-04-22 2001-01-23 Samsung Electronics Co., Ltd. Baffle plate of dry etching apparatus for manufacturing semiconductor devices
US6383333B1 (en) 1998-04-28 2002-05-07 Tokai Carbon Company, Ltd. Protective member for inner surface of chamber and plasma processing apparatus
US6266133B1 (en) 1998-05-06 2001-07-24 Canon Kabushiki Kaisha Stage device, an exposure apparatus and a device manufacturing method using the same
US6246479B1 (en) 1998-06-08 2001-06-12 Lj Laboratories, L.L.C. Integrated spectrometer assembly and methods
US7332371B2 (en) * 1998-07-01 2008-02-19 Seiko Epson Corporation Semiconductor device and method of manufacture thereof, circuit board and electronic instrument
US6335293B1 (en) 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6170429B1 (en) 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
US6383964B1 (en) 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
US6123804A (en) 1999-02-22 2000-09-26 Applied Materials, Inc. Sectional clamp ring
US6221202B1 (en) 1999-04-01 2001-04-24 International Business Machines Corporation Efficient plasma containment structure
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
US6387817B1 (en) 1999-09-07 2002-05-14 Agere Systems Guardian Corp. Plasma confinement shield
US6514377B1 (en) 1999-09-08 2003-02-04 Tokyo Electron Limited Apparatus for and method of processing an object to be processed
US7037754B2 (en) * 1999-09-20 2006-05-02 Rohm Co., Ltd. Semiconductor chip and method of producing the same
US6296716B1 (en) 1999-10-01 2001-10-02 Saint-Gobain Ceramics And Plastics, Inc. Process for cleaning ceramic articles
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6265757B1 (en) 1999-11-09 2001-07-24 Agere Systems Guardian Corp. Forming attached features on a semiconductor substrate
US6519037B2 (en) 1999-12-23 2003-02-11 Lj Laboratories, Llc Spectrometer having optical unit including a randomized fiber optic implement
US6373573B1 (en) 2000-03-13 2002-04-16 Lj Laboratories L.L.C. Apparatus for measuring optical characteristics of a substrate and pigments applied thereto
JP2002094182A (en) * 2000-09-11 2002-03-29 Sony Corp Method of manufacturing semiconductor laser
US6413578B1 (en) 2000-10-12 2002-07-02 General Electric Company Method for repairing a thermal barrier coating and repaired coating formed thereby
US6533910B2 (en) 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6527911B1 (en) 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
US7122861B2 (en) * 2001-08-30 2006-10-17 Sony Corporation Semiconductor device and manufacturing method thereof
US6670255B2 (en) * 2001-09-27 2003-12-30 International Business Machines Corporation Method of fabricating lateral diodes and bipolar transistors
US6849486B2 (en) * 2002-05-17 2005-02-01 Renesas Technology Corp. Method of manufacturing a thinned gate electrode utilizing protective films and etching

Non-Patent Citations (6)

* Cited by examiner, † Cited by third party
Title
JIS Using Series, "Spraying Techniques Manual.", p. 95 (Oct. 30, 1998, Japanese Standard Association), with English Translation.
Kim, Hee Jee, "Plasma-Sprayed Alumina-Yttria Ceramic Coatings for Cavitation-Erosion Protection," Sep. 1989, pp. 139-146.
Production drawing for Deposition Shield believed to be sold in the U.S. prior to Sep. 30, 2001.
Production drawing for Deposition Shield, Upper believed to be sold in the U.S. on Apr. 12, 2000.
Production drawing for Upper Electrode believed to be sold in the U.S. prior to Sep. 30, 2001.
Yousha Gitjutsu Handbook, 1st Edition, Japan Thermal Spraying Society, Techno Consultants, Inc., pp. 3, 316-317 (1998) (with partial English translation).

Cited By (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110284166A1 (en) * 2008-04-07 2011-11-24 Carducci James D Lower liner with integrated flow equalizer and improved conductance
US8118938B2 (en) * 2008-04-07 2012-02-21 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
US20120145326A1 (en) * 2008-04-07 2012-06-14 Carducci James D Lower liner with integrated flow equalizer and improved conductance
US8282736B2 (en) * 2008-04-07 2012-10-09 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
US20120325406A1 (en) * 2008-04-07 2012-12-27 Carducci James D Lower liner with integrated flow equalizer and improved conductance
US8440019B2 (en) * 2008-04-07 2013-05-14 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
US20090314432A1 (en) * 2008-06-23 2009-12-24 Tokyo Electron Limited Baffle plate and substrate processing apparatus
US8152925B2 (en) * 2008-06-23 2012-04-10 Tokyo Electron Limited Baffle plate and substrate processing apparatus
US20110031214A1 (en) * 2009-08-06 2011-02-10 Jisoo Kim Vacuum processing chambers incorporating a moveable flow equalizer
US8617347B2 (en) * 2009-08-06 2013-12-31 Applied Materials, Inc. Vacuum processing chambers incorporating a moveable flow equalizer
US11424136B2 (en) 2013-07-20 2022-08-23 Applied Materials, Inc. Rare-earth oxide based coatings based on ion assisted deposition
US10930526B2 (en) 2013-07-20 2021-02-23 Applied Materials, Inc. Rare-earth oxide based coatings based on ion assisted deposition
US20160230302A1 (en) * 2013-10-31 2016-08-11 Hewlett-Packard Development Company, L.P. Method of treating metal surfaces
US11566319B2 (en) 2013-12-06 2023-01-31 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US11566318B2 (en) 2013-12-06 2023-01-31 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US11566317B2 (en) 2013-12-06 2023-01-31 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US11198937B2 (en) 2016-04-27 2021-12-14 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11198936B2 (en) 2016-04-27 2021-12-14 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11572617B2 (en) 2016-05-03 2023-02-07 Applied Materials, Inc. Protective metal oxy-fluoride coatings
US10676819B2 (en) 2016-06-23 2020-06-09 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US11008653B2 (en) 2016-07-15 2021-05-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US10573497B2 (en) 2017-01-20 2020-02-25 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US11251023B2 (en) 2017-01-20 2022-02-15 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10755900B2 (en) 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
US10563303B2 (en) 2017-05-10 2020-02-18 Applied Materials, Inc. Metal oxy-flouride films based on oxidation of metal flourides
US10443125B2 (en) 2017-05-10 2019-10-15 Applied Materials, Inc. Flourination process to create sacrificial oxy-flouride layer
US11279656B2 (en) 2017-10-27 2022-03-22 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
US11667578B2 (en) 2017-10-27 2023-06-06 Applied Materials, Inc. Methods of making nanopowders, nanoceramic materials and nanoceramic components
US10443126B1 (en) 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US11180847B2 (en) 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts

Also Published As

Publication number Publication date
TWI238778B (en) 2005-09-01
KR100918528B1 (en) 2009-09-21
WO2004095530A3 (en) 2005-01-06
KR20050113671A (en) 2005-12-02
JP2006522482A (en) 2006-09-28
US20070142956A1 (en) 2007-06-21
JP4597972B2 (en) 2010-12-15
CN1768341A (en) 2006-05-03
CN100495413C (en) 2009-06-03
WO2004095530A2 (en) 2004-11-04
TW200424066A (en) 2004-11-16

Similar Documents

Publication Publication Date Title
US7560376B2 (en) Method for adjoining adjacent coatings on a processing element
US7291566B2 (en) Barrier layer for a processing element and a method of forming the same
US7137353B2 (en) Method and apparatus for an improved deposition shield in a plasma processing system
US6798519B2 (en) Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7566368B2 (en) Method and apparatus for an improved upper electrode plate in a plasma processing system
US7678226B2 (en) Method and apparatus for an improved bellows shield in a plasma processing system
US6837966B2 (en) Method and apparatus for an improved baffle plate in a plasma processing system
US7147749B2 (en) Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US8118936B2 (en) Method and apparatus for an improved baffle plate in a plasma processing system

Legal Events

Date Code Title Description
AS Assignment

Owner name: TOKYO ELECTRON LIMITED, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ESCHER, GARY;ALLEN, MARK A.;KUDO, YASUHISA;REEL/FRAME:018150/0824;SIGNING DATES FROM 20050909 TO 20060713

STCF Information on status: patent grant

Free format text: PATENTED CASE

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 12