US7144806B1 - ALD of tantalum using a hydride reducing agent - Google Patents

ALD of tantalum using a hydride reducing agent Download PDF

Info

Publication number
US7144806B1
US7144806B1 US10/279,147 US27914702A US7144806B1 US 7144806 B1 US7144806 B1 US 7144806B1 US 27914702 A US27914702 A US 27914702A US 7144806 B1 US7144806 B1 US 7144806B1
Authority
US
United States
Prior art keywords
tantalum
layer
precursor
containing material
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US10/279,147
Inventor
James A. Fair
Jungwan Sung
Nerissa Taylor
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Priority to US10/279,147 priority Critical patent/US7144806B1/en
Assigned to NOVELLUS SYSTEMS, INC. reassignment NOVELLUS SYSTEMS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: DRAEGER, NERISSA, FAIR, JAMES A., SUNG, JUNGWAN
Application granted granted Critical
Publication of US7144806B1 publication Critical patent/US7144806B1/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/38Borides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition

Definitions

  • This invention pertains to methods for tantalum and tantalum containing material deposition. More specifically, the invention pertains to methods that deposit a layer of tantalum or tantalum silicide material on a semiconductor device to improve adhesion between deposited copper metal and an underlying diffusion barrier, for example.
  • Damascene processes for forming integrated circuit metalization layers employ electroplated copper lines formed in vias and trenches of supporting dielectric layers. Copper atoms are rather mobile and can easily diffuse or migrate into the supporting dielectric and thereby reduce its resistance. To address this problem, damascene processes employ thin diffusion barrier layers on the entire exposed surface of the dielectric. These barrier layers are made from a material that effectively blocks transport of copper atoms into the adjacent dielectric. Typically, the barrier layers comprise slightly conductive titanium nitride or tantalum nitride at a thickness of approximately 10 to 100 angstroms.
  • the diffusion barrier materials are not sufficiently conductive to support direct electroplating of copper from solution.
  • So damascene processes first deposit a thin copper seed layer over the entire exposed diffusion barrier layer. This layer is typically formed by physical vapor deposition or electroless deposition and has a thickness of approximately 50 to 1500 angstroms. Onto the seed layer, the damascene process deposits a bulk layer of copper by electroplating. Electroplating fills all vias and trenches and continues until copper covers all exposed dielectric. Finally, the excess copper is removed by chemical mechanical polishing to provide a planar surface of exposed copper lines encased by dielectric and diffusion barriers.
  • Known processes for depositing thin tantalum layers on substrates include chemical vapor deposition (CVD) employing organometallic precursors.
  • the deposition reactions are often endothermic (or have a positive ⁇ G).
  • process must provide energy to drive the deposition reaction. This involves heating the wafer, including the previously deposited copper within the wafer. At approximately 450 degrees C., copper's material properties begin to transform so that it becomes more mobile.
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • ALE atomic layer epitaxy
  • ALD atomic layer chemical vapor deposition
  • Deposition temperature is selected such that only a saturated layer of precursor is adsorbed on the substrate surface and does not depend as much on reactant flow as the relatively higher temperatures that CVD demands.
  • the present invention provides an ALD process using a tantalum-halide precursor and a hydride reducing agent to deposit a thin, highly conformal tantalum or tantalum-containing film.
  • the methods include using a tantalum halide to deposit a saturated layer of tantalum precursor onto a substrate, then contacting the tantalum precursor with a hydride reducing agent (a silicon hydride or a boron hydride, for example) to form a thin layer of tantalum-containing material (tantalum metal or tantalum silicide or tantalum boride, for example). This composes one cycle of the ALD process.
  • tantalum-containing material can then be deposited on top of this newly formed tantalum layer by introducing more of the tantalum halide and then introducing more reducing hydride. It may be desirable to purge the reaction chamber with inert gas between each reactant exposure and after each successive deposition cycle. Subsequent layers can be added to create a tantalum-containing material layer with a desired thickness to within about 3 angstroms.
  • the tantalum or tantalum-containing film is deposited on a partially fabricated semiconductor device, in which a diffusion barrier has been deposited on a dielectric material.
  • the tantalum or tantalum-containing film can act as an adhesion layer for subsequent copper deposition.
  • the film can have other applications unrelated to adhesion. For example, when the film contains nitrogen it may serve as a diffusion barrier.
  • tantalum or tantalum-containing film may be deposited on such damascene product lines without heating the substrate above 450° C., a temperature at which copper begins to be susceptible to mobility and annealing.
  • FIG. 1 illustrates one process cycle of a generic ALD process in which reactants are sequentially introduced to a substrate surface.
  • FIG. 2 is a generic temperature desorption spectroscopy graph illustrating the different temperatures for energy of chemisorption and energy of condensation
  • FIG. 3 is a process flow diagram illustrating relevant operations employed to perform atomic layer deposition of tantalum-containing material on a semiconductor substrate.
  • FIG. 4A is a schematic illustration of a semiconductor substrate, showing the underlying layer, dielectric substrate, diffusion barrier layer, and surface features.
  • FIG. 4B shows the same semiconductor substrate as FIG. 4A with the diffusion barrier layer covered by the saturated layer of tantalum-containing material deposited by ALD.
  • FIG. 4C shows the same semiconductor substrate as FIG. 4B with the thicker layer of tantalum containing material after several cycles of the ALD process.
  • FIG. 4D shows the same semiconductor substrate as FIG. 4C with the layer of tantalum-containing material covered by a nitride layer.
  • FIG. 5 is a schematic diagram showing the basic features of an ALD apparatus suitable for practicing the current invention.
  • Atomic layer deposition can be considered a variant of the well-established chemical vapor deposition (CVD), but based on separated surface-controlled reactions.
  • ALD chemical vapor deposition
  • reactants are directed alternately over a substrate surface as depicted in FIG. 1 , separated by purging steps using an inert gas or other method.
  • ALD relies on the chemisorption of a gaseous precursor 109 (a tantalum halide in this case) to form a “saturated layer” of the precursor on a substrate surface 101 .
  • a gaseous precursor 109 a tantalum halide in this case
  • a partially formed saturated layer 111 is forming. This saturated layer is sometimes called a “monolayer” since it is nominally one precursor molecule in thickness.
  • the saturated layer merely represents a thermodynamically distinct state of adsorbed precursor on a surface, regardless of whether the precursor forms a monolayer, a fraction of a monolayer, or some multiple of monolayers.
  • a second gaseous reactant 105 is introduced to the substrate surface to react with the saturated layer 103 of reactant precursor (as depicted in third frame of FIG. 1 ).
  • the reaction chamber and substrate environment are purged with an inert gas between reactant exposures.
  • reaction byproducts 113 hydrogen and a volatile halide in this example
  • the reaction byproducts 113 are then purged with another pulse of inert gas or other method, leaving a very thin film 107 (about 3 angstroms) of the desired material (e.g., tantalum or tantalum silicide or tantalum boride) on the substrate surface 101 .
  • This entire process cycle can be repeated, adding subsequent layers of the desired material, until a very conformal and uniform layer of an accurately defined desired thickness is achieved.
  • ALD atomic layer deposition
  • Deposition temperature and reactant flow rate are selected only such that a saturated layer of precursor is adsorbed on the substrate surface and does not depend on the amount of flux of precursor molecules impinging on the surface of the substrate or on the strict homogeneity of the precursor gas, and therefore the relatively higher temperatures that CVD demands.
  • ALD relies on the fact that the energy of condensation on a homogenous surface is generally lower than the energy of chemisorption between two heterogeneous surfaces. For example, consider a substrate surface (e.g., a semiconductor wafer with a barrier layer) at a low temperature, which is covered with several layers of absorbed molecules. If one raises the temperature, at a certain point, the upper layers of the molecules will begin to desorb from the substrate. This is illustrated in a generic temperature desorption plot 201 of FIG. 2 , where the large, low temperature peak 203 , represents the upper layers of the volatile material (or bulk) desorbing from the substrate. Next, typically, there is a temperature range where no desorption occurs. See region 205 .
  • a deposition is carried out in this temperature range, represented in the generic plot as occurring between peak 203 and peak 207 , then a single saturated layer, and only a single layer, will deposit on the substrate.
  • This temperature will vary based on the nature of the substrate and depositing molecule. Those of skill in the art will understand how to determine the temperature for saturated layer adsorption of a given substrate and precursor. In one example, one generates a plot as depicted in FIG. 2 .
  • ALD of metals in particular, is a chemically challenging task that requires careful selection of metal precursor and reducing agents.
  • the metal precursor has to be stable against decomposition, adsorb and chemisorb evenly on the substrate surface, and also be easily reduced.
  • the present invention provides methods for forming thin conformal layers of tantalum or tantalum-containing material onto a substrate.
  • the thin layers are derived from precursor molecules conformally deposited on the substrate surface to a thickness of a monolayer or more, on up to many molecular layers.
  • the final thickness of the tantalum layer depends on the thickness of the precursor layer as well as the number of precursor deposition/reduction cycles.
  • the present invention employs the ALD process to deposit the tantalum-containing material onto a substrate.
  • the tantalum precursor in this invention is any tantalum-containing species that can be provided in gaseous phase, can form a saturated layer on the substrate of interest and can be reduced to form tantalum metal on the surface of a substrate under available ALD process conditions.
  • the species may be a gas at room temperature or may be a liquid or solid heated to a temperature high enough to provide sufficient vapor pressure for delivery to the substrate with an inert carrier gas.
  • a tantalum halide such as TaF 5 , TaCl 5 , TaBr 5 or TaI 5 is used for this purpose since the halides are found to have the aforementioned properties.
  • TaF 5 in particular, is preferred because it has higher vapor pressure than TaCl 5 , TaBr 5 and TaI 5 .
  • the subsequently added reducing agent is a hydride such as SiH 4 , Si 2 H 6 , B 2 H 6 or other boron hydrides.
  • the resultant thin layer contains elemental tantalum or a mixture or tantalum with TaSi X or with TaB x , depending on the reducing agent employed.
  • one commercially important application of the present invention is to use a layer of deposited tantalum as an effective adhesion material for copper in damascene processes in the fabrication of semiconductor wafers.
  • the tantalum layer is deposited on top of the copper diffusion barrier and underneath an overlying copper seed layer.
  • the tantalum deposition processes of this invention can be used to deposit tantalum layers of controlled thickness on many different types of substrate.
  • a primary application is for depositing a thin tantalum layer on a semiconductor wafer.
  • a “semiconductor wafer” as discussed in this document is a semiconductor substrate at any of the various states of manufacture/fabrication in the production of integrated circuits.
  • One standard semiconductor wafer described in this invention is a silicon wafer 200 mm in diameter, 0.75 mm thick (see SEMI Specification M1-0298).
  • semiconductor wafers of other dimensions, such as standard 300 mm diameter silicon wafers can also be processed in accordance with this invention. Note that standard specifications for a 300 mm diameter wafer may be found in SEMI Specification M1.15-0997.
  • a “substrate” is any solid on top of which a tantalum layer is to be formed in accordance with this invention.
  • the substrate may be homogeneous or heterogeneous chemically or structurally over a scale of nanometers to micrometers.
  • the invention is particularly valuable when the substrate has various gaps or recesses on its surface. Small gaps on the order of a micron or hundred nanometers are particularly difficult to conformally cover by conventional deposition techniques.
  • the present invention is particularly good at conformal deposition of tantalum or tantalum-containing material within such features. It even works with high aspect ratio features having aspect ratios of 9:1 or greater.
  • FIGS. 4A through 4D pictorially illustrate the steps of a typical tantalum ALD process with cross sections of a portion of a semiconductor device.
  • FIG. 4A shows a portion of a typical partially fabricated semiconductor wafer as a starting substrate 401 .
  • An underlying support substrate 405 which may be a previously fabricated semiconductor device level, a lower metallization layer, etc., is covered by a dielectric material 403 having various etched features.
  • a conformal diffusion barrier layer 407 covers the entire exposed surface of the wafer, including the underlying layer 405 and the dielectric layer 403 .
  • dielectric layer 403 may comprise a conventional silicon oxide or doped silicon oxide material. More exotic “low-k” materials including polymers and porous materials may also be employed.
  • typical diffusion barriers used for copper lines include titanium nitride (TiN), tantalum nitride (TaN), and a tantalum and tantalum nitride (Ta/TaN) bi-layer.
  • Other diffusion barriers used include titanium (Ti), tantalum (Ta), tantalum nitride silicon (TaNSi), tungsten (W), titanium tungsten (TiW), titanium nitride silicon (TiNSi), tungsten nitride (WN), and ruthenium oxide (RuO X ).
  • These layers are sometimes doped with silicon, carbon, boron, and/or oxygen to increase their diffusion barrier properties. This invention can be usefully applied to these compounds, but it is not limited to them. Essentially, any compound of interest that is an effective barrier against Cu diffusing through it at all post-copper deposition temperatures will work with the invention.
  • dielectric layer 403 has various features etched therein.
  • these features comprise vias for connecting vertically separated layers and trenches for defining line paths in a given metallization layer.
  • the line and via widths change with technology node.
  • a 0.13 micron (130 nanometer) technology node includes line widths that are nominally 0.13 micron wide. At these line widths and aspect ratios of 5:1 or greater, the deposition process must be highly conformal and tightly controlled.
  • the semiconductor device is next exposed to a tantalum halide precursor to form a saturated layer as indicated at block 303 .
  • a tantalum halide precursor to form a saturated layer as indicated at block 303 .
  • the invention is not limited to deposition of a single monolayer in each precursor deposition cycle. Essentially any thickness of precursor molecule may be employed: fractional layers, monolayers, bilayers, trilayers, etc.
  • the amount of precursor deposited is simply the amount that adsorbs on the substrate surface under a particular set of conditions. As explained previously, certain temperatures and pressures support only a monolayer of adsorbed precursor. More frequently, the saturated layer contains slightly less or somewhat more than a monolayer.
  • FIG. 4B depicts the single layer of ALD-deposited conformal tantalum or tantalum silicide 409 on diffusion barrier 407 .
  • Layer 409 comprises the amount of tantalum deposited in a single ALD cycle.
  • Layer 409 is nominally a monolayer of tantalum or tantalum silicide.
  • an optional hydrogen plasma treatment procedure is used to remove the excess halogen byproducts and unreacted halogen reactants.
  • This hydrogen plasma treatment is recommended to more effectively chemically remove byproducts that are still adsorbed to the surfaces of the substrate and/or reactor walls, however, it is not a necessary procedure.
  • An inert gas such as argon can be used to dilute the byproducts and remove species that are already in mobile gas phase in the reactor. See block 307 of FIG. 3 .
  • the length of time for exposure to hydrogen plasma is sufficient to remove enough halogen byproduct and unreacted reactants that they will not react or interfere with the reactants introduced in the next deposition cycle. Under typical conditions, the preferable exposure times for the hydrogen plasma pulses are between about 0.1 and 30 seconds.
  • the tantalum-containing layer 409 may be exposed to nitrogen as indicated in block 309 of the FIG. 3 process flow.
  • This operation introduces nitrogen into layer 409 in order to form a nitrogen-doped layer or a tantalum nitride.
  • this material may maintain some of tantalum's adhesiveness, improving on tantalum's copper barrier characteristics.
  • the nitrogen containing gas used for this step is any gas that can create the TaN under the process conditions in place. Examples of such gases are N 2 or NH 3 .
  • the exposure time to the nitridation gas varies depending on the desired level of nitridation.
  • FIG. 4C illustrates the result of a second (or subsequent) ALD cycle.
  • a thicker tantalum containing layer 409 ′ forms.
  • the total thickness of this layer depends on the application (diffusion barrier versus adhesion layer for example) and the technology node. For many applications, the total thickness ranges between about 5 and 50 angstroms.
  • FIG. 4D depicts the semiconductor wafer with a thin upper nitrided layer 411 on a tantalum-containing layer 409 ′.
  • tantalum-containing layer After the tantalum-containing layer is completely formed and processed as appropriate, conventional integrated circuit fabrication can continue. This includes deposition of a copper seed layer by PVD, ALD, CVD, or electroless plating for example. Alternatively, if the tantalum-containing layer is sufficiently conductive, then direct electroplating of copper onto the substrate may be appropriate. Any or all of the metallization layers may include a tantalum-containing layer deposited as described.
  • the tantalum deposition processes described in this invention are preferably conducted at temperatures no greater than about 450° C. More preferably, the deposition reaction takes place at temperatures between about 100° C. and 350° C.
  • preferred combinations of tantalum precursor and reducing agent provide a thermodynamically favored reduction reaction. Therefore, in preferred embodiments, the reactant combinations react with a negative Gibbs free energy ( ⁇ G). Examples of preferred reactant combinations include tantalum halides in combination with silicon hydrides and/or boron hydrides.
  • Suitable pressures range from about 0.1 to 10 Torr, more preferably between about 0.5 and 1 Torr. This applies regardless of whether the process is tantalum precursor deposition or reduction of the tantalum precursor.
  • the deposition conditions depend upon the nature of the precursors/reactants, the length of exposure to the precursor/reactants and the desired thickness of the deposition film.
  • the length of tantalum halide precursor exposure should be suitable to form a saturated layer under the various reaction conditions in place. Under typical conditions, the more preferable exposure times are between about 1 and 30 seconds.
  • the length of hydride reducing agent exposure should be such to react sufficiently with the saturated layer of tantalum precursor to leave tantalum metal on the substrate surface. Under typical conditions, the more preferable exposure times for the hydride reducing agent are between about 1 and 30 seconds.
  • FIG. 5 is a schematic of the apparatus in which the ALD reaction takes place.
  • the semiconductor wafer 503 is placed on top of a supporting pedestal 505 in a reaction chamber 501 .
  • the supporting pedestal 505 has a thermocouple or other temperature sensing device attached to monitor the temperature of the wafer.
  • the temperature of the wafer can be heated by any number commonly known methods, such as a wire coil.
  • a pressure gauge 513 monitors the pressure inside the chamber during operation.
  • the tantalum halide 507 and reducing agent hydride 509 are introduced in a controlled manner using valves.
  • An inert gas source 511 such as argon gas, allows for more chamber pressure and reactant concentration control.
  • a plasma generator source 517 allows for the introduction of hydrogen plasma for removing halogens after reaction described previously.
  • Nitrogen-containing gas 519 such as NH 3
  • a pump with valve 515 is used to evacuate the chamber of reactant byproducts and unused reactants between cycles of sample exposure to reactant gases.

Abstract

An ALD method deposits conformal tantalum-containing material layers on small features of a substrate surface. The method includes the following principal operations: depositing a thin conformal and saturated layer of tantalum-containing precursor over some or all of the substrate surface; using an inert gas or hydrogen plasma to purge the halogen byproducts and unused reactants; reducing the precursor to convert it to a conformal layer of tantalum or tantalum-containing material; using another purge of inert gas or hydrogen plasma to remove the halogen byproducts and unused reactants; and repeating the deposition/reduction cycles until a desired tantalum-containing material layer is achieved. An optional step of treating each newly formed surface of tantalum containing material with a nitrogen-containing agent can be added to create varying amounts of tantalum nitride.

Description

FIELD OF THE INVENTION
This invention pertains to methods for tantalum and tantalum containing material deposition. More specifically, the invention pertains to methods that deposit a layer of tantalum or tantalum silicide material on a semiconductor device to improve adhesion between deposited copper metal and an underlying diffusion barrier, for example.
BACKGROUND OF THE INVENTION
Damascene processes for forming integrated circuit metalization layers employ electroplated copper lines formed in vias and trenches of supporting dielectric layers. Copper atoms are rather mobile and can easily diffuse or migrate into the supporting dielectric and thereby reduce its resistance. To address this problem, damascene processes employ thin diffusion barrier layers on the entire exposed surface of the dielectric. These barrier layers are made from a material that effectively blocks transport of copper atoms into the adjacent dielectric. Typically, the barrier layers comprise slightly conductive titanium nitride or tantalum nitride at a thickness of approximately 10 to 100 angstroms.
The diffusion barrier materials are not sufficiently conductive to support direct electroplating of copper from solution. So damascene processes first deposit a thin copper seed layer over the entire exposed diffusion barrier layer. This layer is typically formed by physical vapor deposition or electroless deposition and has a thickness of approximately 50 to 1500 angstroms. Onto the seed layer, the damascene process deposits a bulk layer of copper by electroplating. Electroplating fills all vias and trenches and continues until copper covers all exposed dielectric. Finally, the excess copper is removed by chemical mechanical polishing to provide a planar surface of exposed copper lines encased by dielectric and diffusion barriers.
One problem encountered in the above process involves poor adhesion of the copper seed layer (and copper in general) to the underlying diffusion barrier layer. It turns out that copper does not adhere well to the diffusion barrier materials. In fact, copper does not adhere well to a wide array of materials employed in integrated circuits. One notable exception is tantalum. So, possibly the damascene process flow could employ a tantalum adhesion layer interposed between the diffusion barrier layer and the copper seed layer.
Known processes for depositing thin tantalum layers on substrates include chemical vapor deposition (CVD) employing organometallic precursors. The deposition reactions are often endothermic (or have a positive ΔG). As a consequence, process must provide energy to drive the deposition reaction. This involves heating the wafer, including the previously deposited copper within the wafer. At approximately 450 degrees C., copper's material properties begin to transform so that it becomes more mobile. Unfortunately, there are currently no thermal CVD processes available for depositing tantalum films at temperatures below 450 degrees C.
A lower-temperature alternative to using traditional thermal CVD is atomic layer deposition (ALD), also known as atomic layer epitaxy (ALE) or atomic layer chemical vapor deposition (ALCVD). Unlike CVD, ALD relies on a self-limiting, saturated, surface, growth mechanism in which the reactants are introduced alternately over the substrate surface, separated by inert gas purging. Deposition temperature is selected such that only a saturated layer of precursor is adsorbed on the substrate surface and does not depend as much on reactant flow as the relatively higher temperatures that CVD demands.
Equally important as the technique to depositing tantalum is the choice of reactant precursors. In ALD, the precursor must readily adsorb in a self-limiting mode, and once adsorbed must readily react with the co-reactant to form the desired film. Thus, many useful CVD precursors are not viable as ALD precursors, and it is not trivial to select a precursor for the ALD method. In a metal ALD process, it is especially challenging to find a metal precursor that is stable against decomposition, adsorbs evenly on the surface and can also be easily reduced.
SUMMARY OF THE INVENTION
The present invention provides an ALD process using a tantalum-halide precursor and a hydride reducing agent to deposit a thin, highly conformal tantalum or tantalum-containing film. The methods include using a tantalum halide to deposit a saturated layer of tantalum precursor onto a substrate, then contacting the tantalum precursor with a hydride reducing agent (a silicon hydride or a boron hydride, for example) to form a thin layer of tantalum-containing material (tantalum metal or tantalum silicide or tantalum boride, for example). This composes one cycle of the ALD process. Another thin conformal layer of tantalum-containing material can then be deposited on top of this newly formed tantalum layer by introducing more of the tantalum halide and then introducing more reducing hydride. It may be desirable to purge the reaction chamber with inert gas between each reactant exposure and after each successive deposition cycle. Subsequent layers can be added to create a tantalum-containing material layer with a desired thickness to within about 3 angstroms.
One particularly important application for this invention is in damascene techniques in semiconductor device fabrication. The tantalum or tantalum-containing film is deposited on a partially fabricated semiconductor device, in which a diffusion barrier has been deposited on a dielectric material. The tantalum or tantalum-containing film can act as an adhesion layer for subsequent copper deposition. Although, the film can have other applications unrelated to adhesion. For example, when the film contains nitrogen it may serve as a diffusion barrier.
An important aspect of the invention is the fact that the tantalum or tantalum-containing film may be deposited on such damascene product lines without heating the substrate above 450° C., a temperature at which copper begins to be susceptible to mobility and annealing.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 illustrates one process cycle of a generic ALD process in which reactants are sequentially introduced to a substrate surface.
FIG. 2 is a generic temperature desorption spectroscopy graph illustrating the different temperatures for energy of chemisorption and energy of condensation
FIG. 3 is a process flow diagram illustrating relevant operations employed to perform atomic layer deposition of tantalum-containing material on a semiconductor substrate.
FIG. 4A is a schematic illustration of a semiconductor substrate, showing the underlying layer, dielectric substrate, diffusion barrier layer, and surface features.
FIG. 4B shows the same semiconductor substrate as FIG. 4A with the diffusion barrier layer covered by the saturated layer of tantalum-containing material deposited by ALD.
FIG. 4C shows the same semiconductor substrate as FIG. 4B with the thicker layer of tantalum containing material after several cycles of the ALD process.
FIG. 4D shows the same semiconductor substrate as FIG. 4C with the layer of tantalum-containing material covered by a nitride layer.
FIG. 5 is a schematic diagram showing the basic features of an ALD apparatus suitable for practicing the current invention.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
Introduction
In the following detailed description of the present invention, numerous specific embodiments are set forth in order to provide a thorough understanding of the invention. However, as will be apparent to those skilled in the art, the present invention may be practiced without these specific details or by using alternate elements or processes. In other instances well-known processes, procedures and components have not been described in detail so as not to unnecessarily obscure aspects of the present invention.
Atomic layer deposition (ALD) can be considered a variant of the well-established chemical vapor deposition (CVD), but based on separated surface-controlled reactions. In ALD, reactants are directed alternately over a substrate surface as depicted in FIG. 1, separated by purging steps using an inert gas or other method. ALD relies on the chemisorption of a gaseous precursor 109 (a tantalum halide in this case) to form a “saturated layer” of the precursor on a substrate surface 101. As depicted in FIG. 1, a partially formed saturated layer 111 is forming. This saturated layer is sometimes called a “monolayer” since it is nominally one precursor molecule in thickness. However, only a certain set of temperature and pressure conditions for a given set of reactants can support a steady state of a true monolayer of adsorbed precursor. So the saturated layer merely represents a thermodynamically distinct state of adsorbed precursor on a surface, regardless of whether the precursor forms a monolayer, a fraction of a monolayer, or some multiple of monolayers.
After temperature and pressure conditions are maintained for sufficient time to establish a fully saturated layer 103 on the substrate surface 101 (as depicted in the second frame of FIG. 1), a second gaseous reactant 105 is introduced to the substrate surface to react with the saturated layer 103 of reactant precursor (as depicted in third frame of FIG. 1). Typically, the reaction chamber and substrate environment are purged with an inert gas between reactant exposures. The reaction byproducts 113 (hydrogen and a volatile halide in this example) are then purged with another pulse of inert gas or other method, leaving a very thin film 107 (about 3 angstroms) of the desired material (e.g., tantalum or tantalum silicide or tantalum boride) on the substrate surface 101. This entire process cycle can be repeated, adding subsequent layers of the desired material, until a very conformal and uniform layer of an accurately defined desired thickness is achieved.
There are significant advantages of using ALD methods as opposed to traditional CVD or physical vapor deposition (PVD) methods when it comes to fabricating state-of-the-art microelectronics. Films can be grown at relatively low temperatures using PVD techniques, but the conformality of the deposited film is poor. This can be an unacceptable limitation, especially for the ever-shrinking smaller device feature sizes. Films with better conformality can be achieved using various CVD techniques, but they usually require deposition temperatures that are too high for process compatibility with small line width copper applications.
Unlike thermal CVD processes, ALD can be preformed at relatively low temperatures and pressures. This is because of the self-limiting nature of the formation of the saturated layer of reactant precursor. Deposition temperature and reactant flow rate are selected only such that a saturated layer of precursor is adsorbed on the substrate surface and does not depend on the amount of flux of precursor molecules impinging on the surface of the substrate or on the strict homogeneity of the precursor gas, and therefore the relatively higher temperatures that CVD demands.
ALD relies on the fact that the energy of condensation on a homogenous surface is generally lower than the energy of chemisorption between two heterogeneous surfaces. For example, consider a substrate surface (e.g., a semiconductor wafer with a barrier layer) at a low temperature, which is covered with several layers of absorbed molecules. If one raises the temperature, at a certain point, the upper layers of the molecules will begin to desorb from the substrate. This is illustrated in a generic temperature desorption plot 201 of FIG. 2, where the large, low temperature peak 203, represents the upper layers of the volatile material (or bulk) desorbing from the substrate. Next, typically, there is a temperature range where no desorption occurs. See region 205. This is because the upper layers with the lower, energy of condensation have been desorbed, but the temperature is not sufficiently high to desorb the last layer, which is chemisorbed to the substrate and is thermodynamically distinct from the bulk. Desorption of the saturated layer is represented by the smaller, higher temperature peak, 207.
If a deposition is carried out in this temperature range, represented in the generic plot as occurring between peak 203 and peak 207, then a single saturated layer, and only a single layer, will deposit on the substrate. This temperature will vary based on the nature of the substrate and depositing molecule. Those of skill in the art will understand how to determine the temperature for saturated layer adsorption of a given substrate and precursor. In one example, one generates a plot as depicted in FIG. 2.
ALD of metals, in particular, is a chemically challenging task that requires careful selection of metal precursor and reducing agents. The metal precursor has to be stable against decomposition, adsorb and chemisorb evenly on the substrate surface, and also be easily reduced.
ALD of Tantalum
As stated above, the present invention provides methods for forming thin conformal layers of tantalum or tantalum-containing material onto a substrate. The thin layers are derived from precursor molecules conformally deposited on the substrate surface to a thickness of a monolayer or more, on up to many molecular layers. The final thickness of the tantalum layer depends on the thickness of the precursor layer as well as the number of precursor deposition/reduction cycles.
As described earlier, the present invention employs the ALD process to deposit the tantalum-containing material onto a substrate. In general, the tantalum precursor in this invention is any tantalum-containing species that can be provided in gaseous phase, can form a saturated layer on the substrate of interest and can be reduced to form tantalum metal on the surface of a substrate under available ALD process conditions. The species may be a gas at room temperature or may be a liquid or solid heated to a temperature high enough to provide sufficient vapor pressure for delivery to the substrate with an inert carrier gas. Preferably, a tantalum halide such as TaF5, TaCl5, TaBr5 or TaI5 is used for this purpose since the halides are found to have the aforementioned properties. TaF5, in particular, is preferred because it has higher vapor pressure than TaCl5, TaBr5 and TaI5.
In this invention, the subsequently added reducing agent is a hydride such as SiH4, Si2H6, B2H6 or other boron hydrides. The resultant thin layer contains elemental tantalum or a mixture or tantalum with TaSiX or with TaBx, depending on the reducing agent employed.
As mentioned previously, one commercially important application of the present invention is to use a layer of deposited tantalum as an effective adhesion material for copper in damascene processes in the fabrication of semiconductor wafers. The tantalum layer is deposited on top of the copper diffusion barrier and underneath an overlying copper seed layer.
The tantalum deposition processes of this invention can be used to deposit tantalum layers of controlled thickness on many different types of substrate. A primary application is for depositing a thin tantalum layer on a semiconductor wafer. A “semiconductor wafer” as discussed in this document is a semiconductor substrate at any of the various states of manufacture/fabrication in the production of integrated circuits. One standard semiconductor wafer described in this invention is a silicon wafer 200 mm in diameter, 0.75 mm thick (see SEMI Specification M1-0298). Of course, semiconductor wafers of other dimensions, such as standard 300 mm diameter silicon wafers, can also be processed in accordance with this invention. Note that standard specifications for a 300 mm diameter wafer may be found in SEMI Specification M1.15-0997.
A “substrate” is any solid on top of which a tantalum layer is to be formed in accordance with this invention. The substrate may be homogeneous or heterogeneous chemically or structurally over a scale of nanometers to micrometers. The invention is particularly valuable when the substrate has various gaps or recesses on its surface. Small gaps on the order of a micron or hundred nanometers are particularly difficult to conformally cover by conventional deposition techniques. The present invention is particularly good at conformal deposition of tantalum or tantalum-containing material within such features. It even works with high aspect ratio features having aspect ratios of 9:1 or greater.
A typical process flow for the tantalum ALD technique employed in accordance with this invention is illustrated in the flowchart of FIG. 3. FIGS. 4A through 4D pictorially illustrate the steps of a typical tantalum ALD process with cross sections of a portion of a semiconductor device. First a starting substrate material, typically a partially fabricated semiconductor device having an etched dielectric surface, is provided 301. FIG. 4A shows a portion of a typical partially fabricated semiconductor wafer as a starting substrate 401. An underlying support substrate 405, which may be a previously fabricated semiconductor device level, a lower metallization layer, etc., is covered by a dielectric material 403 having various etched features. A conformal diffusion barrier layer 407 covers the entire exposed surface of the wafer, including the underlying layer 405 and the dielectric layer 403. Depending on the application, dielectric layer 403 may comprise a conventional silicon oxide or doped silicon oxide material. More exotic “low-k” materials including polymers and porous materials may also be employed.
Note that typical diffusion barriers used for copper lines include titanium nitride (TiN), tantalum nitride (TaN), and a tantalum and tantalum nitride (Ta/TaN) bi-layer. Other diffusion barriers used include titanium (Ti), tantalum (Ta), tantalum nitride silicon (TaNSi), tungsten (W), titanium tungsten (TiW), titanium nitride silicon (TiNSi), tungsten nitride (WN), and ruthenium oxide (RuOX). These layers are sometimes doped with silicon, carbon, boron, and/or oxygen to increase their diffusion barrier properties. This invention can be usefully applied to these compounds, but it is not limited to them. Essentially, any compound of interest that is an effective barrier against Cu diffusing through it at all post-copper deposition temperatures will work with the invention.
As stated, dielectric layer 403 has various features etched therein. In a Damascene process, these features comprise vias for connecting vertically separated layers and trenches for defining line paths in a given metallization layer. The line and via widths change with technology node. A 0.13 micron (130 nanometer) technology node includes line widths that are nominally 0.13 micron wide. At these line widths and aspect ratios of 5:1 or greater, the deposition process must be highly conformal and tightly controlled.
Returning to the discussion of FIG. 3, the semiconductor device is next exposed to a tantalum halide precursor to form a saturated layer as indicated at block 303. Again note that the invention is not limited to deposition of a single monolayer in each precursor deposition cycle. Essentially any thickness of precursor molecule may be employed: fractional layers, monolayers, bilayers, trilayers, etc. In many cases, the amount of precursor deposited is simply the amount that adsorbs on the substrate surface under a particular set of conditions. As explained previously, certain temperatures and pressures support only a monolayer of adsorbed precursor. More frequently, the saturated layer contains slightly less or somewhat more than a monolayer.
When proper process conditions, i.e. temperature and pressure, are obtained so that a saturated layer of tantalum halide precursor is adsorbed, a hydride reducing agent is then introduced to the substrate surface leaving a thin layer (e.g., a monolayer) of tantalum or tantalum silicide (TaSiX) or tantalum boride (TaBx), depending on the type of hydride used. See block 305 in the flow chart. Typically, the chamber is then purged with an inert gas such as argon, although this is not required. FIG. 4B depicts the single layer of ALD-deposited conformal tantalum or tantalum silicide 409 on diffusion barrier 407. Layer 409 comprises the amount of tantalum deposited in a single ALD cycle. Layer 409 is nominally a monolayer of tantalum or tantalum silicide.
After the thin layer of tantalum-containing material 409 is formed, an optional hydrogen plasma treatment procedure is used to remove the excess halogen byproducts and unreacted halogen reactants. This hydrogen plasma treatment is recommended to more effectively chemically remove byproducts that are still adsorbed to the surfaces of the substrate and/or reactor walls, however, it is not a necessary procedure. An inert gas such as argon can be used to dilute the byproducts and remove species that are already in mobile gas phase in the reactor. See block 307 of FIG. 3. The length of time for exposure to hydrogen plasma is sufficient to remove enough halogen byproduct and unreacted reactants that they will not react or interfere with the reactants introduced in the next deposition cycle. Under typical conditions, the preferable exposure times for the hydrogen plasma pulses are between about 0.1 and 30 seconds.
Optionally, the tantalum-containing layer 409 may be exposed to nitrogen as indicated in block 309 of the FIG. 3 process flow. This operation introduces nitrogen into layer 409 in order to form a nitrogen-doped layer or a tantalum nitride. Depending on the proportion of nitrogen present, this material may maintain some of tantalum's adhesiveness, improving on tantalum's copper barrier characteristics. The nitrogen containing gas used for this step is any gas that can create the TaN under the process conditions in place. Examples of such gases are N2 or NH3. The exposure time to the nitridation gas varies depending on the desired level of nitridation.
If the layer of tantalum-containing material is not yet of desired thickness, subsequent cycles of the ALD technique can be implemented until a desired thickness is achieved. See decision 310 in the process flow of FIG. 3. FIG. 4C illustrates the result of a second (or subsequent) ALD cycle. A thicker tantalum containing layer 409′ forms. The total thickness of this layer (and hence the total number of ALD cycles) depends on the application (diffusion barrier versus adhesion layer for example) and the technology node. For many applications, the total thickness ranges between about 5 and 50 angstroms.
Experimental results from a material analyses of a tantalum containing layer processed using TF5 precursor and SiH4 reducing agent under typical experimental conditions showed the tantalum containing layer to have a resistivity of between about 200 and 300 μ/cm and a density of about 12 to 13 g/cm3. AES (Auger Electron Spectroscopy) analyses showed that the amount of tantalum in the layer ranged from between about 5% to 50% and amount of silicon (from silicide) ranged from between about 15% to 40%, depending on the sputter sampling depth. In general, the silicon concentration was found to be highest near the surface of the layer. Note that these results are presented only as one example and does not limit the invention to exclude the range of possible material qualities that may result from variations of experimental setup and conditions. The invention is not limited to the ranges observed in this experiment.
After the desired tantalum layer thickness is achieved, another optional hydrogen plasma treatment (post-cycling), as described previously, can be implemented to remove excess halogen byproducts and unreacted gases 311. At this point, another optional nitridation step can be performed 313, depending on whether the desired characteristic of the top layer of the tantalum is for copper barrier or copper adhesive qualities. FIG. 4D depicts the semiconductor wafer with a thin upper nitrided layer 411 on a tantalum-containing layer 409′.
After the tantalum-containing layer is completely formed and processed as appropriate, conventional integrated circuit fabrication can continue. This includes deposition of a copper seed layer by PVD, ALD, CVD, or electroless plating for example. Alternatively, if the tantalum-containing layer is sufficiently conductive, then direct electroplating of copper onto the substrate may be appropriate. Any or all of the metallization layers may include a tantalum-containing layer deposited as described.
As mentioned previously, copper's material properties may dictate processing at temperatures below about 450° C. Therefore, the tantalum deposition processes described in this invention are preferably conducted at temperatures no greater than about 450° C. More preferably, the deposition reaction takes place at temperatures between about 100° C. and 350° C. To maintain low deposition temperatures, preferred combinations of tantalum precursor and reducing agent provide a thermodynamically favored reduction reaction. Therefore, in preferred embodiments, the reactant combinations react with a negative Gibbs free energy (ΔG). Examples of preferred reactant combinations include tantalum halides in combination with silicon hydrides and/or boron hydrides.
Suitable pressures range from about 0.1 to 10 Torr, more preferably between about 0.5 and 1 Torr. This applies regardless of whether the process is tantalum precursor deposition or reduction of the tantalum precursor.
Generally, the deposition conditions depend upon the nature of the precursors/reactants, the length of exposure to the precursor/reactants and the desired thickness of the deposition film. The length of tantalum halide precursor exposure should be suitable to form a saturated layer under the various reaction conditions in place. Under typical conditions, the more preferable exposure times are between about 1 and 30 seconds. Likewise, the length of hydride reducing agent exposure should be such to react sufficiently with the saturated layer of tantalum precursor to leave tantalum metal on the substrate surface. Under typical conditions, the more preferable exposure times for the hydride reducing agent are between about 1 and 30 seconds.
FIG. 5 is a schematic of the apparatus in which the ALD reaction takes place. The semiconductor wafer 503 is placed on top of a supporting pedestal 505 in a reaction chamber 501. The supporting pedestal 505 has a thermocouple or other temperature sensing device attached to monitor the temperature of the wafer. The temperature of the wafer can be heated by any number commonly known methods, such as a wire coil. A pressure gauge 513 monitors the pressure inside the chamber during operation. The tantalum halide 507 and reducing agent hydride 509 are introduced in a controlled manner using valves. An inert gas source 511, such as argon gas, allows for more chamber pressure and reactant concentration control. A plasma generator source 517 allows for the introduction of hydrogen plasma for removing halogens after reaction described previously. Nitrogen-containing gas 519, such as NH3, can be introduced for an optional nitridation step described previously. A pump with valve 515 is used to evacuate the chamber of reactant byproducts and unused reactants between cycles of sample exposure to reactant gases.
Although various details of the apparatus have been omitted for clarity's sake, various design alternatives may be implemented. Therefore, the present examples are to be considered as illustrative and not restrictive, and the invention is not to be limited to the details given herein, but may be modified within the scope of the appended claims.

Claims (20)

1. A method of forming a layer of tantalum-containing material on a substrate, the method comprising:
(a) depositing from gas phase a tantalum-containing precursor onto the substrate to form an adsorbed saturated layer of the tantalum-containing precursor on the substrate, wherein the tantalum-containing precursor is deposited by a self-limiting reaction to form the adsorbed saturated layer;
(b) contacting the tantalum-containing precursor of the saturated layer with a boron hydride or a silicon hydride to form a tantalum-containing adhesion layer; and
(c) converting a portion of the tantalum-containing adhesion layer to a nitride diffusion barrier on the tantalum-containing adhesion layer to form a bilayer of a tantalum-containing adhesion layer and a nitride diffusion barrier,
where the tantalum-containing adhesion layer comprise one or more of tantalum metal, tantalum silicide and tantalum boride and wherein (a) and (b) comprise introducing the tantalum-containing precursor and the hydride alternately over the substrate.
2. The method of claim 1, further comprising contacting the tantalum-containing material with a hydrogen plasma to remove halogen atoms.
3. The method of claim 1, wherein the silicon hydride is SiH4 or Si2H6.
4. The method of claim 1, wherein both (a) and (b) are performed at temperatures not greater than about 450° C.
5. The method of claim 1, wherein the boron hydride is B2H6.
6. The method of claim 1, wherein the layer of tantalum-containing material comprises a tantalum silicide.
7. The method of claim 1, wherein the layer of tantalum-containing material comprises tantalum metal.
8. The method of claim 1, wherein the layer of tantalum-containing material is formed to a thickness of about three angstroms.
9. The method of claim 1, wherein (a) and (b) are repeated multiple times.
10. The method of claim 1, wherein the tantalum-containing adhesion layer is a tantalum silicide or a tantalum boride.
11. The method of claim 1, wherein the tantalum-containing adhesion layer comprises between about 15% and about 40% silicon.
12. The method of claim 1, further comprising repeating (a) and (b) to form an additional layer of tantalum-containing material.
13. The method of claim 1, wherein the tantalum-containing precursor is a tantalum halide.
14. The method of claim 1, wherein the substrate comprises a diffusion barrier layer on top of an oxide or a low-k dielectric in a semiconductor device.
15. The method of claim 1, further comprising contacting the layer of tantalum-containing material with a nitrogen-containing gas or nitrogen-containing plasma to form a tantalum nitride.
16. The method of claim 12, wherein the layer of tantalum-containing material is formed over multiple cycles to a total thickness of between about 5 and 50 angstroms.
17. The method of claim 13, wherein the tantalum halide is tantalum fluoride.
18. The method of claim 14, wherein the diffusion barrier layer is tantalum nitride.
19. The method of claim 14, wherein the diffusion barrier layer is titanium nitride.
20. The method of claim 15, wherein the nitrogen gas is NH3.
US10/279,147 2002-10-23 2002-10-23 ALD of tantalum using a hydride reducing agent Expired - Lifetime US7144806B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/279,147 US7144806B1 (en) 2002-10-23 2002-10-23 ALD of tantalum using a hydride reducing agent

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/279,147 US7144806B1 (en) 2002-10-23 2002-10-23 ALD of tantalum using a hydride reducing agent

Publications (1)

Publication Number Publication Date
US7144806B1 true US7144806B1 (en) 2006-12-05

Family

ID=37480597

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/279,147 Expired - Lifetime US7144806B1 (en) 2002-10-23 2002-10-23 ALD of tantalum using a hydride reducing agent

Country Status (1)

Country Link
US (1) US7144806B1 (en)

Cited By (169)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060220249A1 (en) * 2005-03-31 2006-10-05 Johnston Steven W Nobel metal barrier and seed layer for semiconductors
US20070082468A1 (en) * 2005-10-06 2007-04-12 Blalock Guy T Atomic layer deposition methods
US20070152333A1 (en) * 2005-12-29 2007-07-05 Han Choon Lee Metal Interconnection of Semiconductor Device and Method of Fabricating the Same
US20070166985A1 (en) * 2005-12-29 2007-07-19 Han Choon Lee Fabrication Method of Thin Film and Metal Line in Semiconductor Device
US20080182411A1 (en) * 2007-01-26 2008-07-31 Asm America, Inc. Plasma-enhanced ald of tantalum nitride films
US20080182410A1 (en) * 2007-01-26 2008-07-31 Asm America, Inc. Passivated stoichiometric metal nitride films
WO2013112941A1 (en) * 2012-01-27 2013-08-01 Tokyo Electron Limited Method of forming conformal metal silicide films
US9631272B2 (en) 2008-04-16 2017-04-25 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US10002936B2 (en) 2014-10-23 2018-06-19 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
CN109811329A (en) * 2019-03-19 2019-05-28 合肥安德科铭半导体科技有限公司 A kind of low temperature ald method of sull
US10580645B2 (en) * 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11367613B2 (en) 2014-09-17 2022-06-21 Asm Ip Holding B.V. Deposition of SiN
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) * 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587783B2 (en) 2013-03-14 2023-02-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11784043B2 (en) 2015-08-24 2023-10-10 ASM IP Holding, B.V. Formation of SiN thin films
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11952658B2 (en) 2022-10-24 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5851589A (en) * 1986-06-28 1998-12-22 Nihon Shinku Gijutsu Kabushiki Kaisha Method for thermal chemical vapor deposition
US5893752A (en) * 1997-12-22 1999-04-13 Motorola, Inc. Process for forming a semiconductor device
US5910880A (en) * 1997-08-20 1999-06-08 Micron Technology, Inc. Semiconductor circuit components and capacitors
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6054398A (en) * 1999-05-14 2000-04-25 Advanced Micro Devices, Inc. Semiconductor interconnect barrier for fluorinated dielectrics
US6139922A (en) * 1999-05-18 2000-10-31 Gelest, Inc. Tantalum and tantalum-based films formed using fluorine-containing source precursors and methods of making the same
US6140231A (en) * 1999-02-12 2000-10-31 Taiwan Semiconductor Manufacturing Company Robust diffusion barrier for Cu metallization
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6221792B1 (en) * 1997-06-24 2001-04-24 Lam Research Corporation Metal and metal silicide nitridization in a high density, low pressure plasma reactor
US6284646B1 (en) * 1997-08-19 2001-09-04 Samsung Electronics Co., Ltd Methods of forming smooth conductive layers for integrated circuit devices
US6319766B1 (en) * 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US6586330B1 (en) * 2002-05-07 2003-07-01 Tokyo Electron Limited Method for depositing conformal nitrified tantalum silicide films by thermal CVD

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5851589A (en) * 1986-06-28 1998-12-22 Nihon Shinku Gijutsu Kabushiki Kaisha Method for thermal chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6221792B1 (en) * 1997-06-24 2001-04-24 Lam Research Corporation Metal and metal silicide nitridization in a high density, low pressure plasma reactor
US6284646B1 (en) * 1997-08-19 2001-09-04 Samsung Electronics Co., Ltd Methods of forming smooth conductive layers for integrated circuit devices
US5910880A (en) * 1997-08-20 1999-06-08 Micron Technology, Inc. Semiconductor circuit components and capacitors
US5893752A (en) * 1997-12-22 1999-04-13 Motorola, Inc. Process for forming a semiconductor device
US6140231A (en) * 1999-02-12 2000-10-31 Taiwan Semiconductor Manufacturing Company Robust diffusion barrier for Cu metallization
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6054398A (en) * 1999-05-14 2000-04-25 Advanced Micro Devices, Inc. Semiconductor interconnect barrier for fluorinated dielectrics
US6139922A (en) * 1999-05-18 2000-10-31 Gelest, Inc. Tantalum and tantalum-based films formed using fluorine-containing source precursors and methods of making the same
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6319766B1 (en) * 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US6586330B1 (en) * 2002-05-07 2003-07-01 Tokyo Electron Limited Method for depositing conformal nitrified tantalum silicide films by thermal CVD

Non-Patent Citations (7)

* Cited by examiner, † Cited by third party
Title
George et al., "Surface Chemistry for Atomic Layer Growth," J. Phys. Chem. 1996, 100, 13121-13131.
Kim et al., "The Growth of Tantalum Thin Films by Plasma-Enhanced Atomica Layer Deposition and Diffusion Barrier Properties," Mat. Res. Soc. Symp. Proc. vol. 716, 6 pages, 2002.
Kulki et al., "Atomic Layer Epitaxy Growth of Tatalum Oxide Thin Films from Ta(OC<SUB>2</SUB>H<SUB>5</SUB>)<SUB>5 </SUB>and H<SUB>2</SUB>O," J. Electrochem. Soc., vol. 142, No. 5, 1670-1675, 1995.
Martensson and Carlsson, "Atomic Layer Epitaxy of Copper on Tatalum," Chem. Vapor Deposition 1997, 3, No. 1, 45-50.
Utriainen et al., "Studies of Metallic Thin Film Growth in an Atomic Layer Epitaxy Reactor Using M(acac)<SUB>2</SUB>(M=Ni, Cu, Pt) Precursors," Applied Surface Science 157 (2000), 151-158.
Yokoyama, et al., "Atomic Layer Controlled Deposition of Silicon Nitride an in situ Growth Observation by Infrared Reflection Absorption Spectroscopy," Applied Surface Science 112, 75-81, 1997.
Yoshio et al. "Handbook of Semiconductor Manufacturing Technology", 2000, pp. 309-316. *

Cited By (205)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7459392B2 (en) * 2005-03-31 2008-12-02 Intel Corporation Noble metal barrier and seed layer for semiconductors
US20060220249A1 (en) * 2005-03-31 2006-10-05 Johnston Steven W Nobel metal barrier and seed layer for semiconductors
US20090280639A1 (en) * 2005-10-06 2009-11-12 Micron Technology, Inc. Atomic Layer Deposition Methods
US7582562B2 (en) * 2005-10-06 2009-09-01 Micron Technology, Inc. Atomic layer deposition methods
US20070082468A1 (en) * 2005-10-06 2007-04-12 Blalock Guy T Atomic layer deposition methods
US8163648B2 (en) 2005-10-06 2012-04-24 Micron Technology, Inc. Atomic layer deposition methods
US7985679B2 (en) * 2005-10-06 2011-07-26 Micron Technology, Inc. Atomic layer deposition methods
US20070166985A1 (en) * 2005-12-29 2007-07-19 Han Choon Lee Fabrication Method of Thin Film and Metal Line in Semiconductor Device
US20070152333A1 (en) * 2005-12-29 2007-07-05 Han Choon Lee Metal Interconnection of Semiconductor Device and Method of Fabricating the Same
EP1956113A1 (en) * 2007-01-26 2008-08-13 ASM America, Inc. Plasma-enhanced ALD of tantalum nitride films
US7595270B2 (en) 2007-01-26 2009-09-29 Asm America, Inc. Passivated stoichiometric metal nitride films
US7598170B2 (en) 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
JP2008184688A (en) * 2007-01-26 2008-08-14 Asm America Inc Plasma ald of tantalum nitride film
US20080182410A1 (en) * 2007-01-26 2008-07-31 Asm America, Inc. Passivated stoichiometric metal nitride films
US20080182411A1 (en) * 2007-01-26 2008-07-31 Asm America, Inc. Plasma-enhanced ald of tantalum nitride films
US9631272B2 (en) 2008-04-16 2017-04-25 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
CN104066871B (en) * 2012-01-27 2016-09-14 东京毅力科创株式会社 The method forming conformal metal silicide film
TWI579975B (en) * 2012-01-27 2017-04-21 東京威力科創股份有限公司 Method of forming conformal metal silicide films
US8785310B2 (en) 2012-01-27 2014-07-22 Tokyo Electron Limited Method of forming conformal metal silicide films
CN104066871A (en) * 2012-01-27 2014-09-24 东京毅力科创株式会社 Method of forming conformal metal silicide films
WO2013112941A1 (en) * 2012-01-27 2013-08-01 Tokyo Electron Limited Method of forming conformal metal silicide films
US11587783B2 (en) 2013-03-14 2023-02-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US11367613B2 (en) 2014-09-17 2022-06-21 Asm Ip Holding B.V. Deposition of SiN
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10002936B2 (en) 2014-10-23 2018-06-19 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
US10636889B2 (en) 2014-10-23 2020-04-28 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
US11139383B2 (en) 2014-10-23 2021-10-05 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11784043B2 (en) 2015-08-24 2023-10-10 ASM IP Holding, B.V. Formation of SiN thin films
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10580645B2 (en) * 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
CN109811329B (en) * 2019-03-19 2021-01-29 合肥安德科铭半导体科技有限公司 Low-temperature atomic layer deposition method of oxide film
CN109811329A (en) * 2019-03-19 2019-05-28 合肥安德科铭半导体科技有限公司 A kind of low temperature ald method of sull
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) * 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11961741B2 (en) 2021-03-04 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2021-04-26 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11956977B2 (en) 2021-08-31 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11959171B2 (en) 2022-07-18 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11952658B2 (en) 2022-10-24 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material

Similar Documents

Publication Publication Date Title
US7144806B1 (en) ALD of tantalum using a hydride reducing agent
JP4674061B2 (en) Thin film formation method
US7638170B2 (en) Low resistivity metal carbonitride thin film deposition by atomic layer deposition
JP6813983B2 (en) Selective deposition of materials containing aluminum and nitrogen
US7456101B1 (en) Method for enhancing the nucleation and morphology of ruthenium films on dielectric substrates using amine containing compounds
US8101521B1 (en) Methods for improving uniformity and resistivity of thin tungsten films
KR101506019B1 (en) Vapor deposition of metal carbide films
US7955972B2 (en) Methods for growing low-resistivity tungsten for high aspect ratio and small features
US7838441B2 (en) Deposition and densification process for titanium nitride barrier layers
US6596643B2 (en) CVD TiSiN barrier for copper integration
US7595270B2 (en) Passivated stoichiometric metal nitride films
KR102036245B1 (en) Doped tantalum nitride for copper barrier applications
US9546419B2 (en) Method of reducing tungsten film roughness and resistivity
EP1691400A1 (en) Preparation of metal silicon nitride films via cyclic deposition
US20100151676A1 (en) Densification process for titanium nitride layer for submicron applications
EP1238421A1 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US7411254B2 (en) Semiconductor substrate
US7358188B2 (en) Method of forming conductive metal silicides by reaction of metal with silicon
US7745348B2 (en) Manufacturing method of a semiconductor device
TWI784036B (en) Layer forming method
Eisenbraun et al. Atomic layer deposition (ALD) of tantalum-based materials for zero thickness copper barrier applications
US11532474B2 (en) Deposition of rhenium-containing thin films
WO2021087069A1 (en) Methods to grow low resistivity metal containing films
JP2005123281A (en) Manufacturing method for semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: NOVELLUS SYSTEMS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:FAIR, JAMES A.;SUNG, JUNGWAN;DRAEGER, NERISSA;REEL/FRAME:013424/0561

Effective date: 20021018

STCF Information on status: patent grant

Free format text: PATENTED CASE

CC Certificate of correction
FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553)

Year of fee payment: 12