US7095120B2 - Semiconductor integrated circuit device with a connective portion for multilevel interconnection - Google Patents

Semiconductor integrated circuit device with a connective portion for multilevel interconnection Download PDF

Info

Publication number
US7095120B2
US7095120B2 US10/263,829 US26382902A US7095120B2 US 7095120 B2 US7095120 B2 US 7095120B2 US 26382902 A US26382902 A US 26382902A US 7095120 B2 US7095120 B2 US 7095120B2
Authority
US
United States
Prior art keywords
film
interconnect
integrated circuit
circuit device
contact hole
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime, expires
Application number
US10/263,829
Other versions
US20030067079A1 (en
Inventor
Kensuke Ishikawa
Tatsuyuki Saito
Masanori Miyauchi
Toshio Saito
Hiroshi Ashihara
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
Renesas Technology Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Assigned to HITACHI, LTD. reassignment HITACHI, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ASHIHARA, HIROSHI, MIYAUCHI, MASANORI, SAITO, TATSUYUKI, SAITO, TOSHIO, ISHIKAWA, KENSUKE
Application filed by Renesas Technology Corp filed Critical Renesas Technology Corp
Priority to US10/327,024 priority Critical patent/US7018919B2/en
Publication of US20030067079A1 publication Critical patent/US20030067079A1/en
Assigned to RENESAS TECHNOLOGY CORPORATION reassignment RENESAS TECHNOLOGY CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HITACHI, LTD.
Priority to US11/444,316 priority patent/US7569476B2/en
Publication of US7095120B2 publication Critical patent/US7095120B2/en
Application granted granted Critical
Priority to US12/345,917 priority patent/US7777346B2/en
Assigned to RENESAS ELECTRONICS CORPORATION reassignment RENESAS ELECTRONICS CORPORATION MERGER (SEE DOCUMENT FOR DETAILS). Assignors: RENESAS TECHNOLOGY CORP.
Assigned to RENESAS ELECTRONICS CORPORATION reassignment RENESAS ELECTRONICS CORPORATION CHANGE OF ADDRESS Assignors: RENESAS ELECTRONICS CORPORATION
Adjusted expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention relates to a semiconductor integrated circuit device; and, more particularly, the invention relates to a technique that is effective when applied to the formation of a connector portion between interconnects in a semiconductor integrated circuit device.
  • This damascene technique includes a single damascene method of embedding a trench for an interconnect and a trench for connecting between interconnects by two different steps and a dual damascene method of simultaneously embedding these two trenches.
  • a conductive film to be embedded in these trenches a copper film or the like having a small electrical resistance is used.
  • a conductive film having a barrier property (which will hereinafter be called a “barrier film”) is formed in order to prevent diffusion of a metal into an insulating film, such as the copper constituting the conductive film to be embedded, or in order to improve the adhesion between the conductive film to be embedded and the insulating film.
  • the present inventors have carried out an investigation on ways to effect an improvement in the reliability of interconnects or the like formed by the damascene technique and have found that the reliability of the damascene wiring has a close relation to the way the barrier film has adhered inside of the trench.
  • the barrier film is required to have a sufficient thickness in order to prevent diffusion of a metal in an insulating film, such as the copper constituting a conductive film to be embedded in a trench, and to improve adhesion of the conductive film to be embedded in the trench with the insulating film.
  • the thickness of the barrier film varies on the bottom or sidewalls of the trench. If the entire barrier film is formed to be thick so as to prevent such unevenness, the aspect ratio of a hole to be embedded with a conductive film becomes large, causing an embedding failure of the conductive film.
  • the barrier film has a higher electrical resistance than the conductive film to be embedded in the trench. If the barrier film is made excessively thick, the electrical resistance of an interconnect or connector portion becomes large, thereby disturbing high-speed operation of a semiconductor integrated circuit device.
  • the barrier film is thus required to have a thickness not greater than a predetermined thickness. If some portions of the barrier film are thin owing to uneven thickness, they provide a current pathway because a smaller resistance exists at these portions. Particularly at contact holes, if the shortest distance of a current pathway and such a portion coincide with each other, a concentration of electrons occurs. As a result, so-called electromigration, that is, attraction of metal atoms from such portions by electrons occurs. Voids appear at portions after the metal atoms have been transferred, and a connection failure or disconnection occurs.
  • An object of the present invention is to optimize the structure of a connector portion for connecting interconnects, thereby improving the electromigration properties.
  • Another object of the present invention is to optimize the structure of a barrier film at a connector portion between interconnects, thereby improving the characteristics of a semiconductor integrated circuit device.
  • a semiconductor integrated circuit device which has a hole made in an insulating film formed over a semiconductor substrate; a first conductive film formed on the bottom and sidewalls of the hole, which film has a film thickness increasing from the center of the bottom toward the sidewalls of the hole; and a second conductive film that is formed over the first conductive film and embedded inside of the hole.
  • a semiconductor integrated circuit device which has a hole made in an insulating film formed over a semiconductor substrate; a first conductive film formed on the bottom and sidewalls of the hole, which film is smaller in film thickness B at the center of the bottom of the hole than in film thickness A corresponding to a perpendicular line extending toward the bottom of the hole from the shortest point from the corner of the bottom of the hole to the surface of the first conductive film; and a second conductive film that is formed over the first conductive film and embedded inside of the hole.
  • a semiconductor integrated circuit device which has a hole made in an insulating film formed over a semiconductor substrate; a first conductive film formed on the bottom and sidewalls of the hole, which film has an electrical resistance lower at the center of the bottom of the hole than at a portion corresponding to a perpendicular line extending toward the bottom of the hole from the shortest point from the corner of the bottom of the hole to the surface of the first conductive film; and a second conductive film that is formed over the first conductive film and embedded inside of the hole.
  • a semiconductor integrated circuit device which has a first interconnect formed over a semiconductor substrate; a hole which is made in an insulating film formed over the first interconnect and having a bottom from which the first interconnect is exposed; a first conductive film formed on the bottom and sidewalls of the hole; a second conductive film formed over the first conductive film and embedded inside of the hole; and a second interconnect formed over the second conductive film, wherein a site at which a shortest pathway from the first interconnect to the second interconnect through the first and second conductive films cuts across the first conductive film does not coincide with the lowest electrical resistance site of the first conductive film.
  • a semiconductor integrated circuit device which comprises a first interconnect formed over a semiconductor substrate, an insulating film formed over the first interconnect, a hole which is made in the first interconnect and the insulating film and has a bottom positioned deeper than the surface of the first interconnect, a first conductive film which is formed on the bottom and sidewalls of the hole and is greater in the film thickness E of the sidewall portion of the hole contiguous to the surface of the first interconnect than in the film thickness B at the center of the bottom of the hole, and a second conductive film that is formed over the first conductive film and is embedded therewith inside of the hole.
  • FIG. 1 is a fragmentary cross-sectional view of a substrate illustrating a manufacturing method of a semiconductor integrated circuit device according to Embodiment 1 of the present invention
  • FIG. 2 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 1 of the present invention
  • FIG. 3 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 1 of the present invention
  • FIG. 4 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 1 of the present invention
  • FIG. 5 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 1 of the present invention
  • FIG. 6 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 1 of the present invention
  • FIG. 7 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 1 of the present invention.
  • FIG. 8 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 1 of the present invention.
  • FIG. 9 is a fragmentary cross-sectional view of a substrate of the semiconductor integrated circuit device for showing the effects of the Embodiment 1 of the present invention.
  • FIG. 10 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 1 of the present invention.
  • FIG. 11 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 1 of the present invention.
  • FIG. 12 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 1 of the present invention.
  • FIG. 13 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 1 of the present invention
  • FIG. 14 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 1 of the present invention.
  • FIG. 15 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 1 of the present invention.
  • FIG. 16 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 1 of the present invention.
  • FIG. 17 is a fragmentary cross-sectional view of a substrate of the semiconductor integrated circuit device illustrating the effect of Embodiment 1 of the present invention
  • FIG. 18 is a fragmentary cross-sectional view of a substrate of the semiconductor integrated circuit device illustrating the effect of Embodiment 1 of the present invention
  • FIG. 19 is a fragmentary cross-sectional view of a substrate of the semiconductor integrated circuit device illustrating the effect of Embodiment 1 of the present invention.
  • FIG. 20( a ) is a fragmentary plan view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 1 of the present invention, and FIG. 20( b ) is its fragmentary cross-sectional view;
  • FIG. 21( a ) is a fragmentary plan view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 1 of the present invention, and FIG. 21( b ) is its fragmentary cross-sectional view;
  • FIG. 22( a ) is a fragmentary plan view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 1 of the present invention, and FIG. 22( b ) is its fragmentary cross-sectional view;
  • FIG. 23( a ) is a fragmentary plan view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 1 of the present invention, and FIG. 23( b ) is its fragmentary cross-sectional view;
  • FIG. 24( a ) is a fragmentary plan view of a substrate of the semiconductor integrated circuit device illustrating the effect of Embodiment 1 of the present invention, and FIG. 24( b ) is its fragmentary cross-sectional view;
  • FIG. 25( a ) is a fragmentary plan view of a substrate illustrating the semiconductor integrated circuit device according to Embodiment 1 of the present invention, and FIG. 25( b ) is its fragmentary cross-sectional view;
  • FIG. 26 is a fragmentary plan view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 1 of the present invention.
  • FIG. 27 is a schematic view illustrating an apparatus used for manufacturing the semiconductor integrated circuit device according to Embodiment 1 of the present invention.
  • FIG. 28 is a graph showing an effect of Embodiment 1 of the present invention.
  • FIG. 29 is a graph showing another effect of Embodiment 1 of the present invention.
  • FIG. 30 is a graph showing a further effect of Embodiment 1 of the present invention.
  • FIG. 31 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 1 of the present invention.
  • FIG. 32 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 1 of the present invention.
  • FIG. 33 is a fragmentary cross-sectional view of a substrate illustrating a manufacturing method of a semiconductor integrated circuit device according to Embodiment 2 of the present invention.
  • FIG. 34 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 2 of the present invention.
  • FIG. 35 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 2 of the present invention.
  • FIG. 36 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 2 of the present invention.
  • FIG. 37 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 2 of the present invention.
  • FIG. 38 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 2 of the present invention.
  • FIG. 39 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 2 of the present invention.
  • FIG. 40 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 2 of the present invention.
  • FIG. 41 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 2 of the present invention.
  • FIG. 42 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 2 of the present invention.
  • FIG. 43 is a fragmentary cross-sectional view of a substrate illustrating a manufacturing method of a semiconductor integrated circuit device according to Embodiment 3 of the present invention.
  • FIG. 44 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 3 of the present invention.
  • FIG. 45 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 3 of the present invention.
  • FIG. 46 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 3 of the present invention.
  • FIG. 47 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 3 of the present invention.
  • FIG. 48 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 3 of the present invention.
  • FIG. 49 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 3 of the present invention.
  • FIG. 50 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 3 of the present invention.
  • FIG. 51 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 3 of the present invention.
  • FIG. 52 is a fragmentary cross-sectional view of a substrate of the semiconductor integrated circuit device showing the effect of Embodiment 3 of the present invention.
  • FIGS. 1 to 18 , 20 to 26 , 31 and 32 are fragmentary cross-sectional or fragmentary plan views of a substrate for illustrating the method of manufacture of the semiconductor integrated circuit device according to Embodiment 1 of the present invention.
  • an n channel MISFET (Metal Insulator Semiconductor Field Effect Transistor) Qn and a p channel MISFETQp are formed as one example of a semiconductor element.
  • MISFET Metal Insulator Semiconductor Field Effect Transistor
  • a semiconductor substrate 1 made of, for example, p type single crystal silicon is etched to form a trench therein.
  • An insulating film, for example, a silicon oxide film 7 is then embedded inside of the trench, whereby an isolation region 2 is formed.
  • This isolation region 2 defines an active region in which the MISFET is to be formed.
  • a p type impurity and an n type impurity into the semiconductor substrate which will hereinafter simply be called a “substrate”) 1
  • these impurities are diffused by heat treatment to form a p type well 3 and an n type well 4 .
  • a clean gate insulating film 8 is formed over the surface of each of the p type well 3 and n type well 4 .
  • a low-resistance polycrystalline silicon film 9 a a low-resistance polycrystalline silicon film 9 a , a thin WN (tungsten nitride) film (not illustrated) and a W (tungsten) film 9 c are deposited successively as conductive films, followed by deposition of a silicon nitride film 10 thereover to serve as an insulating film.
  • a thin WN (tungsten nitride) film not illustrated
  • a W (tungsten) film 9 c are deposited successively as conductive films, followed by deposition of a silicon nitride film 10 thereover to serve as an insulating film.
  • the silicon nitride film 10 is then etched by dry etching or the like so as to leave it in a region in which a gate electrode is to be formed.
  • the W film 9 c , WN film (not illustrated) and polycrystalline film 9 a are etched by dry etching or the like, whereby a gate electrode 9 , that is formed of the polycrystalline film 9 a , WN film (not illustrated) and W film 9 c , is formed.
  • n type impurity into the p type well 3 By ion implantation of an n type impurity into the p type well 3 , extending to both sides of the gate electrode 9 n ⁇ type semiconductor regions 11 are formed, while ion implantation of a p type impurity into the n type well 4 is performed to form p ⁇ type semiconductor regions 12 .
  • a silicon nitride film is then deposited over the substrate 1 to serve as an insulating film, followed by anisotropic etching, whereby sidewall spacers 13 are formed on the sidewalls of the gate electrode 9 .
  • n + type semiconductor regions 14 source and drain
  • p + type semiconductor regions 15 source and drain
  • the n channel type MISFETQn and p channel type MISFETQp having an LDD (Lightly Doped Drain) structure and being equipped with a source and a drain, are formed.
  • LDD Lightly Doped Drain
  • an interconnect will be formed for electrically connecting the MISFETQn and MISFETQp. Steps for forming this interconnect will be described next.
  • a silicon oxide film is deposited, to serve as an insulating film, over the MISFETQn and MISFETQp by CVD (Chemical Vapor Deposition).
  • CVD Chemical Vapor Deposition
  • the surface of the silicon oxide film is then polished by chemical mechanical polishing (CMP) to planarize the surface, whereby an interlayer insulating film TH 1 is formed.
  • CMP chemical mechanical polishing
  • a photoresist film (not illustrated is formed). This film will hereinafter simply be called a “resist film”.
  • the interlayer insulating film TH 1 is etched to form a contact hole C 1 over each of the n + type semiconductor regions 14 and p + type semiconductor regions 15 over the main surface of the semiconductor substrate 1 .
  • a plug P 1 is then formed in the contact hole C 1 by depositing, over the interlayer insulating film TH 1 , including the inside of the contact hole C 1 , a tungsten (W) film to serve as a conductive film by CVD, and then this tungsten film is polished by CMP until the interlayer insulating film TH 1 is exposed.
  • this plug P 1 may be formed to have a laminate structure of a barrier film—which has a single layer of a titanium nitride (TiN) film or a titanium (Ti) film, or a laminate film thereof—and a tungsten film.
  • a silicon nitride film H 1 a serving as an etching stopper, and a silicon oxide film H 1 b are deposited successively by CVD to serve as an insulating film over the interlayer insulating film TH 1 and plug P 1 , whereby an interconnect-trench-forming insulating film H 1 made of these films is formed.
  • the interconnect-trench-forming insulating film H 1 in a region in which a first-level interconnect is to be formed, is etched to form an interconnect trench HM 1 .
  • a silicon oxide film containing fluorine (F) may be used as an insulating film having a low dielectric constant.
  • Another insulating film having a low dielectric constant or a coating type insulating film is also usable.
  • the silicon nitride film H 1 a is utilized as an etching stopper during the above-described etching.
  • a barrier film M 1 a made of titanium nitride is deposited by sputtering.
  • a copper film M 1 b serving as a conductive film, is formed over the barrier film M 1 a by electroplating.
  • a thin copper film may be formed by sputtering or CVD as a seed film for the electroplating.
  • the copper film M 1 b and barrier film M 1 a outside of the interconnect trench HM 1 are removed by CMP, whereby a first-level interconnect M 1 is formed, having the copper film M 1 and barrier film M 1 a.
  • a silicon nitride film TH 2 a , a silicon oxide film TH 2 b , a silicon nitride film TH 2 c and a silicon oxide film TH 2 d are deposited successively, to serve as insulating films, by CVD over the first-level interconnect M 1 , whereby an interlayer insulating film TH 2 is formed.
  • the silicon nitride film TH 2 a has a function of preventing diffusion of copper, which constitutes the first-level interconnect M 1 .
  • the silicon nitride film TH 2 a can be replaced with another insulating film so long as that film has a Cu diffusion preventing function.
  • the silicon nitride film TH 2 a is used as an etching stopper upon formation of a contact hole C 2 , which will be described later.
  • the silicon nitride film TH 2 c is utilized as an etching stopper upon formation of an interconnect trench HM 2 , which will be described later.
  • a resist film (not illustrated), that is opened at a region in which a second-level interconnect is to be formed, is formed.
  • the silicon oxide film TH 2 d and silicon nitride film TH 2 c are etched from the interlayer insulating film TH 2 to form the interconnect trench HM 2 .
  • a first resist film (not illustrated) is deposited.
  • the interconnect trench HM 2 is embedded with the first resist film by etch back.
  • a second resist film (not illustrated), that is opened at a connecting region of the first-level interconnect, with the second-level interconnect is then formed over the first resist film.
  • the first resist film, the silicon oxide film TH 2 b and silicon nitride film TH 2 a are etched, whereby the contact hole C 2 is formed.
  • the formation of the interconnect trench HM 2 is followed by the formation of the contact hole C 2 .
  • the interconnect trench HM 2 may be formed by etching the silicon oxide film TH 2 d and silicon nitride film TH 2 c from a region in which the second interlevel interconnect is to be formed.
  • the below-described refractory metal such as titanium (Ti) is deposited to form a barrier film PM 2 a .
  • the refractory metal at least one of or an alloy of titanium, tantalum (Ta), tantalum nitride (TaN), titanium nitride (TiN), tungsten (W), tungsten nitride, titanium silicide nitride and tungsten silicide nitride is usable. It is also possible to use a laminate film obtained by stacking the above-described films one upon another.
  • the barrier film PM 2 a is formed to have a structure as described below.
  • FIGS. 5 and 7 are enlarged views of the vicinity of the contact hole C 2 , which is the right-most one of three contact holes C 2 , as seen in FIG. 4 .
  • FIG. 6 is a fragmentary plan view of the substrate illustrated in FIG. 5 or FIG. 7 .
  • FIG. 5 corresponds to the cross-section taken along a line A—A of FIG. 6
  • FIG. 7 corresponds to the cross-section taken along a line B—B of FIG. 6 .
  • the width of the interconnect trench HM 2 is formed to be substantially equal to that of the interconnect trench HM 1 in this Embodiment. In FIG. 6 , however, the width of the interconnect trench HM 1 is illustrated as being smaller than that of the interconnect trench HM 2 in order to facilitate observation of the elements in the drawing.
  • the barrier film PM 2 a is formed along the bottom and sidewalls of the interconnect trench HM 2 and the contact hole C 2 .
  • the barrier film PM 2 a on the bottom thereof is formed so that its thickness increases from the center of the bottom toward the sidewalls. This increase in thickness of the barrier film PM 2 a on the bottom of the contact hole C 2 , from the center of the bottom toward the sidewalls, is applied all around the bottom.
  • FIG. 8 which is a partially enlarged view of the bottom of the contact hole C 2 shown in FIG. 7
  • the thickness of the barrier film at the center of the bottom of the contact hole C 2 is B
  • the film thickness A which is a film thickness of the end portion, in the direction of the sidewalls, of the bottom of the contact hole C 2
  • the film thickness C which is a film thickness on the sidewalls at a bottom portion of the contact hole C 2
  • the film thickness B or the film thickness D which is a film thickness of the barrier film at the upper portion of each of the sidewalls of the contact hole C 2 , is formed to be at least the minimum thickness permitting maintenance of barrier properties.
  • the first-level interconnect M 1 is formed below the barrier film PM 2 a on the bottom of the contact hole C 2 , so that the barrier film PM 2 a at such a position is not always required to have a film thickness large enough to maintain barrier properties.
  • the film thickness B is therefore desirably adjusted to at least the minimum film thickness permitting maintenance of barrier properties.
  • PM 2 b and PM 2 c are copper films (their boundary is not illustrated in the drawing) over the barrier film PM 2 a .
  • TH 3 a and TH 3 b are insulating films over the copper films (PM 2 b ,PM 2 c ).
  • a copper film PM 2 c is formed, to serve as a conductive film, over the copper film PM 2 b by electroplating.
  • FIGS. 12 and 13 are enlarged views of the vicinity of the contact hole C 2 in FIG. 11 .
  • FIGS. 12 and 13 correspond to the A—A cross-sectional view and B—B cross-sectional view in FIG. 6 , respectively.
  • the second-level interconnect M 2 and connector portion (plug) P 2 are each made of the copper films PM 2 b , PM 2 c and barrier film PM 2 a . As illustrated in FIG. 12 , the second-level interconnect M 2 extends to the left side, starting from the connector portion (plug) 2 , while the first-level interconnect M 1 extends to the right side, starting from the connector portion (plug) P 2 .
  • the barrier film PM 2 a on the bottom of the contact hole C 2 increases in thickness from the center of the bottom toward the sidewalls.
  • the barrier film PM 2 a has a portion which declines towards the center of the bottom from the sidewalls of the contact hole C 2 .
  • the film thickness B of the barrier film PM 2 a on the center of the bottom of the contact hole C 2 is smaller than the film thickness A, which is the film thickness, at the end portion in the direction of the sidewalls, on the bottom of the contact hole C 2 (A ⁇ B).
  • the film thickness A can be determined, for example, by dropping a perpendicular line toward the bottom of the contact hole C 2 from the end of the shortest distance L between from the corner of the bottom of the contact hole C 2 to the surface of the barrier film PM 2 a.
  • the actual surface of the barrier film is curved at the corner of the bottom of the contact hole C 2 .
  • the above-described shortest distance L can be determined by using, as a starting point, the intersection between the extension of the side line of the contact hole C 2 and the extension of the bottom line.
  • the geometrically shortest route (route Ru 1 ) of electric current from the second-level interconnect M 2 to the first-level interconnect M 1 does not coincide with a thin portion of the barrier film PM 2 a at which the electrical resistance becomes lowest, so that a current route can be dispersed. Accordingly, a concentration of electrons (e) does not occur easily, making it possible to improve the electromigration properties.
  • the barrier film PM 2 a ′ is formed so as to be thick on the left sidewall of the contact hole C 2 and is formed so as to be thin on its right sidewall as illustrated in FIG. 19 .
  • the film thickness exhibits a gradual decrease from the left side toward the right side. Since, in the contact hole on the left end of the wafer, sputter particles coming from the right direction enter more easily than those coming from the left direction, the barrier film PM 2 a ′ is formed so as to be thick on the left sidewall or left side of the bottom opposite to the direction of movement of the sputter particles.
  • the barrier film is formed so as to be thick on the right sidewall or right side of the bottom of the contact hole (refer to FIG. 1( a ) of the above-described NIKKEI MICRODEVICES, p. 65 (July 2000)).
  • Electrons which pass through the above-described portion attract copper atoms constituting a copper film; and, with this portion as a starting point, peeling occurs at the interface between the copper films (PM 2 b ,PM 2 c ) and the barrier film PM 2 a ′. If the electric current is continuously passed, the transfer of copper becomes large, thereby forming a void, which becomes a cause of disconnection. Such a phenomenon involving the transfer of metal atoms by momentum exchange between electrons flowing through a conductor and metal ions is called electromigration.
  • the geometrically shortest route Ru 1 of electric current crosses over a thin portion (a portion whose electrical resistance becomes lowest) of the barrier film, causing a deterioration in the electromigration properties.
  • the barrier film PM 2 a on the bottom of the contact hole C 2 is formed to have a thickness increasing from the center of the bottom toward the sidewalls.
  • the geometrically shortest route Ru 1 of electric current therefore, does not cross over a thin portion (a portion whose electrical resistance becomes lowest) of the barrier film, thereby preventing a concentration of electrons to this portion. As a result, improvement in electromigration properties can be attained.
  • the barrier film PM 2 a on the bottom of the contact hole C 2 is formed to have a thickness increasing from the center of the bottom toward the sidewalls, all around the bottom of the contact hole C 2 , so that the above-described effect is available even if the first-level interconnect M 1 extends in any direction relative to the second-level interconnect M 2 .
  • FIGS. 20( a ) to 23 ( b ) the first-level interconnect M 1 and the second-level interconnect M 2 form various angles.
  • FIGS. 20( a ), 21 ( a ), 22 ( a ) and 23 ( a ) illustrate the cases where the angles formed between them are 180°, 0(360)°, 90°, and 270°, respectively.
  • FIGS. 20( a ), 21 ( a ), 22 ( a ) and 23 ( a ) illustrate the relationship between the pattern of the first-level interconnect M 1 and the pattern of the second-level interconnect M 2 .
  • the angle formed between the pattern of the first-level interconnect M 1 and the pattern of the second-level interconnect M 2 is not limited to the angles shown in FIGS. 20( a ) to 23 ( b ).
  • FIG. 24( a ) is a plan view illustrating the pattern of the first-level interconnect M 1 and FIG. 24( b ) is a cross-sectional view taken along a line C—C in FIG. 24( a ).
  • FIG. 25( a ) and FIG. 25( b ) even in the case where two interlevel interconnects M 1 extend in the directions (a 1 ) and (b 1 ) or (c 1 ), and (a 2 ) and (b 2 ) or (c 2 ), respectively, relative to the second-level interconnect M 2 , the above-described effect is available because the film thickness is increased from the center of the bottom toward the sidewalls all around the bottom of the contact hole C 2 .
  • FIGS. 25( a ) and 25 ( b ) are provided for facilitating an understanding of the effect of this Embodiment.
  • FIG. 25( a ) is a plan view illustrating the relationship between the pattern of the first-level interconnect M 1 and the pattern of the second-level interconnect M 2
  • FIG. 25( b ) is a cross-sectional view taken along a line C—C in FIG. 25( a ).
  • FIG. 11 corresponds to a cross-section taken along a line D—D in FIG. 26 .
  • the film thickness C of the barrier film PM 2 a on the bottom of the sidewalls of the contact hole C 2 is greater than the film thickness B at the center of the bottom (Refer to FIGS. 8 and 14 ).
  • This film thickness C is determined, for example, by dropping a perpendicular line from the end portion of the shortest distance L, which extends from the bottom corner of the contact hole C 2 toward the surface of the barrier film PM 2 a , to the sidewalls of the contact hole C 2 .
  • the actual surface of the barrier film is curved at the corner of the bottom of the contact hole C 2 .
  • the above-described shortest distance L can be determined by using, as a starting point, an intersection of the extended side line of the contact hole C 2 with the extended bottom line.
  • FIG. 27 is a schematic view of an ion bias sputtering apparatus 101 of the type used for the formation of the barrier film PM 2 a .
  • a substrate 1 wafer
  • a supporter St alternating voltage Ev is applied (biased).
  • a target Ta in this case, a plate made of Ti
  • a gas for producing a discharge such as argon (Ar) is injected into the apparatus.
  • a glow discharge occurs, and the target Ta of the cathode is bombarded with ions in the plasma so as to displace sputter particles (in this case, Ti particles). These displaced particles are deposited in the contact hole on the surface of the wafer, whereby a barrier film is formed.
  • FIG. 28 is a graph showing the ratio (A/B) of the film thickness A to the film thickness B when the substrate bias [a.u.] to be applied to the substrate 1 is changed. As illustrated by the line (a) of FIG. 28 , the greater the substrate bias, the greater will be the film thickness ratio (A/B). When the substrate bias is 2 or greater, the film thickness ratio (A/B) becomes 1 or greater, in other words, A ⁇ B.
  • the point B represents a film thickness ratio (A/B) when the film is formed by ordinarily employed magnetron sputtering.
  • FIG. 28 is a graph showing the film thickness ratio when the width of the interconnect trench HM 2 is 0.18 ⁇ m and the aspect ratio of the contact hole C 2 (sum of the interconnect depth and the depth of the connector portion/diameter of the connector portion) is 2.8.
  • the film thickness ratio (A/B) can be controlled and conditions permitting adjustment of the film thickness ratio (A/B) to 1 or greater, as described in this Embodiment, can be selected.
  • the conditions permitting adjustment of the film thickness ratio (A/B) to 1 or greater vary, depending on the size of the interconnect or connecting hole.
  • FIG. 29 is a graph which shows a ratio (C/B) of the film thickness C to the film thickness B when the substrate bias [a.u.] to be applied to the substrate 1 is changed.
  • the greater the bias the greater will be the film thickness ratio (C/B).
  • the film thickness ratio (C/B) becomes 1 or greater, that is, C ⁇ B.
  • the point d represents a film thickness ratio (C/B) when the film is formed using ordinarily employed magnetron sputtering.
  • film formation In order to satisfy both A ⁇ B and C ⁇ B, film formation must be carried out at a substrate bias of 3 or greater.
  • FIG. 30 is a graph showing the relationship between a cumulative failure [%] and stress time [a.u.] of a semiconductor integrated circuit device when a barrier film is formed using ordinarily employed magnetron sputtering under the conditions of A ⁇ B and C ⁇ B and when the film is formed under the conditions of A ⁇ B and C ⁇ B in accordance with this Embodiment.
  • the line (f) shows the former case of using ordinarily employed magnetron sputtering, where A ⁇ B and C ⁇ B, while the line (g) shows the latter case of using the present invention, where A ⁇ B and C ⁇ B.
  • stress time as used herein means the time during which the semiconductor integrated circuit device is exposed to extreme conditions, such as high temperature. As illustrated in FIG. 30 , a single digit improvement in the electromigration life can be attained by the constitution according to this Embodiment.
  • an interlayer insulating film TH 3 (silicon nitride film TH 3 a , silicon oxide film TH 3 b , silicon nitride film TH 3 c and silicon oxide film TH 3 d ) is formed over the second-level interconnect M 2 in a similar manner to that employed for the formation of the interlayer insulating film TH 2 , and an interconnect trench HM 3 and contact hole C 3 are formed in a similar manner to that employed for the formation of the interconnect trench HM 2 and contact hole C 2 .
  • the barrier film PM 3 a in the contact hole C 3 is formed to have a structure similar to that of the barrier film PM 2 a .
  • the barrier film PM 3 a on the bottom of the contact hole C 3 is formed to have a film thickness that increases from the center of the bottom toward the sidewalls, all around the bottom of the contact hole C 3 .
  • interlayer insulating films TH 4 and TH 5 , fourth-level and fifth-level interconnects M 4 and M 5 , and connecting portions (plugs) P 4 and P 5 to have similar structures to the interlayer insulating film TH 3 , third-level interconnect M 3 and connector portion (plug) P 2 , respectively.
  • a five-layer interconnect is formed, as illustrated in FIG. 32 . More specifically, in a contact hole C 4 , through which the fourth-level interconnect M 4 and the third-level interconnect M 3 are connected, a barrier film PM 4 a on the bottom of the contact hole C 4 is formed to have a film thickness that increases from the center of the bottom toward the sidewalls, all around the bottom of the contact hole C 4 .
  • the barrier film PM 5 a on the bottom of the contact hole C 5 is formed to have a film thickness that increases from the center of the bottom toward the sidewalls, all around the bottom of the contact hole C 5 .
  • PM 4 b and PM 5 b are copper films similar to PM 3 b and PM 2 b
  • PM 4 c and PM 5 c are copper films similar to PM 3 c and PM 2 c.
  • a laminate film PV of a silicon oxide film and a silicon nitride film is deposited as a protective film.
  • the second-level interconnect M 2 and fourth-level interconnect M 4 are formed to extend mainly in the X direction
  • the third-level interconnect M 3 and fifth-level interconnect M 5 are formed to extend mainly in a direction orthogonal to the X direction.
  • MISFETQn and MISFETQp are linked so as to constitute, for example, a logic circuit of a microprocessor.
  • the first-level interconnect is formed from a copper film M 1 b .
  • a copper alloy an alloy containing, in addition to copper, magnesium (Mg), silver (Ag), platinum (Pt), titanium (Ti), tantalum (Ta) or aluminum (Al)
  • silver or a silver alloy gold (Au) or a gold alloy
  • aluminum or an aluminum alloy an alloy containing, in addition to aluminum, silicon (Si), copper, niobium (Nb) or titanium
  • the first-level interconnect is formed by the damascene method.
  • it after deposition of the above-described material over the interlayer insulating film TH 1 , it may be patterned into a desired shape by dry etching.
  • the second-level interconnect M 2 and connector portion (plug) 2 are formed by the dual damascene method.
  • the single damascene method may be employed, as described below, to form them.
  • a semiconductor integrated circuit device according to this Embodiment of the present invention will be described in accordance with its method of manufacture.
  • FIGS. 33 to 42 are fragmentary cross-sectional or fragmentary plan views of a substrate illustrating the method of manufacture of a semiconductor integrated circuit device according to Embodiment 2 of the present invention. Steps up to the formation of the first-level interconnect M 1 are similar to those of Embodiment 1, which was described with reference to FIGS. 1 and 2 , so that a repeated description thereof is omitted.
  • a silicon nitride film TH 2 a and a silicon oxide film TH 2 b are deposited successively to serve as insulating films by CVD over the first-level interconnect M 1 and an interconnect-trench-forming insulating film H 1 , whereby an interlayer insulating film TH 22 is formed.
  • the silicon nitride film TH 2 a functions to prevent diffusion of copper constituting the first-level interconnect M 1 . It is also utilized as an etching stopper upon formation of a contact hole C 2 , which will be described later.
  • a resist film (not illustrated) is formed, having an opening in a region in which a connector portion (plug) is to be formed.
  • the interlayer insulating film TH 22 (silicon nitride film TH 2 a and silicon oxide film TH 2 b ) is etched to form a contact hole C 2 .
  • a barrier film P 2 a is formed.
  • a refractory metal such as titanium (Ti) is deposited over the interlayer insulating film TH 22 , including the inside of this contact hole C 2 , to form the barrier film P 2 a .
  • the barrier film P 2 a on the bottom is formed to have a film thickness that increases from the center of the bottom toward the sidewalls, all around the bottom of the contact hole C 2 (refer to FIGS. 5 to 7 in Embodiment 1).
  • FIG. 34 is an enlarged view of the vicinity of the contact hole C 2 , which is the right-most one among the contact holes C 2 , as seen in FIG. 33
  • FIG. 35 is a partially enlarged view of the bottom of the contact hole C 2 shown in FIG. 34 .
  • a copper film P 2 c is formed as a conductive film over the copper film P 2 b by electroplating.
  • FIG. 38 and FIG. 40 are enlarged views of the vicinity of a contact hole C 2 , which is the right-most one among the three contact holes C 2 as seen in FIG. 37 .
  • FIG. 39 is a fragmentary plan view of the substrate shown in FIG. 38 and FIG. 40 .
  • FIG. 38 corresponds to a A—A cross-section of FIG. 39
  • FIG. 40 corresponds to a B—B cross-section of FIG. 39 .
  • the connector portion (plug) P 2 has a similar constitution to that of the connector portion (plug) P 2 described with reference to Embodiment 1.
  • a silicon nitride film TH 2 and a silicon oxide film TH 2 d serving as insulating films, are deposited successively by CVD to form an interconnect-trench-forming insulating film H 22 .
  • the silicon nitride film TH 2 c serves as an etching stopper upon formation of an interconnect trench HM 2 , which will be described later.
  • a resist film (not illustrated) is formed, having an opening in a region in which a second-level interconnect is to be formed.
  • the interconnect-trench-forming insulating film H 2 (silicon oxide film TH 2 d and silicon nitride film TH 2 c ) is etched to form the interconnect trench HM 2 .
  • a refractory metal for example, Ti (titanium) is deposited to form a barrier film M 2 a.
  • a copper film M 2 c is formed thereover to serve as a conductive film by electroplating.
  • the copper films M 2 b and M 2 c are heat treated, followed by removal of the copper films 2 b and M 2 c and the barrier film M 2 a outside the interconnect trench HM 2 by CMP to form the second-level interconnect M 2 .
  • interlayer insulating films TH 23 to TH 25
  • connector portions P 3 to P 5
  • interconnect-trench-forming insulating films H 23 to H 25
  • interconnects M 3 to M 5
  • a five-layer interconnect is formed, as illustrated in FIG. 42 . They are formed in a similar manner to those employed for the formation of the interlayer insulating film TH 2 , connecting portion (plug) P 2 , interconnect-trench-forming insulating film H 22 , and second-level interconnect M 2 .
  • a laminate film PV of a silicon oxide film and a silicon nitride film is formed by deposition to serve as a protective film.
  • the barrier films P 3 a , P 4 a and P 5 a on the bottoms of the contact holes C 3 , C 4 and C 5 are each formed to have a film thickness that increases from the center of the bottom toward the sidewalls, all around the bottom of the contact hole as in Embodiment 1.
  • the barrier film P 2 a on the bottom of the contact hole C 2 is formed so that its thickness increases from the bottom of the contact hole toward its sidewalls, as described in Embodiment 1.
  • the geometrically shortest route of an electric current from the second-level interconnect M 2 to the first-level interconnect M 1 therefore does not cross over a thin portion (a portion whose electric resistance becomes the lowest) of the barrier film, whereby a concentration of electrons to this portion can be prevented.
  • the electromigration properties can be improved.
  • the film thickness C By setting the film thickness C to be greater than the film thickness B, a concentration of electrons can be prevented even if overetching not greater than the film thickness A is conducted upon formation of the contact hole C 2 .
  • FIGS. 43 to 51 are fragmentary cross-sectional or fragmentary plan views of a substrate for illustrating the manufacturing process of the semiconductor integrated circuit device according to Embodiment 3 of the present invention. Since the steps up to the formation of the first-level interconnect M 1 are similar to those employed for Embodiment 1, which steps were described with reference to FIGS. 1 and 2 , a repeated description of them is omitted.
  • a silicon nitride film TH 2 a , a silicon oxide film TH 2 b , a silicon nitride film TH 2 c and a silicon oxide film TH 2 d are deposited successively by CVD to serve as an insulating film over the first-level interconnect M 1 and interconnect-trench-forming insulating film H 1 , whereby an interlayer insulating film TH 2 is formed.
  • the silicon nitride film TH 2 a has a function of preventing diffusion of copper constituting the first-level interconnect M 1 . It is also utilized as an etching stopper upon formation of a contact hole C 2 , which will be described later.
  • the silicon nitride film TH 2 c serves as an etching stopper upon formation of an interconnect trench HM 2 , which will be described later.
  • a resist film (not illustrated) is formed, that is opened at a region in which a second interconnect is to be formed.
  • the silicon oxide film TH 2 d and silicon nitride film TH 2 c are etched from the interlayer insulating film TH 2 to form the interconnect trench HM 2 .
  • a first resist film (not illustrated) is deposited.
  • the interconnect trench HM 2 is embedded with the first resist film by etch back.
  • a second resist film (not illustrated), that is opened at a connecting region of the first-level interconnect with the second-level interconnect, is then formed over the first resist film.
  • the first resist film, silicon oxide film TH 2 b and silicon nitride film TH 2 a are etched, whereby the contact hole (C 2 ) is formed.
  • the interconnect trench HM 2 may be formed after the formation of the contact hole C 2 .
  • a refractory metal such as Ti (titanium) is deposited over the interlayer insulating film TH 2 , including the insides of the contact hole C 2 and interconnect trench HM 2 , whereby a barrier film PM 2 a is formed.
  • the barrier film PM 2 a is formed to have the below-described structure.
  • FIGS. 45 and 47 are each an enlarged view of the vicinity of the contact hole C 2 shown in FIG. 44 .
  • FIG. 46 is a fragmentary plan view of the substrate illustrated in FIGS. 45 and 47 .
  • FIG. 45 illustrates a A—A cross-section of FIG. 46
  • FIG. 47 corresponds to a B—B cross-section of FIG. 46 .
  • the barrier film PM 2 a is formed along the bottom and sidewalls of the interconnect trench HM 2 or contact hole C 2 .
  • the barrier film PM 2 a on the bottom thereof is formed so that its film thickness increases from the center of the bottom of the contact hole C 2 toward the sidewalls, all around the bottom of the contact hole C 2 .
  • FIG. 48 which is a partially enlarged view of the bottom of the contact hole C 2 shown in FIG. 47
  • the thickness of the barrier film at the center of the bottom of the contact hole C 2 is B
  • the film thickness A which is a thickness on the end portion, in the direction of the sidewall, of the bottom of the contact hole C 2 is made greater than the film thickness B (A ⁇ B).
  • the barrier film on the sidewalls increases in thickness from a portion above a position contiguous to the surface F of the first-level interconnect M 1 toward the bottom of the contact hole C 2 .
  • the film thickness E of the barrier film PM 2 a that is contiguous to the surface F of the first-level interconnect M 1 , is the thickness on the sidewall, and it is greater than the film thickness B (E ⁇ B).
  • the film thickness B or the film thickness D of the barrier film on the sidewalls of the contact hole C 2 must be adjusted to at least the minimum thickness permitting maintenance of barrier properties.
  • a copper film PM 2 c is formed, to serve as a conductive film, over the copper film PM 2 b by electroplating.
  • FIGS. 50 and 51 are enlarged views of the vicinity of the contact hole C 2 shown in FIG. 49 .
  • FIGS. 50 and 51 correspond to the A—A cross-section and B—B cross-section of FIG. 46 , respectively.
  • the second-level interconnect M 2 and connector portion (plug) P 2 are each made of the copper films PM 2 b , PM 2 c and barrier film PM 2 a . As illustrated in FIG. 50 , the second-level interconnect M 2 extends to the left side, starting from the connector portion (plug) 2 , while the first-level interconnect M 1 extends to the right side, starting from the connector portion (plug) P 2 .
  • the barrier film PM 2 a on the bottom of the contact hole C 2 increases in thickness from the center of the bottom toward the sidewalls.
  • the barrier film PM 2 a has a portion that declines toward the center of the bottom from the sidewalls of the contact hole C 2 .
  • the film thickness B of the barrier film on the center of the bottom of the contact hole C 2 is smaller than the film thickness A, which is the film thickness at an end portion, in the direction of sidewalls, of the bottom of the contact hole C 2 (A ⁇ B).
  • the film thickness A can be determined, for example, by dropping a perpendicular line toward the bottom of the contact hole C 2 from the end of the shortest distance L between the corner of the bottom of the contact hole C 2 to the surface of the barrier film.
  • the actual surface of the barrier film is, as illustrated in FIG. 15 , curved at the corner of the bottom of the contact hole.
  • the above-described shortest distance L can be determined by using, as a starting point, the intersection between the extended side line and extended bottom line of the contact hole C 2 .
  • the connector portion (plug) P 2 has a bottom at a position deeper by an overetching amount OE from the surface F of the first-level interconnect M 1 , and the film thickness E of the barrier film PM 2 a , at a portion contiguous to the surface F of this first-level interconnect M 1 , is greater than the film thickness B (refer to FIG. 48 ).
  • the film thickness E is greater than the film thickness B, so that the geometrically shortest route Ru 1 (refer to FIG. 52 ), when an electric current flows from the second-level interconnect M 2 toward the first-level interconnect M 1 , does not cross over a thin portion of the barrier film at which the electric resistance becomes the lowest.
  • the geometrically shortest route of electric current from the second-level interconnect M 2 to the first-level interconnect M 1 does not coincide with a thin portion of the barrier film PM 2 a at which the electrical resistance becomes lowest, so that the current route can be dispersed. Accordingly, a concentration of electrons (e) does not occur easily, even if overetching occurs upon formation of the contact hole C 2 , making it possible to improve the electromigration properties.
  • the geometrically shortest route (route Ru 1 ) of an electric current crosses over the sidewalls of the barrier film PM 2 a ′, as illustrated in FIG. 52 .
  • the geometrically shortest route of an electric current coincides with a thin portion of the barrier film PM 2 a whose electrical resistance becomes the lowest, which causes a concentration of electrons (e), and deteriorates the electromigration properties.
  • the film thickness E of the barrier film which is contiguous to the surface F of the first-level interconnect M 1 , is set to be greater than the film thickness B, the above-described effect is available.
  • third-level to fifth-level interconnects M 3 to M 5 and connector portions (plugs) P 1 to P 5 are then formed.
  • illustrations and a detailed description thereof will be omitted.
  • the second-level interconnect M 2 and connector portion (plug) 2 were formed using the dual damascene method.
  • the second-level interconnect M 2 and connector portion (plug) 2 were formed by separate steps by using the single damascene method, as described with reference to Embodiment 2.
  • the above-described effect is available by setting the film thickness E of the barrier film PM 2 a in the connector portion (plug) to be greater than the film thickness B.
  • MISFETQn and MISFETQp were given as examples of a semiconductor element. Not only a MISFET, but also another element, such as bipolar transistor, can be formed.
  • a conductive film on the bottom and sidewalls of a hole made in an insulating film formed over a semiconductor substrate is formed so that its thickness increases from the center of the hole toward the side walls, whereby the geometrically shortest route of an electric current in the hole does not coincide with a thin portion of the conductive film at which the electrical resistance becomes the lowest, which makes it possible to disperse the route of electrical current.
  • the yield of the product can be heightened, and its life (electromigration life) can be prolonged.
  • the yield of the product can be heightened, and its life (electromigration life) can be prolonged.

Abstract

In manufacturing a semiconductor integrated circuit device, an interconnect trench and a contact hole are formed in an interlayer insulating film formed over a first-level interconnect on a semiconductor substrate, a barrier film is formed inside of the trench and contact hole so that its film thickness increases from the center of the bottom of the hole toward the sidewalls all around the bottom of the contact hole, a copper film is formed over the barrier film, and a second-level interconnect and a connector portion (plug) are formed by polishing by CMP. In this way, the geometrically shortest pathway of an electrical current flowing from the second-level interconnect toward the first-level interconnect through a connector portion (plug) does not coincide with a thin barrier film portion which has the lowest electrical resistance, so that the current pathway can be dispersed and a concentration of electrons does not occur readily.

Description

TECHNICAL FIELD
The present invention relates to a semiconductor integrated circuit device; and, more particularly, the invention relates to a technique that is effective when applied to the formation of a connector portion between interconnects in a semiconductor integrated circuit device.
BACKGROUND OF THE INVENTION
With a recent tendency toward miniaturization of interconnects and multilevel metallization in a semiconductor integrated circuit device, a so-called damascene technique for use in the formation of interconnects or the like, by forming a trench in an insulating film and then embedding a conductive film inside of the trench, has been under investigation.
This damascene technique includes a single damascene method of embedding a trench for an interconnect and a trench for connecting between interconnects by two different steps and a dual damascene method of simultaneously embedding these two trenches. As a conductive film to be embedded in these trenches, a copper film or the like having a small electrical resistance is used.
Inside of the trench, a conductive film having a barrier property (which will hereinafter be called a “barrier film”) is formed in order to prevent diffusion of a metal into an insulating film, such as the copper constituting the conductive film to be embedded, or in order to improve the adhesion between the conductive film to be embedded and the insulating film.
For instance, in NIKKEI MICRODEVICES, PP 65 to 66 (July, 2000), it is pointed out as a problem that, upon formation of an underlying film on the inside wall of a hole by sputtering, sputter particles move easily at the peripheral part of a wafer, thereby deteriorating the ability to uniformly cover the holes.
SUMMARY OF THE INVENTION
The present inventors have carried out an investigation on ways to effect an improvement in the reliability of interconnects or the like formed by the damascene technique and have found that the reliability of the damascene wiring has a close relation to the way the barrier film has adhered inside of the trench.
More specifically, the barrier film is required to have a sufficient thickness in order to prevent diffusion of a metal in an insulating film, such as the copper constituting a conductive film to be embedded in a trench, and to improve adhesion of the conductive film to be embedded in the trench with the insulating film.
When the barrier film has a poor coverage property, the thickness of the barrier film varies on the bottom or sidewalls of the trench. If the entire barrier film is formed to be thick so as to prevent such unevenness, the aspect ratio of a hole to be embedded with a conductive film becomes large, causing an embedding failure of the conductive film.
The barrier film has a higher electrical resistance than the conductive film to be embedded in the trench. If the barrier film is made excessively thick, the electrical resistance of an interconnect or connector portion becomes large, thereby disturbing high-speed operation of a semiconductor integrated circuit device.
The barrier film is thus required to have a thickness not greater than a predetermined thickness. If some portions of the barrier film are thin owing to uneven thickness, they provide a current pathway because a smaller resistance exists at these portions. Particularly at contact holes, if the shortest distance of a current pathway and such a portion coincide with each other, a concentration of electrons occurs. As a result, so-called electromigration, that is, attraction of metal atoms from such portions by electrons occurs. Voids appear at portions after the metal atoms have been transferred, and a connection failure or disconnection occurs.
An object of the present invention is to optimize the structure of a connector portion for connecting interconnects, thereby improving the electromigration properties.
Another object of the present invention is to optimize the structure of a barrier film at a connector portion between interconnects, thereby improving the characteristics of a semiconductor integrated circuit device.
The above-described objects and other objects, advantages and novel features of the present invention will be apparent from the description herein and the accompanying drawings.
An outline of typical aspects of the invention, among the embodiments disclosed in the present application, will next be described briefly.
(1) In one aspect of the present invention, there is provided a semiconductor integrated circuit device which has a hole made in an insulating film formed over a semiconductor substrate; a first conductive film formed on the bottom and sidewalls of the hole, which film has a film thickness increasing from the center of the bottom toward the sidewalls of the hole; and a second conductive film that is formed over the first conductive film and embedded inside of the hole.
(2) In another aspect of the present invention, there is provided a semiconductor integrated circuit device which has a hole made in an insulating film formed over a semiconductor substrate; a first conductive film formed on the bottom and sidewalls of the hole, which film is smaller in film thickness B at the center of the bottom of the hole than in film thickness A corresponding to a perpendicular line extending toward the bottom of the hole from the shortest point from the corner of the bottom of the hole to the surface of the first conductive film; and a second conductive film that is formed over the first conductive film and embedded inside of the hole.
(3) In a further aspect of the present invention, there is provided a semiconductor integrated circuit device which has a hole made in an insulating film formed over a semiconductor substrate; a first conductive film formed on the bottom and sidewalls of the hole, which film has an electrical resistance lower at the center of the bottom of the hole than at a portion corresponding to a perpendicular line extending toward the bottom of the hole from the shortest point from the corner of the bottom of the hole to the surface of the first conductive film; and a second conductive film that is formed over the first conductive film and embedded inside of the hole.
(4) In a still further aspect of the present invention, there is provided a semiconductor integrated circuit device which has a first interconnect formed over a semiconductor substrate; a hole which is made in an insulating film formed over the first interconnect and having a bottom from which the first interconnect is exposed; a first conductive film formed on the bottom and sidewalls of the hole; a second conductive film formed over the first conductive film and embedded inside of the hole; and a second interconnect formed over the second conductive film, wherein a site at which a shortest pathway from the first interconnect to the second interconnect through the first and second conductive films cuts across the first conductive film does not coincide with the lowest electrical resistance site of the first conductive film.
(5) In a still further aspect of the present invention, there is provided a semiconductor integrated circuit device, which comprises a first interconnect formed over a semiconductor substrate, an insulating film formed over the first interconnect, a hole which is made in the first interconnect and the insulating film and has a bottom positioned deeper than the surface of the first interconnect, a first conductive film which is formed on the bottom and sidewalls of the hole and is greater in the film thickness E of the sidewall portion of the hole contiguous to the surface of the first interconnect than in the film thickness B at the center of the bottom of the hole, and a second conductive film that is formed over the first conductive film and is embedded therewith inside of the hole.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 is a fragmentary cross-sectional view of a substrate illustrating a manufacturing method of a semiconductor integrated circuit device according to Embodiment 1 of the present invention;
FIG. 2 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 1 of the present invention;
FIG. 3 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 1 of the present invention;
FIG. 4 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 1 of the present invention;
FIG. 5 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 1 of the present invention;
FIG. 6 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 1 of the present invention;
FIG. 7 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 1 of the present invention;
FIG. 8 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 1 of the present invention;
FIG. 9 is a fragmentary cross-sectional view of a substrate of the semiconductor integrated circuit device for showing the effects of the Embodiment 1 of the present invention;
FIG. 10 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 1 of the present invention;
FIG. 11 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 1 of the present invention;
FIG. 12 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 1 of the present invention;
FIG. 13 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 1 of the present invention;
FIG. 14 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 1 of the present invention;
FIG. 15 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 1 of the present invention;
FIG. 16 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 1 of the present invention;
FIG. 17 is a fragmentary cross-sectional view of a substrate of the semiconductor integrated circuit device illustrating the effect of Embodiment 1 of the present invention;
FIG. 18 is a fragmentary cross-sectional view of a substrate of the semiconductor integrated circuit device illustrating the effect of Embodiment 1 of the present invention;
FIG. 19 is a fragmentary cross-sectional view of a substrate of the semiconductor integrated circuit device illustrating the effect of Embodiment 1 of the present invention;
FIG. 20( a) is a fragmentary plan view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 1 of the present invention, and FIG. 20( b) is its fragmentary cross-sectional view;
FIG. 21( a) is a fragmentary plan view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 1 of the present invention, and FIG. 21( b) is its fragmentary cross-sectional view;
FIG. 22( a) is a fragmentary plan view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 1 of the present invention, and FIG. 22( b) is its fragmentary cross-sectional view;
FIG. 23( a) is a fragmentary plan view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 1 of the present invention, and FIG. 23( b) is its fragmentary cross-sectional view;
FIG. 24( a) is a fragmentary plan view of a substrate of the semiconductor integrated circuit device illustrating the effect of Embodiment 1 of the present invention, and FIG. 24( b) is its fragmentary cross-sectional view;
FIG. 25( a) is a fragmentary plan view of a substrate illustrating the semiconductor integrated circuit device according to Embodiment 1 of the present invention, and FIG. 25( b) is its fragmentary cross-sectional view;
FIG. 26 is a fragmentary plan view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 1 of the present invention;
FIG. 27 is a schematic view illustrating an apparatus used for manufacturing the semiconductor integrated circuit device according to Embodiment 1 of the present invention;
FIG. 28 is a graph showing an effect of Embodiment 1 of the present invention;
FIG. 29 is a graph showing another effect of Embodiment 1 of the present invention;
FIG. 30 is a graph showing a further effect of Embodiment 1 of the present invention;
FIG. 31 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 1 of the present invention;
FIG. 32 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 1 of the present invention;
FIG. 33 is a fragmentary cross-sectional view of a substrate illustrating a manufacturing method of a semiconductor integrated circuit device according to Embodiment 2 of the present invention;
FIG. 34 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 2 of the present invention;
FIG. 35 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 2 of the present invention;
FIG. 36 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 2 of the present invention;
FIG. 37 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 2 of the present invention;
FIG. 38 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 2 of the present invention;
FIG. 39 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 2 of the present invention;
FIG. 40 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 2 of the present invention;
FIG. 41 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 2 of the present invention;
FIG. 42 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 2 of the present invention;
FIG. 43 is a fragmentary cross-sectional view of a substrate illustrating a manufacturing method of a semiconductor integrated circuit device according to Embodiment 3 of the present invention;
FIG. 44 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 3 of the present invention;
FIG. 45 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 3 of the present invention;
FIG. 46 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 3 of the present invention;
FIG. 47 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 3 of the present invention;
FIG. 48 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 3 of the present invention;
FIG. 49 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 3 of the present invention;
FIG. 50 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 3 of the present invention;
FIG. 51 is a fragmentary cross-sectional view of a substrate illustrating the manufacturing method of the semiconductor integrated circuit device according to Embodiment 3 of the present invention; and
FIG. 52 is a fragmentary cross-sectional view of a substrate of the semiconductor integrated circuit device showing the effect of Embodiment 3 of the present invention.
DETAILED DESCRIPTION OF THE INVENTION
Various embodiments of the present invention will be described hereinafter with reference to the accompanying drawings. In all the drawings, members having like functions will be identified by like reference numerals, and overlapping descriptions thereof will be omitted
Embodiment 1
The semiconductor integrated circuit device according to one Embodiment of the present invention will be described in accordance with its method of manufacture. FIGS. 1 to 18, 20 to 26, 31 and 32 are fragmentary cross-sectional or fragmentary plan views of a substrate for illustrating the method of manufacture of the semiconductor integrated circuit device according to Embodiment 1 of the present invention.
First, as illustrated in FIG. 1, an n channel MISFET (Metal Insulator Semiconductor Field Effect Transistor) Qn and a p channel MISFETQp are formed as one example of a semiconductor element. One example of the process used in the formation of these MISFET will be described next.
A semiconductor substrate 1 made of, for example, p type single crystal silicon is etched to form a trench therein. An insulating film, for example, a silicon oxide film 7 is then embedded inside of the trench, whereby an isolation region 2 is formed. This isolation region 2 defines an active region in which the MISFET is to be formed.
After ion implantation of a p type impurity and an n type impurity into the semiconductor substrate (which will hereinafter simply be called a “substrate”) 1, these impurities are diffused by heat treatment to form a p type well 3 and an n type well 4. By thermal oxidation, a clean gate insulating film 8 is formed over the surface of each of the p type well 3 and n type well 4.
Over the gate insulating film 8, a low-resistance polycrystalline silicon film 9 a, a thin WN (tungsten nitride) film (not illustrated) and a W (tungsten) film 9 c are deposited successively as conductive films, followed by deposition of a silicon nitride film 10 thereover to serve as an insulating film.
The silicon nitride film 10 is then etched by dry etching or the like so as to leave it in a region in which a gate electrode is to be formed. Using the remaining silicon nitride film 10 as a mask, the W film 9 c, WN film (not illustrated) and polycrystalline film 9 a are etched by dry etching or the like, whereby a gate electrode 9, that is formed of the polycrystalline film 9 a, WN film (not illustrated) and W film 9 c, is formed.
By ion implantation of an n type impurity into the p type well 3, extending to both sides of the gate electrode 9 n type semiconductor regions 11 are formed, while ion implantation of a p type impurity into the n type well 4 is performed to form p type semiconductor regions 12.
A silicon nitride film is then deposited over the substrate 1 to serve as an insulating film, followed by anisotropic etching, whereby sidewall spacers 13 are formed on the sidewalls of the gate electrode 9.
By ion implantation of an n type impurity to the p type well 3, n+ type semiconductor regions 14 (source and drain), having a higher impurity concentration than the n type semiconductor regions 11, are formed; while, by ion implantation of a p type impurity to the n type well 4, p+ type semiconductor regions 15 (source and drain), having a higher impurity concentration than the p type semiconductor regions 12, are formed.
By the steps so far described, the n channel type MISFETQn and p channel type MISFETQp, having an LDD (Lightly Doped Drain) structure and being equipped with a source and a drain, are formed.
Next, an interconnect will be formed for electrically connecting the MISFETQn and MISFETQp. Steps for forming this interconnect will be described next.
First, as illustrated in FIG. 1, a silicon oxide film is deposited, to serve as an insulating film, over the MISFETQn and MISFETQp by CVD (Chemical Vapor Deposition). The surface of the silicon oxide film is then polished by chemical mechanical polishing (CMP) to planarize the surface, whereby an interlayer insulating film TH1 is formed.
Over the interlayer insulating film TH1, a photoresist film (not illustrated is formed). This film will hereinafter simply be called a “resist film”. Using this resist film as a mask, the interlayer insulating film TH1 is etched to form a contact hole C1 over each of the n+ type semiconductor regions 14 and p+ type semiconductor regions 15 over the main surface of the semiconductor substrate 1.
A plug P1 is then formed in the contact hole C1 by depositing, over the interlayer insulating film TH1, including the inside of the contact hole C1, a tungsten (W) film to serve as a conductive film by CVD, and then this tungsten film is polished by CMP until the interlayer insulating film TH1 is exposed. Alternatively, this plug P1 may be formed to have a laminate structure of a barrier film—which has a single layer of a titanium nitride (TiN) film or a titanium (Ti) film, or a laminate film thereof—and a tungsten film.
As illustrated in FIG. 2, a silicon nitride film H1 a, serving as an etching stopper, and a silicon oxide film H1 b are deposited successively by CVD to serve as an insulating film over the interlayer insulating film TH1 and plug P1, whereby an interconnect-trench-forming insulating film H1 made of these films is formed. The interconnect-trench-forming insulating film H1, in a region in which a first-level interconnect is to be formed, is etched to form an interconnect trench HM1. Instead of the silicon oxide film H1 b, a silicon oxide film containing fluorine (F) may be used as an insulating film having a low dielectric constant. Another insulating film having a low dielectric constant or a coating type insulating film is also usable. The silicon nitride film H1 a is utilized as an etching stopper during the above-described etching.
Over the interconnect-trench-forming insulating film H1, including the inside of the interconnect trench HM1, a barrier film M1 a made of titanium nitride is deposited by sputtering. Then, a copper film M1 b, serving as a conductive film, is formed over the barrier film M1 a by electroplating. Prior to the formation of the copper film M1 b by electroplating, a thin copper film may be formed by sputtering or CVD as a seed film for the electroplating.
After heat treatment of the copper film M1 b, the copper film M1 b and barrier film M1 a outside of the interconnect trench HM1 are removed by CMP, whereby a first-level interconnect M1 is formed, having the copper film M1 and barrier film M1 a.
As illustrated in FIG. 3, a silicon nitride film TH2 a, a silicon oxide film TH2 b, a silicon nitride film TH2 c and a silicon oxide film TH2 d are deposited successively, to serve as insulating films, by CVD over the first-level interconnect M1, whereby an interlayer insulating film TH2 is formed. Among these films, the silicon nitride film TH2 a has a function of preventing diffusion of copper, which constitutes the first-level interconnect M1. The silicon nitride film TH2 a can be replaced with another insulating film so long as that film has a Cu diffusion preventing function. The silicon nitride film TH2 a is used as an etching stopper upon formation of a contact hole C2, which will be described later. The silicon nitride film TH2 c is utilized as an etching stopper upon formation of an interconnect trench HM2, which will be described later.
Over the interlayer insulating film TH2, a resist film (not illustrated), that is opened at a region in which a second-level interconnect is to be formed, is formed. Using this resist film as a mask, the silicon oxide film TH2 d and silicon nitride film TH2 c are etched from the interlayer insulating film TH2 to form the interconnect trench HM2.
Over the interlayer insulating film TH2, including the inside of the interconnect trench HM2, a first resist film (not illustrated) is deposited. The interconnect trench HM2 is embedded with the first resist film by etch back. A second resist film (not illustrated), that is opened at a connecting region of the first-level interconnect, with the second-level interconnect is then formed over the first resist film. Using this second resist film as a mask, the first resist film, the silicon oxide film TH2 b and silicon nitride film TH2 a are etched, whereby the contact hole C2 is formed.
Here, the formation of the interconnect trench HM2 is followed by the formation of the contact hole C2. Alternatively, after formation of the contact hole C2 by etching the silicon nitride film TH2 a, silicon oxide film TH2 b, silicon nitride film TH2 c and silicon oxide film TH2 d from a connecting region of the first-level interconnect with the second-level interconnect, the interconnect trench HM2 may be formed by etching the silicon oxide film TH2 d and silicon nitride film TH2 c from a region in which the second interlevel interconnect is to be formed.
As illustrated in FIG. 4, over the interlayer insulating film TH2, including the insides of the contact hole 2C and interconnect trench HM2, the below-described refractory metal, such as titanium (Ti), is deposited to form a barrier film PM2 a. As the refractory metal, at least one of or an alloy of titanium, tantalum (Ta), tantalum nitride (TaN), titanium nitride (TiN), tungsten (W), tungsten nitride, titanium silicide nitride and tungsten silicide nitride is usable. It is also possible to use a laminate film obtained by stacking the above-described films one upon another.
At this time, the barrier film PM2 a is formed to have a structure as described below.
FIGS. 5 and 7 are enlarged views of the vicinity of the contact hole C2, which is the right-most one of three contact holes C2, as seen in FIG. 4. FIG. 6 is a fragmentary plan view of the substrate illustrated in FIG. 5 or FIG. 7. FIG. 5 corresponds to the cross-section taken along a line A—A of FIG. 6, while FIG. 7 corresponds to the cross-section taken along a line B—B of FIG. 6. Although no particular limitation is imposed, the width of the interconnect trench HM2 is formed to be substantially equal to that of the interconnect trench HM1 in this Embodiment. In FIG. 6, however, the width of the interconnect trench HM1 is illustrated as being smaller than that of the interconnect trench HM2 in order to facilitate observation of the elements in the drawing.
As illustrated in FIGS. 5 and 7, the barrier film PM2 a is formed along the bottom and sidewalls of the interconnect trench HM2 and the contact hole C2.
In the contact hole C2, the barrier film PM2 a on the bottom thereof is formed so that its thickness increases from the center of the bottom toward the sidewalls. This increase in thickness of the barrier film PM2 a on the bottom of the contact hole C2, from the center of the bottom toward the sidewalls, is applied all around the bottom. As illustrated in FIG. 8, which is a partially enlarged view of the bottom of the contact hole C2 shown in FIG. 7, the thickness of the barrier film at the center of the bottom of the contact hole C2 is B, and the film thickness A, which is a film thickness of the end portion, in the direction of the sidewalls, of the bottom of the contact hole C2, is made greater than the film thickness B (A≧B). Moreover, the film thickness C, which is a film thickness on the sidewalls at a bottom portion of the contact hole C2, is made greater than the film thickness B (C≧B).
The film thickness B or the film thickness D, which is a film thickness of the barrier film at the upper portion of each of the sidewalls of the contact hole C2, is formed to be at least the minimum thickness permitting maintenance of barrier properties. Below the barrier film PM2 a on the bottom of the contact hole C2, the first-level interconnect M1 is formed, so that the barrier film PM2 a at such a position is not always required to have a film thickness large enough to maintain barrier properties. As illustrated in FIG. 9, however, sometimes misalignment occurs between the first-level interconnect M1 and the contact hole C2 due to mask misalignment. The film thickness B is therefore desirably adjusted to at least the minimum film thickness permitting maintenance of barrier properties. In FIG. 9, PM2 b and PM2 c are copper films (their boundary is not illustrated in the drawing) over the barrier film PM2 a. TH3 a and TH3 b are insulating films over the copper films (PM2 b,PM2 c).
As illustrated in FIG. 10, after formation of a copper film PM2 b, to serve as a seed film for electroplating, over the barrier film PM2 a by sputtering or CVD, a copper film PM2 c is formed, to serve as a conductive film, over the copper film PM2 b by electroplating.
After heat treatment of the copper films PM2 b and PM2 c, the copper films PM2 b, PM2 c and barrier film PM2 a outside the interconnect trench HM2 and the contact hole C2 are removed by CMP to form a second-level interconnect M2 and a connector portion (plug) P2 between the first-level interconnect, and the second-level interconnect as illustrated in FIG. 11. FIGS. 12 and 13 are enlarged views of the vicinity of the contact hole C2 in FIG. 11. FIGS. 12 and 13 correspond to the A—A cross-sectional view and B—B cross-sectional view in FIG. 6, respectively.
The essential points in the structure of the second-level interconnect M2, connector portion (plug) P2 and first-level interconnect M1 will be described briefly.
The second-level interconnect M2 and connector portion (plug) P2 are each made of the copper films PM2 b, PM2 c and barrier film PM2 a. As illustrated in FIG. 12, the second-level interconnect M2 extends to the left side, starting from the connector portion (plug) 2, while the first-level interconnect M1 extends to the right side, starting from the connector portion (plug) P2.
As described above, the barrier film PM2 a on the bottom of the contact hole C2 increases in thickness from the center of the bottom toward the sidewalls. In other words, the barrier film PM2 a has a portion which declines towards the center of the bottom from the sidewalls of the contact hole C2. As illustrated in FIG. 14, which is a partially enlarged view of the bottom of the contact hole C2 shown in FIG. 13, the film thickness B of the barrier film PM2 a on the center of the bottom of the contact hole C2 is smaller than the film thickness A, which is the film thickness, at the end portion in the direction of the sidewalls, on the bottom of the contact hole C2 (A≧B). The film thickness A can be determined, for example, by dropping a perpendicular line toward the bottom of the contact hole C2 from the end of the shortest distance L between from the corner of the bottom of the contact hole C2 to the surface of the barrier film PM2 a.
The actual surface of the barrier film, as illustrated in FIG. 15, is curved at the corner of the bottom of the contact hole C2. When the contact hole C2 has a curved corner, the above-described shortest distance L can be determined by using, as a starting point, the intersection between the extension of the side line of the contact hole C2 and the extension of the bottom line.
In the case where electric current (i) flows from the second-level interconnect M2 to the first-level interconnect M1 via such a connector portion (plug) P2, electrons (e) flow, as illustrated in FIG. 17, through a route Ru1, which extends from the lower right to the upper left of the connector portion (plug) P2, because this route becomes the geometrically shortest route. Electrons (e) flow, as illustrated in FIG. 18, toward the first-level interconnect M1 via the center of the connector portion (plug) P2, because the electrical resistance of a thin portion of the barrier film PM2 a becomes lowest.
According to this Embodiment, the geometrically shortest route (route Ru1) of electric current from the second-level interconnect M2 to the first-level interconnect M1 does not coincide with a thin portion of the barrier film PM2 a at which the electrical resistance becomes lowest, so that a current route can be dispersed. Accordingly, a concentration of electrons (e) does not occur easily, making it possible to improve the electromigration properties.
As illustrated in FIG. 19, upon formation of the barrier film PM2 a′, variations in film thickness appear inside of the contact hole C2. Variations are particularly large when the film is formed by sputtering, because the manner in which sputter particles (Ti particles, in this case) that are scattered from a target enter the contact holes C2 differs, depending on the position of the contact hole on the wafer.
When the contact hole exists on the left edge of the wafer, the barrier film PM2 a′ is formed so as to be thick on the left sidewall of the contact hole C2 and is formed so as to be thin on its right sidewall as illustrated in FIG. 19. On the bottom of the contact hole C2, the film thickness exhibits a gradual decrease from the left side toward the right side. Since, in the contact hole on the left end of the wafer, sputter particles coming from the right direction enter more easily than those coming from the left direction, the barrier film PM2 a′ is formed so as to be thick on the left sidewall or left side of the bottom opposite to the direction of movement of the sputter particles. When the contact hole exists on the right end of the wafer, on the other hand, the barrier film is formed so as to be thick on the right sidewall or right side of the bottom of the contact hole (refer to FIG. 1( a) of the above-described NIKKEI MICRODEVICES, p. 65 (July 2000)).
When an electric current flows from the second-level interconnect M2 to the first-level interconnect M1 through the connector portion (plug) P2, as illustrated in FIG. 19, a pathway via the route Ru1 extending from the upper left toward the lower right of the connector portion (plug) P2 becomes the geometrically shortest route. At the same time, a thin portion of the barrier film exists in the lower right of the connector portion (plug) P2. A concentration of electrons (e) therefore occurs at such a portion. Electrons which pass through the above-described portion attract copper atoms constituting a copper film; and, with this portion as a starting point, peeling occurs at the interface between the copper films (PM2 b,PM2 c) and the barrier film PM2 a′. If the electric current is continuously passed, the transfer of copper becomes large, thereby forming a void, which becomes a cause of disconnection. Such a phenomenon involving the transfer of metal atoms by momentum exchange between electrons flowing through a conductor and metal ions is called electromigration.
As described above, when the barrier film PM2 a′ has a shape as illustrated in FIG. 19, the geometrically shortest route Ru1 of electric current crosses over a thin portion (a portion whose electrical resistance becomes lowest) of the barrier film, causing a deterioration in the electromigration properties.
In this Embodiment, on the other hand, the barrier film PM2 a on the bottom of the contact hole C2 is formed to have a thickness increasing from the center of the bottom toward the sidewalls. The geometrically shortest route Ru1 of electric current, therefore, does not cross over a thin portion (a portion whose electrical resistance becomes lowest) of the barrier film, thereby preventing a concentration of electrons to this portion. As a result, improvement in electromigration properties can be attained.
In this Embodiment, as illustrated in FIGS. 12 and 13, the barrier film PM2 a on the bottom of the contact hole C2 is formed to have a thickness increasing from the center of the bottom toward the sidewalls, all around the bottom of the contact hole C2, so that the above-described effect is available even if the first-level interconnect M1 extends in any direction relative to the second-level interconnect M2.
More specifically, as illustrated in FIGS. 20( a) to 23(b), the first-level interconnect M1 and the second-level interconnect M2 form various angles. For example, FIGS. 20( a), 21(a), 22(a) and 23(a) illustrate the cases where the angles formed between them are 180°, 0(360)°, 90°, and 270°, respectively. Each of FIGS. 20( a), 21(a), 22(a) and 23(a) illustrate the relationship between the pattern of the first-level interconnect M1 and the pattern of the second-level interconnect M2.
An increase in the thickness of the barrier film PM2 a from the center of the bottom toward the sidewalls, all around the bottom of the contact hole C2, as in this Embodiment, makes it possible to improve the electromigration properties as illustrated in FIGS. 20( a) to 23(b) irrespective of the angle formed between the pattern of the first-level interconnect M1 and the pattern of the second-level interconnect M2. Of course, the angle formed between the pattern of the first-level interconnect M1 and the pattern of the second-level interconnect M2 is not limited to the angles shown in FIGS. 20( a) to 23(b). Even when the pattern of the first-level interconnect M1 and the pattern of the second-level interconnect M2 cross diagonally, a improvement can be achieved. When the barrier film PM2 a is formed so as to be thick only on the left side of the contact hole C2, as illustrated in FIG. 19, on the other hand, a deterioration in the electromigration properties occurs, in among extending directions of the first-level interconnects (a1) to (d1), in directions (a1,c1,d1) other than the left direction (b1). For facilitating an understanding of the effect of this Embodiment, FIG. 24( a) is a plan view illustrating the pattern of the first-level interconnect M1 and FIG. 24( b) is a cross-sectional view taken along a line C—C in FIG. 24( a).
According to this Embodiment, as illustrated in FIG. 25( a) and FIG. 25( b), even in the case where two interlevel interconnects M1 extend in the directions (a1) and (b1) or (c1), and (a2) and (b2) or (c2), respectively, relative to the second-level interconnect M2, the above-described effect is available because the film thickness is increased from the center of the bottom toward the sidewalls all around the bottom of the contact hole C2. FIGS. 25( a) and 25(b) are provided for facilitating an understanding of the effect of this Embodiment. FIG. 25( a) is a plan view illustrating the relationship between the pattern of the first-level interconnect M1 and the pattern of the second-level interconnect M2, while FIG. 25( b) is a cross-sectional view taken along a line C—C in FIG. 25( a).
Even when the second-level interconnect M2 is disposed as illustrated in FIG. 26 relative to a plurality of the first-level interconnects M1, that are connected with the n+ type semiconductor regions 14 (source, drain) and the p+ type semiconductor regions 15 (source, drain) via plugs P1, as illustrated in FIG. 11, the electromigration properties can be improved. For example, FIG. 11 corresponds to a cross-section taken along a line D—D in FIG. 26.
As described above, the film thickness C of the barrier film PM2 a on the bottom of the sidewalls of the contact hole C2 is greater than the film thickness B at the center of the bottom (Refer to FIGS. 8 and 14). This film thickness C is determined, for example, by dropping a perpendicular line from the end portion of the shortest distance L, which extends from the bottom corner of the contact hole C2 toward the surface of the barrier film PM2 a, to the sidewalls of the contact hole C2.
The actual surface of the barrier film, as illustrated in FIG. 15, is curved at the corner of the bottom of the contact hole C2. As illustrated in FIG. 16, when the corner of the contact hole C2 is curved, the above-described shortest distance L can be determined by using, as a starting point, an intersection of the extended side line of the contact hole C2 with the extended bottom line.
By setting the film thickness C so that it is greater than the film thickness B, a concentration of electrons can be prevented even if overetching not greater than the film thickness A is conducted upon formation of the contact hole C2. This effect will be described in detail in the description of Embodiment 3, so that further description is omitted here.
Next, one example of the formation of the barrier film PM2 a and a method of controlling the film thicknesses A and B will be described.
FIG. 27 is a schematic view of an ion bias sputtering apparatus 101 of the type used for the formation of the barrier film PM2 a. As illustrated in FIG. 27, a substrate 1 (wafer) having a contact hole C2 formed therein, which substrate is illustrated in FIG. 3, is held by a supporter St, and alternating voltage Ev is applied (biased). Above the wafer, a target Ta (in this case, a plate made of Ti) exists. Upon formation of the barrier film, the apparatus is placed under a pressure-reduced condition, and upon film formation, a gas for producing a discharge, such as argon (Ar) is injected into the apparatus. When a voltage is applied in this argon atmosphere, a glow discharge occurs, and the target Ta of the cathode is bombarded with ions in the plasma so as to displace sputter particles (in this case, Ti particles). These displaced particles are deposited in the contact hole on the surface of the wafer, whereby a barrier film is formed.
FIG. 28 is a graph showing the ratio (A/B) of the film thickness A to the film thickness B when the substrate bias [a.u.] to be applied to the substrate 1 is changed. As illustrated by the line (a) of FIG. 28, the greater the substrate bias, the greater will be the film thickness ratio (A/B). When the substrate bias is 2 or greater, the film thickness ratio (A/B) becomes 1 or greater, in other words, A≧B. The point B represents a film thickness ratio (A/B) when the film is formed by ordinarily employed magnetron sputtering.
Upon film formation, it is preferred that the deposition rate is 50 nm/min, the film forming pressure is 0.1 Pa or less, and the film forming temperature falls within a range of from room temperature to 400° C. FIG. 28 is a graph showing the film thickness ratio when the width of the interconnect trench HM2 is 0.18 μm and the aspect ratio of the contact hole C2 (sum of the interconnect depth and the depth of the connector portion/diameter of the connector portion) is 2.8.
Thus, by controlling the substrate bias, the film thickness ratio (A/B) can be controlled and conditions permitting adjustment of the film thickness ratio (A/B) to 1 or greater, as described in this Embodiment, can be selected. The conditions permitting adjustment of the film thickness ratio (A/B) to 1 or greater vary, depending on the size of the interconnect or connecting hole.
FIG. 29 is a graph which shows a ratio (C/B) of the film thickness C to the film thickness B when the substrate bias [a.u.] to be applied to the substrate 1 is changed. As illustrated in FIG. 29 by the line (c), the greater the bias, the greater will be the film thickness ratio (C/B). When the bias is about 3 or greater, the film thickness ratio (C/B) becomes 1 or greater, that is, C≧B. The point d represents a film thickness ratio (C/B) when the film is formed using ordinarily employed magnetron sputtering.
In order to satisfy both A≧B and C≧B, film formation must be carried out at a substrate bias of 3 or greater.
FIG. 30 is a graph showing the relationship between a cumulative failure [%] and stress time [a.u.] of a semiconductor integrated circuit device when a barrier film is formed using ordinarily employed magnetron sputtering under the conditions of A≦B and C≦B and when the film is formed under the conditions of A≧B and C≧B in accordance with this Embodiment. The line (f) shows the former case of using ordinarily employed magnetron sputtering, where A≦B and C≦B, while the line (g) shows the latter case of using the present invention, where A≧B and C≧B. The term “stress time” as used herein means the time during which the semiconductor integrated circuit device is exposed to extreme conditions, such as high temperature. As illustrated in FIG. 30, a single digit improvement in the electromigration life can be attained by the constitution according to this Embodiment.
Next, steps for forming upper-level interconnects (third to fifth-level interconnects) over the second-level interconnect M2 will be described.
As illustrated in FIG. 31, an interlayer insulating film TH3 (silicon nitride film TH3 a, silicon oxide film TH3 b, silicon nitride film TH3 c and silicon oxide film TH3 d) is formed over the second-level interconnect M2 in a similar manner to that employed for the formation of the interlayer insulating film TH2, and an interconnect trench HM3 and contact hole C3 are formed in a similar manner to that employed for the formation of the interconnect trench HM2 and contact hole C2. Then, as in the barrier film PM2 a, and copper films PM2 g and PM2 c, a barrier film PM3 a and copper films PM3 b and PM3 c are formed, followed by polishing by CMP after heat treatment, whereby a third-level interconnect M and a connector portion (plug) P3 between the second-level interconnect and the third-level interconnect are formed. In short, the barrier film PM3 a in the contact hole C3 is formed to have a structure similar to that of the barrier film PM2 a. This means that the barrier film PM3 a on the bottom of the contact hole C3 is formed to have a film thickness that increases from the center of the bottom toward the sidewalls, all around the bottom of the contact hole C3.
By forming interlayer insulating films TH4 and TH5, fourth-level and fifth-level interconnects M4 and M5, and connecting portions (plugs) P4 and P5 to have similar structures to the interlayer insulating film TH3, third-level interconnect M3 and connector portion (plug) P2, respectively, a five-layer interconnect is formed, as illustrated in FIG. 32. More specifically, in a contact hole C4, through which the fourth-level interconnect M4 and the third-level interconnect M3 are connected, a barrier film PM4 a on the bottom of the contact hole C4 is formed to have a film thickness that increases from the center of the bottom toward the sidewalls, all around the bottom of the contact hole C4. In a contact hole C5, through which the fifth-level interconnect M5 and the fourth-level interconnect M4 are connected, the barrier film PM5 a on the bottom of the contact hole C5 is formed to have a film thickness that increases from the center of the bottom toward the sidewalls, all around the bottom of the contact hole C5. PM4 b and PM5 b are copper films similar to PM3 b and PM2 b, while PM4 c and PM5 c are copper films similar to PM3 c and PM2 c.
After deposition of a silicon nitride film, to serve as a copper diffusion preventive film, over the fifth-level interconnect M5, a laminate film PV of a silicon oxide film and a silicon nitride film is deposited as a protective film.
Although no particular limitation is imposed, the second-level interconnect M2 and fourth-level interconnect M4 are formed to extend mainly in the X direction, while the third-level interconnect M3 and fifth-level interconnect M5 are formed to extend mainly in a direction orthogonal to the X direction. With the first-level interconnect M1 to the fifth-level interconnect M5, MISFETQn and MISFETQp are linked so as to constitute, for example, a logic circuit of a microprocessor.
In this Embodiment, the first-level interconnect is formed from a copper film M1 b. As the first-level interconnect, a copper alloy (an alloy containing, in addition to copper, magnesium (Mg), silver (Ag), platinum (Pt), titanium (Ti), tantalum (Ta) or aluminum (Al)), silver or a silver alloy, gold (Au) or a gold alloy, or aluminum or an aluminum alloy (an alloy containing, in addition to aluminum, silicon (Si), copper, niobium (Nb) or titanium) may be used as a main material. In this Embodiment, the first-level interconnect is formed by the damascene method. Alternatively, after deposition of the above-described material over the interlayer insulating film TH1, it may be patterned into a desired shape by dry etching.
Embodiment 2
In Embodiment 1, the second-level interconnect M2 and connector portion (plug) 2 are formed by the dual damascene method. Alternatively, the single damascene method may be employed, as described below, to form them. A semiconductor integrated circuit device according to this Embodiment of the present invention will be described in accordance with its method of manufacture. FIGS. 33 to 42 are fragmentary cross-sectional or fragmentary plan views of a substrate illustrating the method of manufacture of a semiconductor integrated circuit device according to Embodiment 2 of the present invention. Steps up to the formation of the first-level interconnect M1 are similar to those of Embodiment 1, which was described with reference to FIGS. 1 and 2, so that a repeated description thereof is omitted.
As illustrated in FIG. 33, a silicon nitride film TH2 a and a silicon oxide film TH2 b are deposited successively to serve as insulating films by CVD over the first-level interconnect M1 and an interconnect-trench-forming insulating film H1, whereby an interlayer insulating film TH22 is formed. Of these films, the silicon nitride film TH2 a functions to prevent diffusion of copper constituting the first-level interconnect M1. It is also utilized as an etching stopper upon formation of a contact hole C2, which will be described later.
Over the interlayer insulating film TH22, a resist film (not illustrated) is formed, having an opening in a region in which a connector portion (plug) is to be formed. Using this resist film as a mask, the interlayer insulating film TH22 (silicon nitride film TH2 a and silicon oxide film TH2 b) is etched to form a contact hole C2.
Then, in a similar manner to that employed for the formation of the barrier film PM2 a in Embodiment 1, a barrier film P2 a is formed.
More specifically, as illustrated in FIGS. 34 and 35, a refractory metal such as titanium (Ti) is deposited over the interlayer insulating film TH22, including the inside of this contact hole C2, to form the barrier film P2 a. In this process, the barrier film P2 a on the bottom is formed to have a film thickness that increases from the center of the bottom toward the sidewalls, all around the bottom of the contact hole C2 (refer to FIGS. 5 to 7 in Embodiment 1). The thickness of the barrier film at the center of the bottom of the contact hole C2 is B, and the film thickness A, which is the thickness of the barrier film at the end portions, in the direction of the sidewalls, of the bottom of the contact hole C2, is made greater than the film thickness B (A≧B). Moreover, the film thickness C on the bottom of each of the sidewalls of the contact hole C2 is made greater than the film thickness B (C≧B). FIG. 34 is an enlarged view of the vicinity of the contact hole C2, which is the right-most one among the contact holes C2, as seen in FIG. 33, while FIG. 35 is a partially enlarged view of the bottom of the contact hole C2 shown in FIG. 34.
As illustrated in FIG. 36, after formation of a copper film P2 b on the barrier film P2 a by sputtering or CVD to serve as a seed film for electroplating, a copper film P2 c is formed as a conductive film over the copper film P2 b by electroplating.
The copper films P2 b and P2 c are heat treated, followed by removal of the copper films P2 b and P2 c and barrier film P2 a outside the contact hole C2 by CMP so as to form a connector portion (plug) P2 between the first-level interconnect M1 and the second-level interconnect M2, as illustrated in FIG. 37. FIG. 38 and FIG. 40 are enlarged views of the vicinity of a contact hole C2, which is the right-most one among the three contact holes C2 as seen in FIG. 37. FIG. 39 is a fragmentary plan view of the substrate shown in FIG. 38 and FIG. 40. FIG. 38 corresponds to a A—A cross-section of FIG. 39, while FIG. 40 corresponds to a B—B cross-section of FIG. 39. As illustrated therein, the connector portion (plug) P2 has a similar constitution to that of the connector portion (plug) P2 described with reference to Embodiment 1.
As illustrated in FIG. 41, over the interlayer insulating film TH22 and plug P2, a silicon nitride film TH2 and a silicon oxide film TH2 d, serving as insulating films, are deposited successively by CVD to form an interconnect-trench-forming insulating film H22. Of these films, the silicon nitride film TH2 c serves as an etching stopper upon formation of an interconnect trench HM2, which will be described later.
Over the interconnect-trench-forming insulating film H22, a resist film (not illustrated) is formed, having an opening in a region in which a second-level interconnect is to be formed. Using this resist film as a mask, the interconnect-trench-forming insulating film H2 (silicon oxide film TH2 d and silicon nitride film TH2 c) is etched to form the interconnect trench HM2.
Over the interlayer insulating film TH2, including the inside of the interconnect trench HM2, a refractory metal, for example, Ti (titanium), is deposited to form a barrier film M2 a.
After formation of a copper film M2 b over the barrier film M2 a by sputtering or CVD to serve as a seed film for electroplating, a copper film M2 c is formed thereover to serve as a conductive film by electroplating.
The copper films M2 b and M2 c are heat treated, followed by removal of the copper films 2 b and M2 c and the barrier film M2 a outside the interconnect trench HM2 by CMP to form the second-level interconnect M2.
By repeating the formation of interlayer insulating films (TH23 to TH25), connector portions (P3 to P5), interconnect-trench-forming insulating films (H23 to H25) and interconnects (M3 to M5), a five-layer interconnect is formed, as illustrated in FIG. 42. They are formed in a similar manner to those employed for the formation of the interlayer insulating film TH2, connecting portion (plug) P2, interconnect-trench-forming insulating film H22, and second-level interconnect M2.
After formation of a silicon nitride film, to serve as a copper diffusion preventive film, over the fifth-level interconnect M5, as in Embodiment 1, a laminate film PV of a silicon oxide film and a silicon nitride film is formed by deposition to serve as a protective film. By this, the barrier films P3 a, P4 a and P5 a on the bottoms of the contact holes C3, C4 and C5 are each formed to have a film thickness that increases from the center of the bottom toward the sidewalls, all around the bottom of the contact hole as in Embodiment 1.
According to this Embodiment, the barrier film P2 a on the bottom of the contact hole C2 is formed so that its thickness increases from the bottom of the contact hole toward its sidewalls, as described in Embodiment 1. The geometrically shortest route of an electric current from the second-level interconnect M2 to the first-level interconnect M1, therefore does not cross over a thin portion (a portion whose electric resistance becomes the lowest) of the barrier film, whereby a concentration of electrons to this portion can be prevented. As a result, the electromigration properties can be improved.
By setting the film thickness C to be greater than the film thickness B, a concentration of electrons can be prevented even if overetching not greater than the film thickness A is conducted upon formation of the contact hole C2.
Embodiment 3
The semiconductor integrated circuit device according to this Embodiment of the present invention will be described in accordance with its manufacturing process. FIGS. 43 to 51 are fragmentary cross-sectional or fragmentary plan views of a substrate for illustrating the manufacturing process of the semiconductor integrated circuit device according to Embodiment 3 of the present invention. Since the steps up to the formation of the first-level interconnect M1 are similar to those employed for Embodiment 1, which steps were described with reference to FIGS. 1 and 2, a repeated description of them is omitted.
As illustrated in FIG. 43, a silicon nitride film TH2 a, a silicon oxide film TH2 b, a silicon nitride film TH2 c and a silicon oxide film TH2 d are deposited successively by CVD to serve as an insulating film over the first-level interconnect M1 and interconnect-trench-forming insulating film H1, whereby an interlayer insulating film TH2 is formed. Of these films, the silicon nitride film TH2 a has a function of preventing diffusion of copper constituting the first-level interconnect M1. It is also utilized as an etching stopper upon formation of a contact hole C2, which will be described later. The silicon nitride film TH2 c serves as an etching stopper upon formation of an interconnect trench HM2, which will be described later.
Over the interlayer insulating film TH2, a resist film (not illustrated) is formed, that is opened at a region in which a second interconnect is to be formed. Using this resist film as a mask, the silicon oxide film TH2 d and silicon nitride film TH2 c are etched from the interlayer insulating film TH2 to form the interconnect trench HM2.
Over the interlayer insulating film TH2, including the inside of the interconnect trench HM2, a first resist film (not illustrated) is deposited. The interconnect trench HM2 is embedded with the first resist film by etch back. A second resist film (not illustrated), that is opened at a connecting region of the first-level interconnect with the second-level interconnect, is then formed over the first resist film. Using this second resist film as a mask, the first resist film, silicon oxide film TH2 b and silicon nitride film TH2 a are etched, whereby the contact hole (C2) is formed. As described with reference to Embodiment 1, the interconnect trench HM2 may be formed after the formation of the contact hole C2.
If overetching is conducted upon formation of this contact hole C2, the bottom of the contact hole C2 comes at a position deeper than the surface of the first-level interconnect M1 as illustrated in FIG. 43.
As illustrated in FIG. 44, a refractory metal such as Ti (titanium), is deposited over the interlayer insulating film TH2, including the insides of the contact hole C2 and interconnect trench HM2, whereby a barrier film PM2 a is formed. The barrier film PM2 a is formed to have the below-described structure.
FIGS. 45 and 47 are each an enlarged view of the vicinity of the contact hole C2 shown in FIG. 44. FIG. 46 is a fragmentary plan view of the substrate illustrated in FIGS. 45 and 47. FIG. 45 illustrates a A—A cross-section of FIG. 46, while FIG. 47 corresponds to a B—B cross-section of FIG. 46. As illustrated in FIGS. 45 and 47, the barrier film PM2 a is formed along the bottom and sidewalls of the interconnect trench HM2 or contact hole C2.
In the contact hole C2, the barrier film PM2 a on the bottom thereof is formed so that its film thickness increases from the center of the bottom of the contact hole C2 toward the sidewalls, all around the bottom of the contact hole C2. As illustrated in FIG. 48, which is a partially enlarged view of the bottom of the contact hole C2 shown in FIG. 47, the thickness of the barrier film at the center of the bottom of the contact hole C2 is B, and the film thickness A, which is a thickness on the end portion, in the direction of the sidewall, of the bottom of the contact hole C2 is made greater than the film thickness B (A≧B). The barrier film on the sidewalls increases in thickness from a portion above a position contiguous to the surface F of the first-level interconnect M1 toward the bottom of the contact hole C2. The film thickness E of the barrier film PM2 a, that is contiguous to the surface F of the first-level interconnect M1, is the thickness on the sidewall, and it is greater than the film thickness B (E≧B).
As illustrated in Embodiment 1, the film thickness B or the film thickness D of the barrier film on the sidewalls of the contact hole C2 must be adjusted to at least the minimum thickness permitting maintenance of barrier properties.
As illustrated in FIG. 49, after formation of a copper film PM2 b over the barrier film PM2 a by sputtering or CVD to serve as a seed film for electroplating, a copper film PM2 c is formed, to serve as a conductive film, over the copper film PM2 b by electroplating.
After heat treatment of the copper films PM2 b and PM2 c, the copper films PM2 b,PM2 c and barrier film PM2 a outside the interconnect HM2 and contact hole C2 are removed by CMP to form a second-level interconnect M2 and a connector portion (plug) P2 between the first-level interconnect and the second-level interconnect. FIGS. 50 and 51 are enlarged views of the vicinity of the contact hole C2 shown in FIG. 49. FIGS. 50 and 51 correspond to the A—A cross-section and B—B cross-section of FIG. 46, respectively.
The essential points in the structure of the second-level interconnect M2, connector portion (plug) and first-level interconnect M1 will be described.
The second-level interconnect M2 and connector portion (plug) P2 are each made of the copper films PM2 b, PM2 c and barrier film PM2 a. As illustrated in FIG. 50, the second-level interconnect M2 extends to the left side, starting from the connector portion (plug) 2, while the first-level interconnect M1 extends to the right side, starting from the connector portion (plug) P2.
As described above, the barrier film PM2 a on the bottom of the contact hole C2 increases in thickness from the center of the bottom toward the sidewalls. In other words, the barrier film PM2 a has a portion that declines toward the center of the bottom from the sidewalls of the contact hole C2. The film thickness B of the barrier film on the center of the bottom of the contact hole C2 is smaller than the film thickness A, which is the film thickness at an end portion, in the direction of sidewalls, of the bottom of the contact hole C2 (A≧B). The film thickness A can be determined, for example, by dropping a perpendicular line toward the bottom of the contact hole C2 from the end of the shortest distance L between the corner of the bottom of the contact hole C2 to the surface of the barrier film.
The actual surface of the barrier film is, as illustrated in FIG. 15, curved at the corner of the bottom of the contact hole. When the contact hole has a curved corner, as illustrated in FIG. 16, the above-described shortest distance L can be determined by using, as a starting point, the intersection between the extended side line and extended bottom line of the contact hole C2.
The connector portion (plug) P2 has a bottom at a position deeper by an overetching amount OE from the surface F of the first-level interconnect M1, and the film thickness E of the barrier film PM2 a, at a portion contiguous to the surface F of this first-level interconnect M1, is greater than the film thickness B (refer to FIG. 48).
According to this Embodiment, the film thickness E is greater than the film thickness B, so that the geometrically shortest route Ru1 (refer to FIG. 52), when an electric current flows from the second-level interconnect M2 toward the first-level interconnect M1, does not cross over a thin portion of the barrier film at which the electric resistance becomes the lowest.
According to this Embodiment, the geometrically shortest route of electric current from the second-level interconnect M2 to the first-level interconnect M1 does not coincide with a thin portion of the barrier film PM2 a at which the electrical resistance becomes lowest, so that the current route can be dispersed. Accordingly, a concentration of electrons (e) does not occur easily, even if overetching occurs upon formation of the contact hole C2, making it possible to improve the electromigration properties.
As described in Embodiment 1, when the barrier film has some variations in its thickness inside of the contact hole (refer to FIG. 19), and, moreover, when overetching occurs upon formation of the contact hole C2, the geometrically shortest route (route Ru1) of an electric current crosses over the sidewalls of the barrier film PM2 a′, as illustrated in FIG. 52.
When the thickness of the barrier film contiguous to the surface of the first-level interconnect M1 is smaller than that on the bottom of the contact hole, the geometrically shortest route of an electric current coincides with a thin portion of the barrier film PM2 a whose electrical resistance becomes the lowest, which causes a concentration of electrons (e), and deteriorates the electromigration properties.
In this Embodiment, on the other hand, when the film thickness E of the barrier film, which is contiguous to the surface F of the first-level interconnect M1, is set to be greater than the film thickness B, the above-described effect is available.
In similar a manner to that employed for the formation of the second-level interconnect M2 and connector portion (plug) P2, third-level to fifth-level interconnects M3 to M5 and connector portions (plugs) P1 to P5 are then formed. However, illustrations and a detailed description thereof will be omitted.
In this Embodiment, the second-level interconnect M2 and connector portion (plug) 2 were formed using the dual damascene method. Alternatively, the second-level interconnect M2 and connector portion (plug) 2 were formed by separate steps by using the single damascene method, as described with reference to Embodiment 2. Also, in this case, the above-described effect is available by setting the film thickness E of the barrier film PM2 a in the connector portion (plug) to be greater than the film thickness B.
The present invention has been described specifically on the basis of various Embodiments. However, the present invention is not limited by these Embodiments, but can be modified to an extent not departing from the gist of the invention.
For example, MISFETQn and MISFETQp were given as examples of a semiconductor element. Not only a MISFET, but also another element, such as bipolar transistor, can be formed.
Effects available by the typical aspects of the invention, among the features disclosed by the present application, will be described briefly below.
(1) A conductive film on the bottom and sidewalls of a hole made in an insulating film formed over a semiconductor substrate is formed so that its thickness increases from the center of the hole toward the side walls, whereby the geometrically shortest route of an electric current in the hole does not coincide with a thin portion of the conductive film at which the electrical resistance becomes the lowest, which makes it possible to disperse the route of electrical current.
By such a constitution, a concentration of electrons does not occur readily, and the electromigration properties can be improved. Moreover, the characteristics of a semiconductor integrated circuit device having such a conductive film can be improved.
As a result, the yield of the product can be heightened, and its life (electromigration life) can be prolonged.
(2) When the bottom of the hole exists at a position deeper than the surface of the interconnect extending therebelow, a conductive film on the bottom and sidewalls is formed so that the film thickness E of the conductive film that is contiguous to the surface of the interconnect becomes greater than the film thickness B. The geometrically shortest route of an electrical current in the hole, therefore, does not coincide with a thin portion of the conductive film at which the electrical resistance becomes the lowest, which makes it possible to disperse the route of the electrical current.
By such a constitution, a concentration of electrons does not occur readily, and the electromigration properties can be improved. Moreover, the characteristics of a semiconductor integrated circuit device having such a conductive film can be improved.
As a result, the yield of the product can be heightened, and its life (electromigration life) can be prolonged.

Claims (7)

1. A semiconductor integrated circuit device, comprising:
a first interconnect formed over a semiconductor substrate;
an insulating film formed over a semiconductor substrate and on said first interconnect;
a hole formed in said insulating film and having a bottom from which the surface of said first interconnect is partly exposed;
a first conductive film formed on the bottom and sidewall of said hole and being in contact with said first interconnect; and
a second conductive film formed on said first conductive film and embedded inside of said hole,
wherein said first conductive film includes a perpendicular portion arranged along the sidewall of said hole, a corner portion arranged near the corner of said hole and a level portion arranged along the bottom of said hole,
wherein the thickness of said level portion of said first conductive film is gradually increasing from the center of said hole toward outside, and
wherein the resistance of said first interconnect and the resistance of said second conductive film are lower than the resistance of said first conductive film.
2. A semiconductor integrated circuit device according to claim 1,
wherein the thickness of said level portion of said first conductive film is gradually increasing from the center of said hole toward outside in all directions perpendicular to an extending direction of said hole.
3. A semiconductor integrated circuit device according to claim 1,
wherein the thickness of said level portion of said first conductive film is gradually increasing from the center of said hole toward outside at least in an extending direction of said first interconnect.
4. A semiconductor integrated circuit device according to claim 1,
wherein said first conductive film is a refractory metal film or a nitride thereof.
5. A semiconductor integrated circuit device according to claim 1,
wherein said first conductive film is made of tantalum (Ta), tantalum nitride (TaN), titanium (Ti), titanium nitride (TiN), tungsten (W), tungsten nitride (WN), titanium silicide nitride (TiSiN) or tungsten silicide nitride (WSiN), or an alloy thereof, or a laminate film thereof.
6. A semiconductor integrated circuit device according to claim 1,
wherein said second conductive film is a copper (Cu) film or an alloy thereof.
7. A semiconductor integrated circuit device according to claim 1,
wherein said first conductive film has at least the minimum film thickness permitting maintenance of barrier properties between said second conductive film and said insulating film.
US10/263,829 2001-10-04 2002-10-04 Semiconductor integrated circuit device with a connective portion for multilevel interconnection Expired - Lifetime US7095120B2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US10/327,024 US7018919B2 (en) 2001-10-04 2002-12-24 Method of manufacturing a semiconductor integrated circuit device including a hole formed in an insulating film and a first conductive film formed over a bottom region and sidewalls of the hole
US11/444,316 US7569476B2 (en) 2001-10-04 2006-06-01 Semiconductor integrated circuit device and a method of manufacturing the same
US12/345,917 US7777346B2 (en) 2001-10-04 2008-12-30 Semiconductor integrated circuit device and a method of manufacturing the same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2001-309007 2001-10-04
JP2001309007A JP2003115535A (en) 2001-10-04 2001-10-04 Semiconductor integrated circuit device

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US10/327,024 Continuation US7018919B2 (en) 2001-10-04 2002-12-24 Method of manufacturing a semiconductor integrated circuit device including a hole formed in an insulating film and a first conductive film formed over a bottom region and sidewalls of the hole
US11/444,316 Continuation US7569476B2 (en) 2001-10-04 2006-06-01 Semiconductor integrated circuit device and a method of manufacturing the same

Publications (2)

Publication Number Publication Date
US20030067079A1 US20030067079A1 (en) 2003-04-10
US7095120B2 true US7095120B2 (en) 2006-08-22

Family

ID=19128224

Family Applications (4)

Application Number Title Priority Date Filing Date
US10/263,829 Expired - Lifetime US7095120B2 (en) 2001-10-04 2002-10-04 Semiconductor integrated circuit device with a connective portion for multilevel interconnection
US10/327,024 Expired - Lifetime US7018919B2 (en) 2001-10-04 2002-12-24 Method of manufacturing a semiconductor integrated circuit device including a hole formed in an insulating film and a first conductive film formed over a bottom region and sidewalls of the hole
US11/444,316 Expired - Fee Related US7569476B2 (en) 2001-10-04 2006-06-01 Semiconductor integrated circuit device and a method of manufacturing the same
US12/345,917 Expired - Lifetime US7777346B2 (en) 2001-10-04 2008-12-30 Semiconductor integrated circuit device and a method of manufacturing the same

Family Applications After (3)

Application Number Title Priority Date Filing Date
US10/327,024 Expired - Lifetime US7018919B2 (en) 2001-10-04 2002-12-24 Method of manufacturing a semiconductor integrated circuit device including a hole formed in an insulating film and a first conductive film formed over a bottom region and sidewalls of the hole
US11/444,316 Expired - Fee Related US7569476B2 (en) 2001-10-04 2006-06-01 Semiconductor integrated circuit device and a method of manufacturing the same
US12/345,917 Expired - Lifetime US7777346B2 (en) 2001-10-04 2008-12-30 Semiconductor integrated circuit device and a method of manufacturing the same

Country Status (5)

Country Link
US (4) US7095120B2 (en)
JP (1) JP2003115535A (en)
KR (2) KR20030029029A (en)
CN (2) CN1333464C (en)
TW (1) TW569307B (en)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100440261B1 (en) * 2001-12-22 2004-07-15 주식회사 하이닉스반도체 Method of manufacturing a metal line in semiconductor device
JP4250006B2 (en) * 2002-06-06 2009-04-08 富士通マイクロエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
US6916697B2 (en) * 2003-10-08 2005-07-12 Lam Research Corporation Etch back process using nitrous oxide
KR100668833B1 (en) * 2004-12-17 2007-01-16 주식회사 하이닉스반도체 Emthod for fabricating capacitor in semiconductor device
KR100806038B1 (en) 2006-11-29 2008-02-26 동부일렉트로닉스 주식회사 Method for fabricating contact hole of semiconductor device
CN102437100A (en) * 2011-09-08 2012-05-02 上海华力微电子有限公司 Method for simultaneously forming copper contact hole and first metal layer by dual damascene technique
KR102096614B1 (en) * 2013-10-11 2020-04-03 삼성전자주식회사 e-fuse structure of a semiconductor device
EP3007224A1 (en) * 2014-10-08 2016-04-13 Nxp B.V. Metallisation for semiconductor device
US9418934B1 (en) * 2015-06-30 2016-08-16 International Business Machines Corporation Structure and fabrication method for electromigration immortal nanoscale interconnects
KR102432280B1 (en) 2015-07-31 2022-08-12 삼성전자주식회사 Semiconductor devices

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5309023A (en) * 1989-11-14 1994-05-03 Mitsubishi Denki Kabushiki Kaisha Contact structure for interconnection in semiconductor devices and manufacturing method thereof
US5371041A (en) * 1988-02-11 1994-12-06 Sgs-Thomson Microelectronics, Inc. Method for forming a contact/VIA
US5739579A (en) 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
US5891513A (en) 1996-01-16 1999-04-06 Cornell Research Foundation Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications
US6157078A (en) * 1999-09-23 2000-12-05 Advanced Micro Devices, Inc. Reduced variation in interconnect resistance using run-to-run control of chemical-mechanical polishing during semiconductor fabrication
US6181012B1 (en) 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
US6586842B1 (en) * 2001-02-28 2003-07-01 Advanced Micro Devices, Inc. Dual damascene integration scheme for preventing copper contamination of dielectric layer
US6590288B1 (en) * 2001-06-04 2003-07-08 Advanced Micro Devices, Inc. Selective deposition in integrated circuit interconnects

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5635437A (en) * 1979-08-29 1981-04-08 Nec Corp Manufacturing of semiconductor device
US4720908A (en) * 1984-07-11 1988-01-26 Texas Instruments Incorporated Process for making contacts and interconnects for holes having vertical sidewalls
US4666737A (en) * 1986-02-11 1987-05-19 Harris Corporation Via metallization using metal fillets
KR960001601B1 (en) * 1992-01-23 1996-02-02 삼성전자주식회사 Contact-hole burying method of semiconductor device and its
JP2817752B2 (en) * 1992-07-01 1998-10-30 日本電気株式会社 Method for manufacturing semiconductor device
US5730835A (en) * 1996-01-31 1998-03-24 Micron Technology, Inc. Facet etch for improved step coverage of integrated circuit contacts
US6420786B1 (en) * 1996-02-02 2002-07-16 Micron Technology, Inc. Conductive spacer in a via
US5998295A (en) * 1996-04-10 1999-12-07 Altera Corporation Method of forming a rough region on a substrate
JP3551722B2 (en) 1997-09-04 2004-08-11 トヨタ自動車株式会社 Manufacturing method of cylinder head
KR100267106B1 (en) * 1998-09-03 2000-10-02 윤종용 Method for fabricating multi-layer metal interconnection of semiconductor device
US6461955B1 (en) * 1999-04-29 2002-10-08 Texas Instruments Incorporated Yield improvement of dual damascene fabrication through oxide filling
KR20010011230A (en) * 1999-07-27 2001-02-15 윤종용 Method for metal contact filling in semiconductor device
JP2001060590A (en) * 1999-08-20 2001-03-06 Denso Corp Electric wiring of semiconductor device and manufacture thereof
US6534866B1 (en) * 2000-04-13 2003-03-18 Micron Technology, Inc. Dual damascene interconnect
KR20020001108A (en) * 2000-06-26 2002-01-09 이형도 Rotor of vibration motor for cellular phone
US6613664B2 (en) * 2000-12-28 2003-09-02 Infineon Technologies Ag Barbed vias for electrical and mechanical connection between conductive layers in semiconductor devices
US6576543B2 (en) * 2001-08-20 2003-06-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method for selectively depositing diffusion barriers
US6667231B1 (en) * 2002-07-12 2003-12-23 Texas Instruments Incorporated Method of forming barrier films for copper metallization over low dielectric constant insulators in an integrated circuit

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5371041A (en) * 1988-02-11 1994-12-06 Sgs-Thomson Microelectronics, Inc. Method for forming a contact/VIA
US5309023A (en) * 1989-11-14 1994-05-03 Mitsubishi Denki Kabushiki Kaisha Contact structure for interconnection in semiconductor devices and manufacturing method thereof
US5739579A (en) 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
US5891513A (en) 1996-01-16 1999-04-06 Cornell Research Foundation Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications
US6181012B1 (en) 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
US6157078A (en) * 1999-09-23 2000-12-05 Advanced Micro Devices, Inc. Reduced variation in interconnect resistance using run-to-run control of chemical-mechanical polishing during semiconductor fabrication
US6586842B1 (en) * 2001-02-28 2003-07-01 Advanced Micro Devices, Inc. Dual damascene integration scheme for preventing copper contamination of dielectric layer
US6590288B1 (en) * 2001-06-04 2003-07-08 Advanced Micro Devices, Inc. Selective deposition in integrated circuit interconnects

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
"Semicon West 2000 " Nikkei Microdevices, pp. 65-66.

Also Published As

Publication number Publication date
CN1333464C (en) 2007-08-22
US7777346B2 (en) 2010-08-17
US20030067079A1 (en) 2003-04-10
TW569307B (en) 2004-01-01
US20060216925A1 (en) 2006-09-28
CN101097888A (en) 2008-01-02
US7569476B2 (en) 2009-08-04
KR20070103346A (en) 2007-10-23
CN1412844A (en) 2003-04-23
KR20030029029A (en) 2003-04-11
US20090115063A1 (en) 2009-05-07
US7018919B2 (en) 2006-03-28
JP2003115535A (en) 2003-04-18
US20030102565A1 (en) 2003-06-05

Similar Documents

Publication Publication Date Title
US7777346B2 (en) Semiconductor integrated circuit device and a method of manufacturing the same
US7051934B2 (en) Methods of forming metal layers in integrated circuit devices using selective deposition on edges of recesses
US7154178B2 (en) Multilayer diffusion barrier for copper interconnections
US7679193B2 (en) Use of AIN as cooper passivation layer and thermal conductor
US6943111B2 (en) Barrier free copper interconnect by multi-layer copper seed
US9704740B2 (en) Semiconductor device having insulating layers containing oxygen and a barrier layer containing manganese
US7074709B2 (en) Localized doping and/or alloying of metallization for increased interconnect performance
KR20040015789A (en) Locally increasing sidewall density by ion implantation
US5849367A (en) Elemental titanium-free liner and fabrication process for inter-metal connections
US6747353B2 (en) Barrier layer for copper metallization in integrated circuit fabrication
US6326306B1 (en) Method of forming copper dual damascene structure
JP4886165B2 (en) Method of selectively alloying interconnect areas by deposition process
CN116130411A (en) Semiconductor manufacturing method with copper diffusion preventing structure
US6200890B1 (en) Method of fabricating copper damascene
US6724087B1 (en) Laminated conductive lines and methods of forming the same
US6509257B1 (en) Semiconductor device and process for making the same
US6656836B1 (en) Method of performing a two stage anneal in the formation of an alloy interconnect
JP4648284B2 (en) Manufacturing method of semiconductor integrated circuit device
US6979642B1 (en) Method of self-annealing conductive lines that separates grain size effects from alloy mobility
JPH11307528A (en) Semiconductor device and its manufacture
KR100408182B1 (en) Copper barrier layer for copper layer
US7407884B2 (en) Method for forming an aluminum contact
KR20030000828A (en) Method for Forming Line of Semiconductor Device

Legal Events

Date Code Title Description
AS Assignment

Owner name: HITACHI, LTD., JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ISHIKAWA, KENSUKE;SAITO, TATSUYUKI;MIYAUCHI, MASANORI;AND OTHERS;REEL/FRAME:013370/0190;SIGNING DATES FROM 20020808 TO 20020809

AS Assignment

Owner name: RENESAS TECHNOLOGY CORPORATION, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:HITACHI, LTD.;REEL/FRAME:014569/0585

Effective date: 20030912

STCF Information on status: patent grant

Free format text: PATENTED CASE

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 4

AS Assignment

Owner name: RENESAS ELECTRONICS CORPORATION, JAPAN

Free format text: MERGER;ASSIGNOR:RENESAS TECHNOLOGY CORP.;REEL/FRAME:025204/0512

Effective date: 20100401

FPAY Fee payment

Year of fee payment: 8

AS Assignment

Owner name: RENESAS ELECTRONICS CORPORATION, JAPAN

Free format text: CHANGE OF ADDRESS;ASSIGNOR:RENESAS ELECTRONICS CORPORATION;REEL/FRAME:044928/0001

Effective date: 20150806

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553)

Year of fee payment: 12