US6974768B1 - Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films - Google Patents

Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films Download PDF

Info

Publication number
US6974768B1
US6974768B1 US10/342,522 US34252203A US6974768B1 US 6974768 B1 US6974768 B1 US 6974768B1 US 34252203 A US34252203 A US 34252203A US 6974768 B1 US6974768 B1 US 6974768B1
Authority
US
United States
Prior art keywords
wafer
layer
diffusion barrier
copper
ruthenium
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US10/342,522
Inventor
Sridhar K. Kailasam
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Priority to US10/342,522 priority Critical patent/US6974768B1/en
Assigned to NOVELLUS SYSTEMS, INC. reassignment NOVELLUS SYSTEMS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KAILASAM, SRIDHAR K.
Priority to US10/847,522 priority patent/US7425506B1/en
Priority to US11/105,597 priority patent/US7446032B2/en
Application granted granted Critical
Publication of US6974768B1 publication Critical patent/US6974768B1/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD

Definitions

  • the present invention relates to methods of processing a semiconductor wafer in a deposition chamber to provide copper vias and trenches, and more particularly, to a method of processing a semiconductor wafer in a deposition chamber including the deposition of an adhesion layer for a barrier material.
  • Integrated circuit (IC) manufacturers have traditionally used aluminum and aluminum alloys, among other metals, as the conductive metal for interconnects in integrated circuits.
  • IC integrated circuit
  • IC manufacturers have turned to copper to replace aluminum and aluminum alloys for advanced microelectronic applications. This is because copper has a higher conductivity that translates to significant improvement in the interconnect performance.
  • copper-based interconnects offer better electromigration resistance than aluminum, thereby improving the interconnect reliability.
  • the implementation of copper faces certain challenges.
  • the adhesion of copper (Cu) to silicon dioxide (SiO 2 ) and to other dielectric materials is generally poor due to the low enthalpy of formation of the associated Cu compounds. Poor adhesion results in the delamination of Cu from adjoining films during the manufacturing process.
  • Cu ions readily diffuse into SiO 2 under electrical bias, and increase the dielectric electrical leakage between Cu lines even at very low Cu concentrations within the dielectric.
  • copper diffuses into the underlying silicon where the active devices are located, device performance can be degraded. Copper behaves as a defect in silicon resulting in the reduction of minority carrier lifetime, and hence, results in device degradation.
  • copper will also react with silicon at relatively low temperature to form copper silicide, which increases contact resistance.
  • Damascene processing involves formation of inlaid metal lines in trenches and vias formed in a dielectric layer. Conductive materials, such as copper, are deposited in different and non-contiguous planes. The pathways that join various layers of conductors are referred to as vias, whereas the conductors within a layer are referred to as trenches. Insulators between trenches are called inter-metal dielectric (IMD) and the insulating layers separating planes of conductive material are referred to as the interlevel dielectric (ILD).
  • IMD inter-metal dielectric
  • ILD interlevel dielectric
  • a suitable barrier layer that encapsulates copper and blocks diffusion of copper atoms.
  • the diffusion barrier comprising both conductive and non-conductive materials, is typically formed over a patterned dielectric layer and prior to deposition of copper.
  • the time, materials, and process complexity required to form a separate diffusion barrier layer introduces a significant cost to the overall fabrication procedure.
  • the thickness of the barrier if too great, can create problems with subsequent copper coatings and filling of ultra-fine features—e.g. a sub-100 nm diameter via.
  • Typical barrier materials tend to be much less conductive than copper.
  • the barrier inside a sub-100 nm diameter via is too thick, it reduces the available volume of copper within the features leading to increased resistance of the via that could offset the advantage offered by the use of copper.
  • the International Technology Roadmap for Semiconductors requires that at the 45 nm node the barrier for copper at the intermediate wiring level be limited to 5 nm.
  • a typical Damascene process flow begins with formation of pathways in a previously formed dielectric layer.
  • Dielectric surfaces to which the invention is applicable preferably include at least one of silicon dioxide, silicon nitride, silicon oxynitride, fluorinated silica glass, CORALTM from Novellus Systems, Inc., BLACK DIAMONDTM from Applied Materials, Inc., SiLKTM from Dow Corning, Inc., and NANOGLASSTM of Nanopore, Inc., and the like. These pathways may be etched as trenches and vias in a blanket layer of dielectric such as silicon dioxide. The pathways define conductive routes between various devices on a semiconductor wafer. Copper provides the conductive paths of the semiconductor wafer.
  • the process optionally includes depositing a thin diffusion barrier layer before deposition of copper.
  • Typical materials for the diffusion barrier layer include tantalum (Ta), tantalum nitride (TaN x ), tungsten (W), titanium (Ti), titanium nitride (TiN), and the like.
  • Conventional barrier layers are typically formed by a physical vapor deposition (PVD), chemical vapor deposition (CVD), or atomic layer deposition (ALD) process.
  • the diffusion barriers formed on integrated circuit substrates are made between about 1 and 30 nm thick.
  • Electrolytic deposition methods are used to fill the conductive pathways with copper.
  • a conductive surface coating must be applied on top of the barrier layer because conventional barrier materials exhibit high electrical resistivity and hence, cannot transport current during electrolytic copper plating.
  • a copper seed layer is deposited on the barrier layer.
  • a PVD process deposits this seed layer.
  • a much thicker layer of copper is deposited on the seed layer by electroplating.
  • the copper is planarized, generally by chemical mechanical planarization (CMP) and/or electropolishing down to the dielectric in preparation for further processing.
  • CMP chemical mechanical planarization
  • a dielectric barrier layer such as silicon nitride, is applied over the surface thereby encapsulating the exposed copper and dielectric surfaces.
  • a newly laid inter-level dielectric layer is etched to form another series of via and trench features wherein the vias connect to the underlying copper conductive routes.
  • a diffusion barrier is deposited on the etched features in the dielectric and is followed by deposition of a copper seed, electroplating, CMP, and deposition of the dielectric barrier. This process is repeated forming layers of electrically connected, but encapsulated, copper conductive routes. Thus, in the final structure, there is a diffusion barrier between adjoining copper conductive routes.
  • diffusion barriers can be broadly categorized as conductive and non-conductive
  • the conventional materials (mentioned above) used for diffusion barriers are often ten times to one hundred times as electrically resistant as the copper routes that they encapsulate.
  • the continuing trend towards smaller features size in ICs requires that the thickness of the diffusion barrier also be reduced in order to minimize the contribution of electrical resistance of conventional diffusion barriers.
  • the replacement of conventional diffusion barriers with newer materials that have reduced electrical resistance is appealing. This is because it would further improve the conductivity in the lines and vias, thereby increasing the speed of signal propagation compared to interconnect structures using conventional barriers.
  • electrolytic plating of copper directly onto conductive barrier materials precludes the use of a separate copper seed layer, thereby simplifying the overall process.
  • ruthenium and its compounds, such as ruthenium oxide (RuO x ), is shown herein to be beneficial.
  • Ruthenium and its oxide are known to be good diffusion barriers to copper migration.
  • electrochemical deposition of copper onto ruthenium is known to be feasible.
  • ruthenium and its compounds as diffusion barriers presents a few challenges.
  • One of the critical attributes of any diffusion barrier is its adhesion to the adjoining dielectric material.
  • the adhesion of noble metals such as ruthenium to the dielectric materials is poor, leading to mechanical instabilities during further processing. It would be desirable to determine a process wherein the adhesion of the directly plateable barrier material to the underlying dielectric is improved greatly so as to withstand damascene processing.
  • the present invention is directed to a method of forming a single layer to serve as a adhesion layer for a diffusion barrier layer in a partially fabricated integrated circuit, the method comprising of: providing a semiconductor wafer having a top surface and a dielectric material including exposed regions accessible from the top surface; degassing the wafer; pre-cleaning the wafer; depositing a first layer to the wafer that would act as an adhesive layer between the exposed regions of the wafer and a diffusion barrier layer; depositing the diffusion barrier layer on top of the first layer; and depositing a conductive material on top of the diffusion barrier layer.
  • the dielectric material comprises at least one of silicon dioxide, silicon oxynitride, silicon nitride, fluorinated silica glass, NANOGLASSTM, SiLKTM, and carbon-doped oxides, of which the carbon-doped oxides include CORALTM, BLACK DIAMONDTM, or AURORATM.
  • Pre-cleaning the wafer includes subjecting the wafer to a bombardment of argon ions, or argon ions in combination with hydrogen, helium, oxygen, or nitrogen.
  • the adhesive layer may comprise boron, amorphous silicon, carbon, titanium nitride, or tantalum nitride.
  • the adhesive layer may be deposited at a thickness of less than 100 ⁇ inside the features etched into the dielectric material.
  • the wafer may be subject to a precursor containing the adhesive material for a period of 1 to 100 seconds at a pressure ranging from 0.1 to 100 Torr.
  • the diffusion barrier may comprise ruthenium, cobalt, tungsten, molybdenum, or rhenium.
  • the diffusion barrier is preferably less than 200 ⁇ thick.
  • the diffusion barrier layer includes using organo-metallic precursors for chemical vapor deposition and atomic layer deposition processes.
  • the organo-metallic precursors for ruthenium may include bis(cyclopentadienyl)ruthenium, ruthenium acetylacetonate, tris(2,2,6,6-tetramethyl-3,5-heptanedionate), or ruthenium carbonyl.
  • the substrate may be heated to a temperature of between about 100–400 degrees Celsius after the step of depositing the diffusion barrier layer, causing a reaction between the dielectric material, the adhesion layer, and the diffusion barrier.
  • the conductive metal may be directly deposited on top of the diffusion barrier by electrochemical deposition methods, including electrolytic copper plating or electroless copper plating.
  • a copper seed layer may be directly deposited on top of the diffusion barrier by physical vapor deposition, chemical vapor deposition, or electrochemical methods.
  • the present invention is directed to a method of forming an adhesion layer to a dielectric of a semiconductor wafer, comprising: degassing the wafer; pre-cleaning the wafer; exposing the degassed, pre-cleaned wafer to a plasma that includes the adhesive-containing precursor; and depositing a layer of diffusion barrier on the wafer.
  • the diffusion barrier may comprise ruthenium, cobalt, tungsten, molybdenum, or rhenium.
  • Pre-cleaning the wafer includes subjecting the wafer to a bombardment of argon ions, or argon ions in combination with hydrogen, helium, oxygen, or nitrogen.
  • the wafer is subject to a plasma enhanced chemical vapor deposition process to deposit the adhesive layer.
  • the adhesive layer may comprise boron, amorphous silicon, carbon, titanium nitride or tantalum nitride.
  • the adhesive layer may be deposited at a thickness of less than 100 ⁇ inside the features etched into the dielectric material.
  • the wafer may be subject to a precursor containing the adhesive material for a period of 1 to 100 seconds at a pressure ranging from 0.1 to 100 Torr. For instance, a degassed and pre-cleaned wafer may be exposed to a plasma of silane at 0.1 to 100 Torr pressure for 1 to 100 seconds leading to the formation of amorphous silicon on the exposed surfaces of the wafer.
  • the wafer is held to a maximum temperature of approximately 300° C. during the silane exposure to minimize or preclude reaction between the deposited silicon adhesion layer and the underlying copper at the bottom of the vias.
  • the wafer temperature during the step of depositing ruthenium may be at an elevated temperature of 400° C.
  • the present invention is directed to a method of forming an adhesion layer and barrier layer to facilitate copper deposition on a dielectric of a semiconductor wafer, comprising: degassing the wafer; pre-cleaning the wafer; exposing the wafer to deposit an adhesive layer on the wafer; bias-sputter etching the wafer to selectively remove the adhesive layer; and depositing a layer of diffusion barrier on the wafer.
  • the diffusion barrier may comprise ruthenium, cobalt, tungsten, molybdenum, or rhenium.
  • Pre-cleaning the wafer includes subjecting the wafer to a bombardment of argon ions, or argon ions in combination with hydrogen, helium, oxygen, or nitrogen.
  • the adhesive layer may include boron, amorphous silicon, or carbon.
  • the precursors for the said adhesive layers may comprise of diborane gas, silane gas, or methane gas.
  • the substrate may be exposed to such precursors for approximately 1 to 100 seconds at a pressure ranging from 0.1 to 100 Torr.
  • the step of bias-sputter etching may comprise electrically biasing the wafer in the plasma to sputter the adhesive layer selectively from via bottoms, and exposing a clean copper surface prior to barrier deposition.
  • the wafer temperature during the step of depositing ruthenium may be at an elevated temperature of 400° C.
  • the present invention is directed to a method of forming an adhesion layer and barrier layer to facilitate copper deposition on a dielectric of a semiconductor wafer, comprising: degassing the wafer; pre-cleaning the wafer; exposing the wafer to deposit an adhesive layer on the wafer in the same chamber used for pre-cleaning; an optional step of bias-sputter etching the wafer to selectively remove the adhesive layer in the chamber used for pre-cleaning; and depositing a layer of diffusion barrier in a separate chamber on the wafer.
  • the diffusion barrier may comprise ruthenium, cobalt, tungsten, molybdenum, or rhenium.
  • Pre-cleaning the wafer includes subjecting the wafer to a bombardment of argon ions, or argon ions in combination with hydrogen, helium, oxygen, or nitrogen.
  • the adhesive layer may include boron, amorphous silicon, carbon, titanium nitride, or tantalum nitride.
  • the substrate may be exposed to such precursors for approximately 1 to 100 seconds at a pressure ranging from 0.1 to 100 Torr.
  • An optional sputter etch in the pre-clean chamber may be included following the deposition of the adhesion layer to selectively remove the adhesion layer from the bottom of the vias alone.
  • the wafer temperature during the step of depositing ruthenium may be at an elevated temperature of 400° C.
  • FIG. 1 is a cross-sectional front elevation view of a degassed, pre-cleaned wafer.
  • FIG. 2 is a cross-sectional front elevation view of the wafer shown in FIG. 1 with an adhesion layer and a ruthenium layer deposited thereon.
  • FIG. 3 is a cross-sectional front elevation view of a degassed, pre-cleaned wafer with an adhesion layer, a ruthenium layer, and a direct plated copper film layer.
  • FIG. 4 is a cross-sectional front elevation view of the substrate shown in FIG. 3 having a copper seed layer applied prior to the copper film layer.
  • FIG. 5 is a cross-sectional front elevation view of the wafer shown in FIGS. 1–2 with the adhesion layer at the base of the feature removed by sputter etching.
  • FIG. 6 is a cross-sectional front elevation view of the wafer shown in FIG. 5 with a ruthenium layer applied thereto.
  • FIG. 7 depicts a flow chart of a first wafer processing sequence using one single chamber for deposition of adhesion layer and diffusion barrier.
  • FIG. 8 depicts a flow chart of a second wafer processing sequence using one single chamber for precleaning and deposition of adhesion layer, and a second chamber for deposition of diffusion barrier.
  • FIGS. 1–8 of the drawings in which like numerals refer to like features of the invention.
  • this invention is typically carried out on a dielectric substrate.
  • the dielectric layer will already have surface features such as vias and channels etched into the dielectric.
  • the substrate will include areas of copper from the completed lower layers.
  • Damascene processing is often a preferred method in copper-based interconnect metallization schemes because it requires fewer processing steps than conventional methods and offers higher yields.
  • copper readily diffuses into surrounding dielectric materials and degrades their insulating electrical properties.
  • diffusion barriers are needed to protect adjoining dielectric materials from copper migration. Before copper can be deposited, the dielectrics must first be protected with a diffusion barrier. Suitable materials for electrically conductive diffusion barriers include tantalum, tantalum nitride, tungsten, titanium, and titanium nitride, to name a few.
  • a challenge in the manufacture of copper-based interconnects is identifying a material(s) and/or processing that would not only serve as a diffusion barrier to copper migration into the adjoining dielectric layers, but also be amenable to direct copper plating on to itself. This would allow the use of a single layer in place of two materials that separately serve the roles of diffusion barrier and seed layer, thereby resulting in a simpler, cheaper, and more efficient structure.
  • directly plateable materials such as Ru, Co, Mo, and W to name a few
  • they are noble and do not readily react with dielectric materials such as SiO 2 , CORALTM, and other SiO 2 -based dielectrics.
  • dielectric materials such as SiO 2 , CORALTM, and other SiO 2 -based dielectrics.
  • This invention describes a method to enable the adhesion of ruthenium, a candidate for directly plateable barrier/seed layer, to adjoining dielectric materials.
  • the instant invention is not limited to ruthenium, and is also applicable to the use of other barrier/seed candidates that otherwise do not adhere to the typical ILD materials, such as cobalt, tungsten, molybdenum, or rhenium.
  • the incoming wafer Prior to the deposition of the adhesion layer and barrier, the incoming wafer is degassed at high temperatures and pre-cleaned. During the pre-clean step, the wafer is subject to bombardment from ions of argon, which may also be in combination with ions from other gases such as hydrogen, helium, oxygen, and nitrogen, among others. The degassed and pre-cleaned wafers are then exposed to a precursor gas for periods ranging from 1 to 100 seconds at pressures ranging from 0.1 to 100 Torr at temperatures ranging from 100 to 400 degrees Celsius.
  • thermal decomposition of the precursor leading to the formation of the adhesion layer.
  • thermally-driven chemical vapor deposition For instance, exposure of the degassed and pre-cleaned wafer to diborane gas under above-mentioned conditions of temperature, pressure, and duration would result in the formation of boron on the exposed features of the wafer.
  • the boron-coated wafers are then coated with ruthenium so that the boron would react with the incoming ruthenium atoms resulting in the formation of ruthenium-boride (RuB x ) that in turn provides for strong adhesion of the ruthenium to the dielectric.
  • RuB x ruthenium-boride
  • the wafer is maintained at an elevated temperature less than or equal to 400° C., and preferably between 100° C. and 400° C. during ruthenium deposition resulting in an in-situ reaction between ruthenium and the adhesion layer, thereby eliminating the need for a separate heat treatment.
  • the in-situ reaction which leads to the adhesion between ruthenium and the dielectric, results in a simpler and less expensive process.
  • the reaction between ruthenium and the adhesion layer can be accomplished by annealing the wafer in a separate chamber.
  • other adhesion layers deposited using thermally-driven CVD may include silicon, carbon, titanium nitride, or tantalum nitride.
  • the degassed and pre-cleaned wafer is exposed to a plasma-assisted CVD process including a precursor gas that results in the deposition of an ultra-thin adhesion layer on the wafer.
  • the adhesion layers deposited using plasma-enhanced CVD may include silicon, boron, carbon, titanium nitride, or tantalum nitride.
  • a-Si amorphous silicon
  • the wafer is subjected to a silane pressure ranging from 0.1 to 100 Torr for periods ranging from 1 to 100 seconds in the plasma.
  • a direct or indirect plasma source may be used to generate the plasma.
  • the wafer may be maintained at an elevated temperature.
  • This plasma-enhanced chemical vapor deposition (PECVD) of silane results in the deposition of a-Si on any exposed feature.
  • Amorphous silicon is deposited on top of the exposed copper inside the vias as it coats the sidewalls of the vias and trenches cut in the dielectric.
  • the deposition of a-Si on top of copper is not desirable because it could result in large increases in contact resistance due to formation of copper-silicides during subsequent high-temperature processing steps.
  • the temperature of the wafer during exposure to silane is limited to a maximum of approximately 300° C.
  • a diffusion barrier layer is deposited, along with a subsequent coating of a conductive material layer, such as copper.
  • the deposition of the adhesion layer is followed by an etch step wherein the wafer is electrically biased in a plasma of argon (Ar) ions in order to create a directional beam of Ar ions.
  • the directional argon ions bombard portions of the wafer that are perpendicular to the ion beam resulting in sputtering of the adhesion layer from the top of the exposed copper at the bottom of the vias onto the lower sidewalls of the vias.
  • the sputter etch remove the adhesion layer from the top of the copper, it results in re-deposition of the adhesion layer onto the bottom sidewall of the dielectric where the coverage of the adhesion layer may be poor to begin with due to the limited conformance of the deposition processes, such as PECVD in high aspect ratio features.
  • PECVD a 10 to 20 ⁇ PECVD a-Si film deposited on the field or top surface of the wafer results in a thinner layer coating of the sidewalls and the bottom of the features. This thinner layer is on the order of 5 to 10 ⁇ .
  • the silicon on the field and along the sidewalls reacts with ruthenium to provide the desired adhesion.
  • the wafer is subjected to a biased sputter etch that re-deposits silicon from the bottom of the features onto the sidewalls prior to the ruthenium deposition, thereby leaving a clean interface between ruthenium and copper.
  • a biased sputter etch that re-deposits silicon from the bottom of the features onto the sidewalls prior to the ruthenium deposition, thereby leaving a clean interface between ruthenium and copper.
  • the re-sputtering of a-Si should be optimized such that it leaves a clean copper surface inside the vias without fully removing silicon from the bottom of the trenches, where an adhesion layer is needed between the ruthenium and the underlying dielectric.
  • a diffusion barrier layer is deposited, and subsequently coated with a conductive material layer, such as copper.
  • the dielectric surface is pre-cleaned wherein the wafer is subject to bombardment from ions of argon, which may also be in combination with ions from other gases such as hydrogen, helium, oxygen, and nitrogen.
  • the pre-cleaned wafer is then exposed to a precursor gas in the same chamber used for the pre-clean step to deposit the adhesion layer.
  • the adhesion layer may include silicon, boron, carbon, titanium nitride, or tantalum nitride. This will substantially eliminate the need for the soak and etch steps in the process module for ruthenium deposition, thereby simplifying the ruthenium deposition process and hardware requirements.
  • the pre-clean step using Ar ions may be followed by exposing the wafer to diborane gas resulting in the deposition of an ultra-thin layer of boron inside the features.
  • the exposure to the precursor gas leading to the formation of the adhesion layer may be followed by a sputter etch to remove the adhesion layer (such as boron) from the bottom of the vias to leave behind a clean copper surface prior to barrier deposition.
  • This strategy is effective if there is exposed copper underneath, as is the case inside vias that would allow for electrolytic copper plating.
  • a second etch must be carefully controlled to leave behind some thickness of the adhesion layer to be left inside the isolated trenches to act as the adhesion layer for subsequent ruthenium deposition.
  • a diffusion barrier layer is then deposited, and subsequently coated with a conductive material layer, such as copper.
  • Thin films of ruthenium may be deposited by a variety of methods including physical vapor deposition (PVD) if the technology is extended for future generations of IC processing.
  • PVD physical vapor deposition
  • deposition of ruthenium using PVD is currently expensive on account of the high cost of manufacturing a sputter-target.
  • PVD processes are very complex to achieve the desired conformality inside the features. Hence, CVD of ruthenium is more attractive.
  • organo-metallic precursors for chemical vapor deposition of ruthenium include bis(cyclopentadienyl)ruthenium, tris(2,2,6,6-tetramethyl-3,5-heptanedionate) ruthenium, ruthenium acetylacetonate, and ruthenium carbonyl.
  • CVD films offer much better conformality compared to PVD films.
  • Ru may be deposited from supercritical fluids using the above-mentioned organo-metallic precursors.
  • An ALD process for ruthenium deposition is also feasible.
  • FIG. 1 in a first embodiment of the present invention, a portion of a dielectric substrate 10 is depicted having interlayer dielectric portions 12 , 14 , 16 , and 18 , forming a feature 8 , and a copper portion 20 .
  • Substrate 10 is degassed and pre-cleaned as described above.
  • FIG. 2 depicts substrate 10 with an adhesion layer 22 deposited thereto and a ruthenium layer 24 deposited on top of the adhesion layer, including the sidewalls of interlayer dielectric portions 12 , 14 , and over the copper portion 20 .
  • the adhesion layer and subsequent diffusion barrier layer may be deposited under normal chemical vapor deposition conditions, or in a second embodiment by a plasma-assisted CVD process. In the second embodiment, wafer 10 is exposed to the plasma-assisted CVD process for the deposition of the adhesion layer 40 and prior to the deposition of a ruthenium layer 42 .
  • the preferred embodiment may also include a direct plating of copper (Cu) 30 on the layer of ruthenium 24 .
  • a deposition of thin copper seed 32 may be used followed by a second deposition of copper film 34 , as shown in FIG. 4 .
  • the copper film 34 may then be removed by planarization of the surface leaving the feature filled with copper.
  • the degassed and precleaned wafer 10 has adhesive layer 22 deposited, and is then bias-sputter etched to selectively remove portions 50 of the adhesion layer, as shown in FIG. 5 .
  • FIG. 6 depicts the bias-sputter treated wafer with a final ruthenium layer 24 deposited thereon.
  • the wafer may also be processed in a separate chamber for deposition of the diffusion barrier.
  • FIGS. 7–8 depict flow charts of the wafer processing sequence.
  • the wafer is degassed 50 and precleaned 52 before being provided to a reaction chamber 54 .
  • the wafer is then heated and exposed to a precursor 56 to form the desired adhesion layer of boron, carbon, silicon, titanium nitride, or tantalum nitride.
  • the deposition of a diffusion barrier occurs in the same chamber used for the deposition of the adhesion layer.
  • a conductive layer is deposited on the adhesion layer 60 .
  • FIG. 8 depicts the process flow of a second wafer processing sequence.
  • the wafer is degassed 70 and precleaned 72 .
  • the precleaning is performed with argon ions 74 or argon ions in combination with N 2 , O 2 , H 2 , and the like.
  • the wafer is then exposed in the preclean chamber to precursor gases to initiate the deposition of an adhesion layer 76 .
  • An optional step of bias sputter etching 78 may then be performed on the wafer at this time.
  • the wafer is then provided to a separate chamber for deposition of a diffusion barrier layer 80 .
  • a conductive layer 82 is subsequently added.

Abstract

A process for enhancing the adhesion of directly plateable materials to an underlying dielectric is demonstrated, so as to withstand damascene processing. Using diffusion barriers onto which copper can be deposited facilitates conventional electrolytic processing. An ultra-thin adhesion layer is applied to a degassed, pre-cleaned substrate. The degassed and pre-cleaned substrate is exposed to a precursor gas containing the adhesion layer, optionally deposited by a plasma-assisted CVD process, resulting in the deposition of an adhesion layer inside the exposed feature. The treated wafer is then coated with a diffusion barrier material, such as ruthenium, so that the adhesion layer reacts with incoming diffusion barrier atoms. The adhesion layer may be selectively bias-sputter etched prior to the deposition of the diffusion barrier layer. A copper layer is then deposited on the diffusion barrier layer.

Description

BACKGROUND OF THE INVENTION
1. Field of the Invention
The present invention relates to methods of processing a semiconductor wafer in a deposition chamber to provide copper vias and trenches, and more particularly, to a method of processing a semiconductor wafer in a deposition chamber including the deposition of an adhesion layer for a barrier material.
2. Description of Related Art
Integrated circuit (IC) manufacturers have traditionally used aluminum and aluminum alloys, among other metals, as the conductive metal for interconnects in integrated circuits. However, concerns exist as to the ability of aluminum-based interconnect metallization to meet the demands of future IC designs requiring high circuit density. In recent years, IC manufacturers have turned to copper to replace aluminum and aluminum alloys for advanced microelectronic applications. This is because copper has a higher conductivity that translates to significant improvement in the interconnect performance. In addition, copper-based interconnects offer better electromigration resistance than aluminum, thereby improving the interconnect reliability. However, the implementation of copper faces certain challenges. For example, the adhesion of copper (Cu) to silicon dioxide (SiO2) and to other dielectric materials is generally poor due to the low enthalpy of formation of the associated Cu compounds. Poor adhesion results in the delamination of Cu from adjoining films during the manufacturing process. Also, Cu ions readily diffuse into SiO2 under electrical bias, and increase the dielectric electrical leakage between Cu lines even at very low Cu concentrations within the dielectric. In addition, if copper diffuses into the underlying silicon where the active devices are located, device performance can be degraded. Copper behaves as a defect in silicon resulting in the reduction of minority carrier lifetime, and hence, results in device degradation. Furthermore, copper will also react with silicon at relatively low temperature to form copper silicide, which increases contact resistance.
The development of Damascene processing has enabled the implementation of copper into interconnect metallization. It is often a preferred method because it requires fewer processing steps than conventional methods and offers higher yields. Damascene processing involves formation of inlaid metal lines in trenches and vias formed in a dielectric layer. Conductive materials, such as copper, are deposited in different and non-contiguous planes. The pathways that join various layers of conductors are referred to as vias, whereas the conductors within a layer are referred to as trenches. Insulators between trenches are called inter-metal dielectric (IMD) and the insulating layers separating planes of conductive material are referred to as the interlevel dielectric (ILD).
The problem of the high diffusivity of copper in silicon dioxide (SiO2), and in other IMDs/ILDs, remains of great concern. To deal with this issue, an integrated circuit substrate must be coated with a suitable barrier layer that encapsulates copper and blocks diffusion of copper atoms. The diffusion barrier, comprising both conductive and non-conductive materials, is typically formed over a patterned dielectric layer and prior to deposition of copper. The time, materials, and process complexity required to form a separate diffusion barrier layer introduces a significant cost to the overall fabrication procedure. Also, the thickness of the barrier, if too great, can create problems with subsequent copper coatings and filling of ultra-fine features—e.g. a sub-100 nm diameter via. Typical barrier materials tend to be much less conductive than copper. Hence, if the barrier inside a sub-100 nm diameter via is too thick, it reduces the available volume of copper within the features leading to increased resistance of the via that could offset the advantage offered by the use of copper. For instance, the International Technology Roadmap for Semiconductors requires that at the 45 nm node the barrier for copper at the intermediate wiring level be limited to 5 nm.
A typical Damascene process flow begins with formation of pathways in a previously formed dielectric layer. Dielectric surfaces to which the invention is applicable preferably include at least one of silicon dioxide, silicon nitride, silicon oxynitride, fluorinated silica glass, CORAL™ from Novellus Systems, Inc., BLACK DIAMOND™ from Applied Materials, Inc., SiLK™ from Dow Corning, Inc., and NANOGLASS™ of Nanopore, Inc., and the like. These pathways may be etched as trenches and vias in a blanket layer of dielectric such as silicon dioxide. The pathways define conductive routes between various devices on a semiconductor wafer. Copper provides the conductive paths of the semiconductor wafer. The adjacent dielectric layer and silicon devices must be protected from copper ions that might otherwise diffuse into the dielectric layer and/or silicon. To accomplish this, the process optionally includes depositing a thin diffusion barrier layer before deposition of copper. Typical materials for the diffusion barrier layer include tantalum (Ta), tantalum nitride (TaNx), tungsten (W), titanium (Ti), titanium nitride (TiN), and the like. Conventional barrier layers are typically formed by a physical vapor deposition (PVD), chemical vapor deposition (CVD), or atomic layer deposition (ALD) process. Preferably, the diffusion barriers formed on integrated circuit substrates are made between about 1 and 30 nm thick.
Electrolytic deposition methods are used to fill the conductive pathways with copper. Before inlaying the line paths with electrolytic deposition of copper, a conductive surface coating must be applied on top of the barrier layer because conventional barrier materials exhibit high electrical resistivity and hence, cannot transport current during electrolytic copper plating. Typically, a copper seed layer is deposited on the barrier layer. Usually, a PVD process deposits this seed layer. Next, a much thicker layer of copper is deposited on the seed layer by electroplating. After deposition of the copper is completed, the copper is planarized, generally by chemical mechanical planarization (CMP) and/or electropolishing down to the dielectric in preparation for further processing. Finally, a dielectric barrier layer, such as silicon nitride, is applied over the surface thereby encapsulating the exposed copper and dielectric surfaces. In subsequent processing, a newly laid inter-level dielectric layer is etched to form another series of via and trench features wherein the vias connect to the underlying copper conductive routes. Once again, a diffusion barrier is deposited on the etched features in the dielectric and is followed by deposition of a copper seed, electroplating, CMP, and deposition of the dielectric barrier. This process is repeated forming layers of electrically connected, but encapsulated, copper conductive routes. Thus, in the final structure, there is a diffusion barrier between adjoining copper conductive routes.
Although diffusion barriers can be broadly categorized as conductive and non-conductive, the conventional materials (mentioned above) used for diffusion barriers are often ten times to one hundred times as electrically resistant as the copper routes that they encapsulate. The continuing trend towards smaller features size in ICs requires that the thickness of the diffusion barrier also be reduced in order to minimize the contribution of electrical resistance of conventional diffusion barriers. Thus, the replacement of conventional diffusion barriers with newer materials that have reduced electrical resistance is appealing. This is because it would further improve the conductivity in the lines and vias, thereby increasing the speed of signal propagation compared to interconnect structures using conventional barriers. Furthermore, electrolytic plating of copper directly onto conductive barrier materials precludes the use of a separate copper seed layer, thereby simplifying the overall process. Amongst various candidate materials that could serve as directly plateable diffusion barriers, the use of ruthenium (Ru) and its compounds, such as ruthenium oxide (RuOx), is shown herein to be beneficial. Ruthenium and its oxide are known to be good diffusion barriers to copper migration. In addition, electrochemical deposition of copper onto ruthenium is known to be feasible.
However, the use of ruthenium and its compounds as diffusion barriers presents a few challenges. One of the critical attributes of any diffusion barrier is its adhesion to the adjoining dielectric material. The adhesion of noble metals such as ruthenium to the dielectric materials (such as SiO2) is poor, leading to mechanical instabilities during further processing. It would be desirable to determine a process wherein the adhesion of the directly plateable barrier material to the underlying dielectric is improved greatly so as to withstand damascene processing.
Bearing in mind the problems and deficiencies of the prior art, it is therefore an object of the present invention to provide a method of adhering directly plateable barrier materials to an underlying dielectric capable of withstanding damascene processing.
It is another object of the present invention to provide a method of blocking the open pores in dielectric substrates prior to the deposition of the plateable barrier.
Still other objects and advantages of the invention will in part be obvious and will in part be apparent from the specification.
SUMMARY OF THE INVENTION
The above and other objects, which will be apparent to those skilled in art, are achieved in the present invention, which is directed to a method of forming a single layer to serve as a adhesion layer for a diffusion barrier layer in a partially fabricated integrated circuit, the method comprising of: providing a semiconductor wafer having a top surface and a dielectric material including exposed regions accessible from the top surface; degassing the wafer; pre-cleaning the wafer; depositing a first layer to the wafer that would act as an adhesive layer between the exposed regions of the wafer and a diffusion barrier layer; depositing the diffusion barrier layer on top of the first layer; and depositing a conductive material on top of the diffusion barrier layer. The dielectric material comprises at least one of silicon dioxide, silicon oxynitride, silicon nitride, fluorinated silica glass, NANOGLASS™, SiLK™, and carbon-doped oxides, of which the carbon-doped oxides include CORAL™, BLACK DIAMOND™, or AURORA™. Pre-cleaning the wafer includes subjecting the wafer to a bombardment of argon ions, or argon ions in combination with hydrogen, helium, oxygen, or nitrogen. The adhesive layer may comprise boron, amorphous silicon, carbon, titanium nitride, or tantalum nitride. The adhesive layer may be deposited at a thickness of less than 100 Å inside the features etched into the dielectric material. The wafer may be subject to a precursor containing the adhesive material for a period of 1 to 100 seconds at a pressure ranging from 0.1 to 100 Torr. The diffusion barrier may comprise ruthenium, cobalt, tungsten, molybdenum, or rhenium. The diffusion barrier is preferably less than 200 Å thick. The diffusion barrier layer includes using organo-metallic precursors for chemical vapor deposition and atomic layer deposition processes. The organo-metallic precursors for ruthenium may include bis(cyclopentadienyl)ruthenium, ruthenium acetylacetonate, tris(2,2,6,6-tetramethyl-3,5-heptanedionate), or ruthenium carbonyl. The substrate may be heated to a temperature of between about 100–400 degrees Celsius after the step of depositing the diffusion barrier layer, causing a reaction between the dielectric material, the adhesion layer, and the diffusion barrier. The conductive metal may be directly deposited on top of the diffusion barrier by electrochemical deposition methods, including electrolytic copper plating or electroless copper plating. A copper seed layer may be directly deposited on top of the diffusion barrier by physical vapor deposition, chemical vapor deposition, or electrochemical methods.
In a second aspect, the present invention is directed to a method of forming an adhesion layer to a dielectric of a semiconductor wafer, comprising: degassing the wafer; pre-cleaning the wafer; exposing the degassed, pre-cleaned wafer to a plasma that includes the adhesive-containing precursor; and depositing a layer of diffusion barrier on the wafer. The diffusion barrier may comprise ruthenium, cobalt, tungsten, molybdenum, or rhenium. Pre-cleaning the wafer includes subjecting the wafer to a bombardment of argon ions, or argon ions in combination with hydrogen, helium, oxygen, or nitrogen. Following the pre-clean step, the wafer is subject to a plasma enhanced chemical vapor deposition process to deposit the adhesive layer. The adhesive layer may comprise boron, amorphous silicon, carbon, titanium nitride or tantalum nitride. The adhesive layer may be deposited at a thickness of less than 100 Å inside the features etched into the dielectric material. The wafer may be subject to a precursor containing the adhesive material for a period of 1 to 100 seconds at a pressure ranging from 0.1 to 100 Torr. For instance, a degassed and pre-cleaned wafer may be exposed to a plasma of silane at 0.1 to 100 Torr pressure for 1 to 100 seconds leading to the formation of amorphous silicon on the exposed surfaces of the wafer. The wafer is held to a maximum temperature of approximately 300° C. during the silane exposure to minimize or preclude reaction between the deposited silicon adhesion layer and the underlying copper at the bottom of the vias. The wafer temperature during the step of depositing ruthenium may be at an elevated temperature of 400° C.
In a third aspect, the present invention is directed to a method of forming an adhesion layer and barrier layer to facilitate copper deposition on a dielectric of a semiconductor wafer, comprising: degassing the wafer; pre-cleaning the wafer; exposing the wafer to deposit an adhesive layer on the wafer; bias-sputter etching the wafer to selectively remove the adhesive layer; and depositing a layer of diffusion barrier on the wafer. The diffusion barrier may comprise ruthenium, cobalt, tungsten, molybdenum, or rhenium. Pre-cleaning the wafer includes subjecting the wafer to a bombardment of argon ions, or argon ions in combination with hydrogen, helium, oxygen, or nitrogen. The adhesive layer may include boron, amorphous silicon, or carbon. The precursors for the said adhesive layers may comprise of diborane gas, silane gas, or methane gas. The substrate may be exposed to such precursors for approximately 1 to 100 seconds at a pressure ranging from 0.1 to 100 Torr. The step of bias-sputter etching may comprise electrically biasing the wafer in the plasma to sputter the adhesive layer selectively from via bottoms, and exposing a clean copper surface prior to barrier deposition. The wafer temperature during the step of depositing ruthenium may be at an elevated temperature of 400° C.
In a fourth aspect, the present invention is directed to a method of forming an adhesion layer and barrier layer to facilitate copper deposition on a dielectric of a semiconductor wafer, comprising: degassing the wafer; pre-cleaning the wafer; exposing the wafer to deposit an adhesive layer on the wafer in the same chamber used for pre-cleaning; an optional step of bias-sputter etching the wafer to selectively remove the adhesive layer in the chamber used for pre-cleaning; and depositing a layer of diffusion barrier in a separate chamber on the wafer. The diffusion barrier may comprise ruthenium, cobalt, tungsten, molybdenum, or rhenium. Pre-cleaning the wafer includes subjecting the wafer to a bombardment of argon ions, or argon ions in combination with hydrogen, helium, oxygen, or nitrogen. The adhesive layer may include boron, amorphous silicon, carbon, titanium nitride, or tantalum nitride. The substrate may be exposed to such precursors for approximately 1 to 100 seconds at a pressure ranging from 0.1 to 100 Torr. An optional sputter etch in the pre-clean chamber may be included following the deposition of the adhesion layer to selectively remove the adhesion layer from the bottom of the vias alone. The wafer temperature during the step of depositing ruthenium may be at an elevated temperature of 400° C.
BRIEF DESCRIPTION OF THE DRAWINGS
The features of the invention believed to be novel and the elements characteristic of the invention are set forth with particularity in the appended claims. The figures are for illustration purposes only and are not drawn to scale. The invention itself, however, both as to organization and method of operation, may best be understood by reference to the detailed description which follows, taken in conjunction with the accompanying drawings in which:
FIG. 1 is a cross-sectional front elevation view of a degassed, pre-cleaned wafer.
FIG. 2 is a cross-sectional front elevation view of the wafer shown in FIG. 1 with an adhesion layer and a ruthenium layer deposited thereon.
FIG. 3 is a cross-sectional front elevation view of a degassed, pre-cleaned wafer with an adhesion layer, a ruthenium layer, and a direct plated copper film layer.
FIG. 4 is a cross-sectional front elevation view of the substrate shown in FIG. 3 having a copper seed layer applied prior to the copper film layer.
FIG. 5 is a cross-sectional front elevation view of the wafer shown in FIGS. 1–2 with the adhesion layer at the base of the feature removed by sputter etching.
FIG. 6 is a cross-sectional front elevation view of the wafer shown in FIG. 5 with a ruthenium layer applied thereto.
FIG. 7 depicts a flow chart of a first wafer processing sequence using one single chamber for deposition of adhesion layer and diffusion barrier.
FIG. 8 depicts a flow chart of a second wafer processing sequence using one single chamber for precleaning and deposition of adhesion layer, and a second chamber for deposition of diffusion barrier.
DESCRIPTION OF THE PREFERRED EMBODIMENT(S)
In describing the preferred embodiment of the present invention, reference will be made herein to FIGS. 1–8 of the drawings in which like numerals refer to like features of the invention.
In the context of integrated circuit fabrication processes, this invention is typically carried out on a dielectric substrate. The dielectric layer will already have surface features such as vias and channels etched into the dielectric. Also, the substrate will include areas of copper from the completed lower layers.
As discussed above, copper is desirable in IC fabrication for conductive pathways due to its excellent conductivity and reliability. Damascene processing is often a preferred method in copper-based interconnect metallization schemes because it requires fewer processing steps than conventional methods and offers higher yields. However, copper readily diffuses into surrounding dielectric materials and degrades their insulating electrical properties. Hence, diffusion barriers are needed to protect adjoining dielectric materials from copper migration. Before copper can be deposited, the dielectrics must first be protected with a diffusion barrier. Suitable materials for electrically conductive diffusion barriers include tantalum, tantalum nitride, tungsten, titanium, and titanium nitride, to name a few.
A challenge in the manufacture of copper-based interconnects is identifying a material(s) and/or processing that would not only serve as a diffusion barrier to copper migration into the adjoining dielectric layers, but also be amenable to direct copper plating on to itself. This would allow the use of a single layer in place of two materials that separately serve the roles of diffusion barrier and seed layer, thereby resulting in a simpler, cheaper, and more efficient structure.
One characteristic of the directly plateable materials, such as Ru, Co, Mo, and W to name a few, is that they are noble and do not readily react with dielectric materials such as SiO2, CORAL™, and other SiO2-based dielectrics. As a result, these candidate barrier materials do not adhere to adjoining dielectric layers. The adhesion problem worsens after a thick copper is electrolytically deposited, which typically leads to delamination of the metallic stack from the underlying dielectric during chemical-mechanical planarization. This invention describes a method to enable the adhesion of ruthenium, a candidate for directly plateable barrier/seed layer, to adjoining dielectric materials. However, the instant invention is not limited to ruthenium, and is also applicable to the use of other barrier/seed candidates that otherwise do not adhere to the typical ILD materials, such as cobalt, tungsten, molybdenum, or rhenium.
The introduction of an ultra-thin adhesion layer to improve the adhesion of the plateable barrier materials to the dielectric is proposed. Prior to the deposition of the adhesion layer and barrier, the incoming wafer is degassed at high temperatures and pre-cleaned. During the pre-clean step, the wafer is subject to bombardment from ions of argon, which may also be in combination with ions from other gases such as hydrogen, helium, oxygen, and nitrogen, among others. The degassed and pre-cleaned wafers are then exposed to a precursor gas for periods ranging from 1 to 100 seconds at pressures ranging from 0.1 to 100 Torr at temperatures ranging from 100 to 400 degrees Celsius. The exposure of the wafer to the precursor gas at high temperature results in thermal decomposition of the precursor leading to the formation of the adhesion layer. Such a process is commonly referred to as thermally-driven chemical vapor deposition (CVD). For instance, exposure of the degassed and pre-cleaned wafer to diborane gas under above-mentioned conditions of temperature, pressure, and duration would result in the formation of boron on the exposed features of the wafer. The boron-coated wafers are then coated with ruthenium so that the boron would react with the incoming ruthenium atoms resulting in the formation of ruthenium-boride (RuBx) that in turn provides for strong adhesion of the ruthenium to the dielectric. The wafer is maintained at an elevated temperature less than or equal to 400° C., and preferably between 100° C. and 400° C. during ruthenium deposition resulting in an in-situ reaction between ruthenium and the adhesion layer, thereby eliminating the need for a separate heat treatment. The in-situ reaction, which leads to the adhesion between ruthenium and the dielectric, results in a simpler and less expensive process. However, the reaction between ruthenium and the adhesion layer can be accomplished by annealing the wafer in a separate chamber. Besides boron, other adhesion layers deposited using thermally-driven CVD may include silicon, carbon, titanium nitride, or tantalum nitride. Current literature data of bond enthalpies of neutral heterodiatomic molecules in gas phase suggests that ruthenium bonds well with these species, like it bonds with silicon, as described by J. A. Kerr in CRC Handbook of Chemistry and Physics 1999–2000: A Ready-Reference Book of Chemical and Physical Data, CRC Handbook of Chemistry and Physics, D. R. Lide, (ed.), CRC Press, Boca Raton, Fla., USA, 81st edition, 2000. In certain cases, such as with boron or carbon, small concentrations of such species incorporated into copper may result in improved electromigration resistance of copper leading to improved reliability. It has been previously shown that small amounts of boron or carbon segregate along the grain boundaries in copper, which leads to a reduced diffusion of copper along the grain boundaries. This reduced diffusion increases the electromigration resistance of copper. Although not necessary, an optional copper seed layer may be deposited on top of the ruthenium prior to further processing.
In a second preferred embodiment, the degassed and pre-cleaned wafer is exposed to a plasma-assisted CVD process including a precursor gas that results in the deposition of an ultra-thin adhesion layer on the wafer. The adhesion layers deposited using plasma-enhanced CVD may include silicon, boron, carbon, titanium nitride, or tantalum nitride. For instance, exposure of the wafer to a plasma of silane gas would lead to the formation of amorphous silicon (a-Si) film on the wafer. The wafer is subjected to a silane pressure ranging from 0.1 to 100 Torr for periods ranging from 1 to 100 seconds in the plasma. A direct or indirect plasma source may be used to generate the plasma. Furthermore, the wafer may be maintained at an elevated temperature. This plasma-enhanced chemical vapor deposition (PECVD) of silane results in the deposition of a-Si on any exposed feature. Amorphous silicon is deposited on top of the exposed copper inside the vias as it coats the sidewalls of the vias and trenches cut in the dielectric. The deposition of a-Si on top of copper is not desirable because it could result in large increases in contact resistance due to formation of copper-silicides during subsequent high-temperature processing steps. Hence, the temperature of the wafer during exposure to silane is limited to a maximum of approximately 300° C. Following this step, a diffusion barrier layer is deposited, along with a subsequent coating of a conductive material layer, such as copper.
In a third preferred embodiment, the deposition of the adhesion layer is followed by an etch step wherein the wafer is electrically biased in a plasma of argon (Ar) ions in order to create a directional beam of Ar ions. The directional argon ions bombard portions of the wafer that are perpendicular to the ion beam resulting in sputtering of the adhesion layer from the top of the exposed copper at the bottom of the vias onto the lower sidewalls of the vias. Not only does the sputter etch remove the adhesion layer from the top of the copper, it results in re-deposition of the adhesion layer onto the bottom sidewall of the dielectric where the coverage of the adhesion layer may be poor to begin with due to the limited conformance of the deposition processes, such as PECVD in high aspect ratio features. As a demonstrative example, a 10 to 20 Å PECVD a-Si film deposited on the field or top surface of the wafer results in a thinner layer coating of the sidewalls and the bottom of the features. This thinner layer is on the order of 5 to 10 Å. The silicon on the field and along the sidewalls reacts with ruthenium to provide the desired adhesion. Preferably, after the deposition of a-Si, the wafer is subjected to a biased sputter etch that re-deposits silicon from the bottom of the features onto the sidewalls prior to the ruthenium deposition, thereby leaving a clean interface between ruthenium and copper. It should be noted that the re-sputtering of a-Si should be optimized such that it leaves a clean copper surface inside the vias without fully removing silicon from the bottom of the trenches, where an adhesion layer is needed between the ruthenium and the underlying dielectric. In a similar fashion to the aforementioned embodiments, following this step, a diffusion barrier layer is deposited, and subsequently coated with a conductive material layer, such as copper.
In a fourth preferred embodiment of the present invention, the dielectric surface is pre-cleaned wherein the wafer is subject to bombardment from ions of argon, which may also be in combination with ions from other gases such as hydrogen, helium, oxygen, and nitrogen. The pre-cleaned wafer is then exposed to a precursor gas in the same chamber used for the pre-clean step to deposit the adhesion layer. The adhesion layer may include silicon, boron, carbon, titanium nitride, or tantalum nitride. This will substantially eliminate the need for the soak and etch steps in the process module for ruthenium deposition, thereby simplifying the ruthenium deposition process and hardware requirements. For instance, the pre-clean step using Ar ions may be followed by exposing the wafer to diborane gas resulting in the deposition of an ultra-thin layer of boron inside the features. The exposure to the precursor gas leading to the formation of the adhesion layer may be followed by a sputter etch to remove the adhesion layer (such as boron) from the bottom of the vias to leave behind a clean copper surface prior to barrier deposition. This strategy is effective if there is exposed copper underneath, as is the case inside vias that would allow for electrolytic copper plating. A second etch must be carefully controlled to leave behind some thickness of the adhesion layer to be left inside the isolated trenches to act as the adhesion layer for subsequent ruthenium deposition. As with the previously described embodiments, a diffusion barrier layer is then deposited, and subsequently coated with a conductive material layer, such as copper.
Thin films of ruthenium may be deposited by a variety of methods including physical vapor deposition (PVD) if the technology is extended for future generations of IC processing. However, deposition of ruthenium using PVD is currently expensive on account of the high cost of manufacturing a sputter-target. Also, PVD processes are very complex to achieve the desired conformality inside the features. Hence, CVD of ruthenium is more attractive. Examples of suitable organo-metallic precursors for chemical vapor deposition of ruthenium include bis(cyclopentadienyl)ruthenium, tris(2,2,6,6-tetramethyl-3,5-heptanedionate) ruthenium, ruthenium acetylacetonate, and ruthenium carbonyl. In addition, CVD films offer much better conformality compared to PVD films. Furthermore, Ru may be deposited from supercritical fluids using the above-mentioned organo-metallic precursors. An ALD process for ruthenium deposition is also feasible.
Referring to FIG. 1, in a first embodiment of the present invention, a portion of a dielectric substrate 10 is depicted having interlayer dielectric portions 12, 14, 16, and 18, forming a feature 8, and a copper portion 20. Substrate 10 is degassed and pre-cleaned as described above. FIG. 2 depicts substrate 10 with an adhesion layer 22 deposited thereto and a ruthenium layer 24 deposited on top of the adhesion layer, including the sidewalls of interlayer dielectric portions 12, 14, and over the copper portion 20. The adhesion layer and subsequent diffusion barrier layer may be deposited under normal chemical vapor deposition conditions, or in a second embodiment by a plasma-assisted CVD process. In the second embodiment, wafer 10 is exposed to the plasma-assisted CVD process for the deposition of the adhesion layer 40 and prior to the deposition of a ruthenium layer 42.
Referring to FIG. 3, the preferred embodiment may also include a direct plating of copper (Cu) 30 on the layer of ruthenium 24. Alternatively, a deposition of thin copper seed 32 may be used followed by a second deposition of copper film 34, as shown in FIG. 4. The copper film 34 may then be removed by planarization of the surface leaving the feature filled with copper.
In a third embodiment, the degassed and precleaned wafer 10 has adhesive layer 22 deposited, and is then bias-sputter etched to selectively remove portions 50 of the adhesion layer, as shown in FIG. 5. FIG. 6 depicts the bias-sputter treated wafer with a final ruthenium layer 24 deposited thereon.
In a fourth embodiment, the wafer may also be processed in a separate chamber for deposition of the diffusion barrier. FIGS. 7–8 depict flow charts of the wafer processing sequence. In FIG. 7, the wafer is degassed 50 and precleaned 52 before being provided to a reaction chamber 54. The wafer is then heated and exposed to a precursor 56 to form the desired adhesion layer of boron, carbon, silicon, titanium nitride, or tantalum nitride. Importantly, the deposition of a diffusion barrier occurs in the same chamber used for the deposition of the adhesion layer. Last, a conductive layer is deposited on the adhesion layer 60.
FIG. 8 depicts the process flow of a second wafer processing sequence. As with the first processing sequence, the wafer is degassed 70 and precleaned 72. In this process sequence, the precleaning is performed with argon ions 74 or argon ions in combination with N2, O2, H2, and the like. The wafer is then exposed in the preclean chamber to precursor gases to initiate the deposition of an adhesion layer 76. An optional step of bias sputter etching 78 may then be performed on the wafer at this time. The wafer is then provided to a separate chamber for deposition of a diffusion barrier layer 80. A conductive layer 82 is subsequently added.
While the present invention has been particularly described, in conjunction with a specific preferred embodiment, it is evident that many alternatives, modifications and variations will be apparent to those skilled in the art in light of the foregoing description. It is therefore contemplated that the appended claims will embrace any such alternatives, modifications and variations as falling within the true scope and spirit of the present invention.

Claims (20)

1. A method of forming an adhesion layer to facilitate barrier layer and copper deposition on a dielectric of a semiconductor wafer, comprising:
degassing said wafer;
pre-cleaning said wafer;
depositing an adhesive layer on said wafer between exposed regions of said wafer and a diffusion barrier layer;
bias-sputter etching said wafer to selectively remove portions of said adhesion layer; and
depositing said diffusion barrier layer including ruthenium on said wafer.
2. The method of claim 1 wherein said step of bias-sputter etching comprises electrically biasing said wafer in an argon plasma, using an argon ion beam to sputter said adhesive layer on surfaces of said wafer that are perpendicular to said argon ion beam.
3. The method of claim 1 wherein said adhesive layer comprises boron, amorphous silicon, carbon, tantalum nitride, or titanium nitride.
4. The method of claim 3 further including a precursor of boron-, silicon-, carbon-, tantalum-, or titanium-containing compounds.
5. The method of claim 4 including exposing said precursor gas for approximately 1 to 100 seconds at a pressure ranging from approximately 0.1 to 100 Torr.
6. The method of claim 1 including maintaining said wafer at a temperature of about 100–400° C. during said deposition of said diffusion barrier layer.
7. The method of claim 1 wherein depositing said diffusion barrier layer includes cobalt, molybdenum, or rhenium.
8. The method of claim 1 further comprising applying a subsequent coating of a conductive material layer.
9. The method of claim 8 further comprising a copper seed layer directly deposited on top of said diffusion barrier layer by physical vapor deposition, chemical vapor deposition, or electrochemical methods.
10. The method of claim 9 including depositing said copper seed layer to a thickness less than 100 Å thick inside of said regions defined in said dielectric material.
11. The method of claim 9 further comprising depositing a copper layer on top of said copper seed layer by electrochemical methods.
12. The method of claim 1 further including depositing said adhesive layer at a thickness of less than 100 Å inside features etched into said dielectric material.
13. The method of claim 1 including having said diffusion barrier layer less than 200 Å thick.
14. The method of claim 1 wherein depositing said diffusion barrier layer includes organo-metallic precursors.
15. The method of claim 14 wherein said organo-metallic precursors include ruthenium based materials.
16. The method of claim 15 wherein said organo-metallic precursors comprise bis(cyclopentadienyl)ruthenium, ruthenium acetylacetonate, tris(2,2,6,6-tetramethyl-3,5-heptanedionate), or ruthenium carbonyl.
17. The method of claim 1 further comprising heating said wafer to a temperature of between about 100–400 degrees Celsius during the deposition of said diffusion barrier layer, causing a reaction between said exposed dielectric material, said adhesion layer, and said diffusion barrier layer.
18. The method of claim 1 further comprising heating said wafer to a temperature less than about 400 degrees Celsius in a separate chamber following the deposition of the diffusion barrier causing a reaction between exposed dielectric material, said adhesion layer, and said diffusion barrier layer.
19. A method of forming an adhesion layer to facilitate barrier layer and copper deposition on a dielectric of a semiconductor wafer, comprising:
degassing said wafer;
pre-cleaning said wafer;
depositing an adhesive layer on said wafer between exposed regions of said wafer and a diffusion barrier layer;
bias-sputter etching said wafer to selectively remove portions of said adhesion layer; and
depositing said diffusion barrier layer on said wafer includes depositing ruthenium based organo-metallic precursors.
20. A method of forming an adhesion layer to facilitate barrier layer and copper deposition on a dielectric of a semiconductor wafer, comprising:
degassing said wafer;
pre-cleaning said wafer;
depositing an adhesive layer on said wafer between exposed regions of said wafer and a diffusion barrier layer;
bias-sputter etching said wafer to selectively remove portions of said adhesion layer; and
depositing said diffusion barrier layer on said wafer includes depositing ruthenium based organo-metallic precursors, said organo-metallic precursors comprise bis(cyclopentadienyl)ruthenium, ruthenium acetylacetonate, tris(2,2,6,6-tetramethyl-3,5-heptanedionate), or ruthenium carbonyl.
US10/342,522 2003-01-15 2003-01-15 Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films Expired - Lifetime US6974768B1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US10/342,522 US6974768B1 (en) 2003-01-15 2003-01-15 Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films
US10/847,522 US7425506B1 (en) 2003-01-15 2004-05-17 Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films
US11/105,597 US7446032B2 (en) 2003-01-15 2005-04-15 Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/342,522 US6974768B1 (en) 2003-01-15 2003-01-15 Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US10/847,522 Continuation US7425506B1 (en) 2003-01-15 2004-05-17 Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films

Publications (1)

Publication Number Publication Date
US6974768B1 true US6974768B1 (en) 2005-12-13

Family

ID=35452517

Family Applications (3)

Application Number Title Priority Date Filing Date
US10/342,522 Expired - Lifetime US6974768B1 (en) 2003-01-15 2003-01-15 Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films
US10/847,522 Expired - Fee Related US7425506B1 (en) 2003-01-15 2004-05-17 Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films
US11/105,597 Expired - Fee Related US7446032B2 (en) 2003-01-15 2005-04-15 Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films

Family Applications After (2)

Application Number Title Priority Date Filing Date
US10/847,522 Expired - Fee Related US7425506B1 (en) 2003-01-15 2004-05-17 Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films
US11/105,597 Expired - Fee Related US7446032B2 (en) 2003-01-15 2005-04-15 Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films

Country Status (1)

Country Link
US (3) US6974768B1 (en)

Cited By (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050181598A1 (en) * 2003-01-15 2005-08-18 Kailasam Sridhar K. Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films
US20050227484A1 (en) * 2004-04-13 2005-10-13 Fei Company System for modifying small structures
US20050250321A1 (en) * 2004-05-06 2005-11-10 Eui-Seong Hwang Method for fabricating semiconductor device having diffusion barrier layer
US20060027925A1 (en) * 2004-08-04 2006-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Composite barrier layer
US20070054504A1 (en) * 2005-09-07 2007-03-08 Applied Materials, Inc. Post deposition plasma treatment to increase tensile stress of HDP-CVD SIO2
US20070072415A1 (en) * 2005-09-28 2007-03-29 Tokyo Electron Limited Method for integrating a ruthenium layer with bulk copper in copper metallization
US20070148958A1 (en) * 2004-04-19 2007-06-28 Clevenger Lawrence A Structure to improve adhesion between top CVD low-k dielectric and dielectric capping layer
US20070166995A1 (en) * 2006-01-17 2007-07-19 International Business Machines Corporation Method for direct electroplating of copper onto a non-copper plateable layer
US7319065B1 (en) * 2003-08-08 2008-01-15 Advanced Micro Devices, Inc. Semiconductor component and method of manufacture
US20080132057A1 (en) * 2006-11-30 2008-06-05 Frank Feustel Method of selectively forming a conductive barrier layer by ald
US20080299772A1 (en) * 2007-06-04 2008-12-04 Hyungsuk Alexander Yoon Methods of fabricating electronic devices using direct copper plating
US20080311711A1 (en) * 2007-06-13 2008-12-18 Roland Hampp Gapfill for metal contacts
US20080318418A1 (en) * 2007-06-21 2008-12-25 Air Products And Chemicals, Inc. Process for Forming Continuous Copper Thin Films Via Vapor Deposition
US20090102058A1 (en) * 2007-10-17 2009-04-23 Chao-Ching Hsieh Method for forming a plug structure and related plug structure thereof
US7648899B1 (en) * 2008-02-28 2010-01-19 Novellus Systems, Inc. Interfacial layers for electromigration resistance improvement in damascene interconnects
US20100032302A1 (en) * 2008-08-08 2010-02-11 Fei Company Method to direct pattern metals on a substrate
US20100051094A1 (en) * 2008-08-27 2010-03-04 Xiaobo Zhang Coplanar solar cell metal contact annealing in plasma enhanced chemical vapor deposition
US7704873B1 (en) 2004-11-03 2010-04-27 Novellus Systems, Inc. Protective self-aligned buffer layers for damascene interconnects
US7727881B1 (en) 2004-11-03 2010-06-01 Novellus Systems, Inc. Protective self-aligned buffer layers for damascene interconnects
US7727880B1 (en) 2004-11-03 2010-06-01 Novellus Systems, Inc. Protective self-aligned buffer layers for damascene interconnects
US20100178762A1 (en) * 2005-08-30 2010-07-15 Fujitsu Limited Manufacture method for semiconductor device suitable for forming wirings by damascene method and semiconductor device
US20100308463A1 (en) * 2009-06-03 2010-12-09 Jengyi Yu Interfacial capping layers for interconnects
US7858510B1 (en) 2008-02-28 2010-12-28 Novellus Systems, Inc. Interfacial layers for electromigration resistance improvement in damascene interconnects
US7867900B2 (en) 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US8030777B1 (en) 2004-11-03 2011-10-04 Novellus Systems, Inc. Protection of Cu damascene interconnects by formation of a self-aligned buffer layer
US8753978B2 (en) 2011-06-03 2014-06-17 Novellus Systems, Inc. Metal and silicon containing capping layers for interconnects
US9255339B2 (en) 2011-09-19 2016-02-09 Fei Company Localized, in-vacuum modification of small structures
US9633896B1 (en) 2015-10-09 2017-04-25 Lam Research Corporation Methods for formation of low-k aluminum-containing etch stop films

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7343246B2 (en) * 2000-11-02 2008-03-11 International Business Machines Corporation Spatial profiling of proteins using hydrophobic moments
KR100476556B1 (en) * 2002-04-11 2005-03-18 삼성전기주식회사 Piezoelectric transformer, housing for piezoelectric transformer and manufacture thereof
US7404985B2 (en) 2002-06-04 2008-07-29 Applied Materials, Inc. Noble metal layer formation for copper film deposition
US7229913B2 (en) * 2003-09-25 2007-06-12 Intel Corporation Stitched micro-via to enhance adhesion and mechanical strength
US7169698B2 (en) * 2004-01-14 2007-01-30 International Business Machines Corporation Sacrificial inorganic polymer intermetal dielectric damascene wire and via liner
US7605469B2 (en) * 2004-06-30 2009-10-20 Intel Corporation Atomic layer deposited tantalum containing adhesion layer
US7119018B2 (en) * 2004-07-09 2006-10-10 International Buisness Machines Corporation Copper conductor
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US20070054487A1 (en) * 2005-09-06 2007-03-08 Applied Materials, Inc. Atomic layer deposition processes for ruthenium materials
US20070077750A1 (en) * 2005-09-06 2007-04-05 Paul Ma Atomic layer deposition processes for ruthenium materials
KR100769127B1 (en) * 2005-12-29 2007-10-22 동부일렉트로닉스 주식회사 method for forming isolation film of semiconductor device
US7694413B2 (en) * 2006-06-30 2010-04-13 Intel Corporation Method of making a bottomless via
US20080124924A1 (en) * 2006-07-18 2008-05-29 Applied Materials, Inc. Scheme for copper filling in vias and trenches
US8026605B2 (en) * 2006-12-14 2011-09-27 Lam Research Corporation Interconnect structure and method of manufacturing a damascene structure
US7902073B2 (en) * 2006-12-14 2011-03-08 Lam Research Corporation Glue layer for hydrofluorocarbon etch
US8372739B2 (en) * 2007-03-26 2013-02-12 Tokyo Electron Limited Diffusion barrier for integrated circuits formed from a layer of reactive metal and method of fabrication
US20080237860A1 (en) * 2007-03-27 2008-10-02 Tokyo Electron Limited Interconnect structures containing a ruthenium barrier film and method of forming
JP2008244298A (en) * 2007-03-28 2008-10-09 Tokyo Electron Ltd Film forming method of metal film, forming method of multilayer wiring structure, manufacturing method of semiconductor device, and film forming apparatus
US7737028B2 (en) * 2007-09-28 2010-06-15 Applied Materials, Inc. Selective ruthenium deposition on copper materials
US20090269507A1 (en) * 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US8679970B2 (en) * 2008-05-21 2014-03-25 International Business Machines Corporation Structure and process for conductive contact integration
US7964497B2 (en) * 2008-06-27 2011-06-21 International Business Machines Corporation Structure to facilitate plating into high aspect ratio vias
US8058728B2 (en) * 2008-09-30 2011-11-15 Tokyo Electron Limited Diffusion barrier and adhesion layer for an interconnect structure
US7846841B2 (en) * 2008-09-30 2010-12-07 Tokyo Electron Limited Method for forming cobalt nitride cap layers
US7727883B2 (en) * 2008-09-30 2010-06-01 Tokyo Electron Limited Method of forming a diffusion barrier and adhesion layer for an interconnect structure
US7718527B2 (en) * 2008-10-01 2010-05-18 Tokyo Electron Limited Method for forming cobalt tungsten cap layers
JP5795266B2 (en) * 2009-02-18 2015-10-14 カウンシル オブ サイエンティフィック アンド インダストリアル リサーチ Method for depositing diamond-like carbon as a protective coating on the inner surface of a molded object
US8076241B2 (en) * 2009-09-30 2011-12-13 Tokyo Electron Limited Methods for multi-step copper plating on a continuous ruthenium film in recessed features
TWI514608B (en) * 2010-01-14 2015-12-21 Dow Global Technologies Llc Moisture resistant photovoltaic devices with exposed conductive grid
US9059349B2 (en) * 2010-02-09 2015-06-16 Dow Global Technologies Llc Moisture resistant photovoltaic devices with improved adhesion of barrier film
US8310328B2 (en) * 2010-10-07 2012-11-13 Touch Micro-System Technology Corp. Planar coil and method of making the same
US8399353B2 (en) * 2011-01-27 2013-03-19 Tokyo Electron Limited Methods of forming copper wiring and copper film, and film forming system
US8859422B2 (en) 2011-01-27 2014-10-14 Tokyo Electron Limited Method of forming copper wiring and method and system for forming copper film
US8461043B2 (en) * 2011-04-11 2013-06-11 Micron Technology, Inc. Barrier layer for integrated circuit contacts
US8895441B2 (en) * 2012-02-24 2014-11-25 Lam Research Corporation Methods and materials for anchoring gapfill metals
US9349392B1 (en) 2012-05-24 2016-05-24 Western Digital (Fremont), Llc Methods for improving adhesion on dielectric substrates
US8711518B1 (en) 2012-09-27 2014-04-29 Western Digital (Fremont), Llc System and method for deposition in high aspect ratio magnetic writer heads
US20140272187A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Adhesion improvement between cvd dielectric film and cu substrate
US9735231B2 (en) * 2014-03-31 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Block layer in the metal gate of MOS devices
CN103972162B (en) * 2014-05-13 2016-09-07 四川大学 One is used in conjunction molybdenum carbide doping ruthenium-base alloy diffusion impervious layer preparation technology mutually without copper seed crystal
CN104022075B (en) * 2014-06-10 2016-09-07 四川大学 A kind of controlled self-forming Cu3ge/TiN bilayer diffusion barrier preparation method
RU2567118C1 (en) * 2014-07-10 2015-11-10 Федеральное государственное бюджетное образовательное учреждение высшего профессионального образования Кабардино-Балкарский государственный университет им. Х.М. Бербекова Manufacturing method of semiconductor device
US10446496B2 (en) * 2016-02-17 2019-10-15 International Business Machines Corporation Self-forming barrier for cobalt interconnects
US10453744B2 (en) 2016-11-23 2019-10-22 Entegris, Inc. Low temperature molybdenum film deposition utilizing boron nucleation layers
WO2018169543A1 (en) * 2017-03-17 2018-09-20 Intel Corporation Dielectric film with pressure sensitive microcapsules of adhesion promoter
CN108962875B (en) * 2017-05-27 2021-01-29 中芯国际集成电路制造(上海)有限公司 Dielectric barrier layer and manufacturing method thereof, interconnection structure and manufacturing method thereof
WO2019204382A1 (en) * 2018-04-20 2019-10-24 Entegris, Inc. Low temperature molybdenum film depositon utilizing boron nucleation layers
JP2021050379A (en) * 2019-09-24 2021-04-01 東京エレクトロン株式会社 Manufacturing method of semiconductor device and manufacturing apparatus of semiconductor device
US20230377893A1 (en) * 2020-09-29 2023-11-23 Tokyo Electron Limited Method for manufacturing semiconductor device, and device for manufacturing semiconductor device
US11923244B2 (en) 2021-03-05 2024-03-05 Applied Materials, Inc. Subtractive metals and subtractive metal semiconductor structures

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6294458B1 (en) * 2000-01-31 2001-09-25 Motorola, Inc. Semiconductor device adhesive layer structure and process for forming structure
US6362099B1 (en) * 1999-03-09 2002-03-26 Applied Materials, Inc. Method for enhancing the adhesion of copper deposited by chemical vapor deposition
US6365502B1 (en) 1998-12-22 2002-04-02 Cvc Products, Inc. Microelectronic interconnect material with adhesion promotion layer and fabrication method
US6461909B1 (en) 2000-08-30 2002-10-08 Micron Technology, Inc. Process for fabricating RuSixOy-containing adhesion layers
US6498091B1 (en) * 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6251759B1 (en) * 1998-10-03 2001-06-26 Applied Materials, Inc. Method and apparatus for depositing material upon a semiconductor wafer using a transition chamber of a multiple chamber semiconductor wafer processing system
US20020009880A1 (en) * 1999-08-27 2002-01-24 Qing-Tang Jiang Metal barrier for copper interconnects that incorporates silicon in the metal barrier or at the copper/metal barrier interface
US6319766B1 (en) * 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US20030005801A1 (en) * 2000-12-15 2003-01-09 Hal Calcote Power tool stand accessory top with vertical adjustment and frictional engagement lock
US20020192948A1 (en) * 2001-06-15 2002-12-19 Applied Materials, Inc. Integrated barrier layer structure for copper contact level metallization
US6974768B1 (en) * 2003-01-15 2005-12-13 Novellus Systems, Inc. Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6365502B1 (en) 1998-12-22 2002-04-02 Cvc Products, Inc. Microelectronic interconnect material with adhesion promotion layer and fabrication method
US6362099B1 (en) * 1999-03-09 2002-03-26 Applied Materials, Inc. Method for enhancing the adhesion of copper deposited by chemical vapor deposition
US6294458B1 (en) * 2000-01-31 2001-09-25 Motorola, Inc. Semiconductor device adhesive layer structure and process for forming structure
US6461909B1 (en) 2000-08-30 2002-10-08 Micron Technology, Inc. Process for fabricating RuSixOy-containing adhesion layers
US6462367B2 (en) 2000-08-30 2002-10-08 Micron Technology, Inc. RuSixOy-containing adhesion layers
US6498091B1 (en) * 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer

Cited By (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050181598A1 (en) * 2003-01-15 2005-08-18 Kailasam Sridhar K. Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films
US7446032B2 (en) * 2003-01-15 2008-11-04 Novellus Systems, Inc. Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films
US7425506B1 (en) * 2003-01-15 2008-09-16 Novellus Systems Inc. Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films
US7319065B1 (en) * 2003-08-08 2008-01-15 Advanced Micro Devices, Inc. Semiconductor component and method of manufacture
US8163641B2 (en) 2004-04-13 2012-04-24 Fei Company System for modifying small structures
US20100151679A1 (en) * 2004-04-13 2010-06-17 Fei Company System for modifying small structures
US20050227484A1 (en) * 2004-04-13 2005-10-13 Fei Company System for modifying small structures
US7674706B2 (en) * 2004-04-13 2010-03-09 Fei Company System for modifying small structures using localized charge transfer mechanism to remove or deposit material
US20070148958A1 (en) * 2004-04-19 2007-06-28 Clevenger Lawrence A Structure to improve adhesion between top CVD low-k dielectric and dielectric capping layer
US7820559B2 (en) 2004-04-19 2010-10-26 International Business Machines Corporation Structure to improve adhesion between top CVD low-K dielectric and dielectric capping layer
US7402532B2 (en) * 2004-04-19 2008-07-22 International Business Machines Corporation Structure to improve adhesion between top CVD low-k dielectric and dielectric capping layer
US20050250321A1 (en) * 2004-05-06 2005-11-10 Eui-Seong Hwang Method for fabricating semiconductor device having diffusion barrier layer
US8034709B2 (en) 2004-08-04 2011-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming composite barrier layer
US20090047780A1 (en) * 2004-08-04 2009-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming composite barrier layer
US20060027925A1 (en) * 2004-08-04 2006-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Composite barrier layer
US7453149B2 (en) * 2004-08-04 2008-11-18 Taiwan Semiconductor Manufacturing Co., Ltd. Composite barrier layer
US7727880B1 (en) 2004-11-03 2010-06-01 Novellus Systems, Inc. Protective self-aligned buffer layers for damascene interconnects
US7704873B1 (en) 2004-11-03 2010-04-27 Novellus Systems, Inc. Protective self-aligned buffer layers for damascene interconnects
US7727881B1 (en) 2004-11-03 2010-06-01 Novellus Systems, Inc. Protective self-aligned buffer layers for damascene interconnects
US8021486B1 (en) 2004-11-03 2011-09-20 Novellus Systems, Inc. Protective self-aligned buffer layers for damascene interconnects
US8317923B1 (en) 2004-11-03 2012-11-27 Novellus Systems, Inc. Protective self-aligned buffer layers for damascene interconnects
US8030777B1 (en) 2004-11-03 2011-10-04 Novellus Systems, Inc. Protection of Cu damascene interconnects by formation of a self-aligned buffer layer
US8430992B1 (en) 2004-11-03 2013-04-30 Novellus Systems, Inc. Protective self-aligned buffer layers for damascene interconnects
US7846833B2 (en) * 2005-08-30 2010-12-07 Fujitsu Limited Manufacture method for semiconductor device suitable for forming wirings by damascene method and semiconductor device
US20100178762A1 (en) * 2005-08-30 2010-07-15 Fujitsu Limited Manufacture method for semiconductor device suitable for forming wirings by damascene method and semiconductor device
US20070054504A1 (en) * 2005-09-07 2007-03-08 Applied Materials, Inc. Post deposition plasma treatment to increase tensile stress of HDP-CVD SIO2
US7465680B2 (en) * 2005-09-07 2008-12-16 Applied Materials, Inc. Post deposition plasma treatment to increase tensile stress of HDP-CVD SIO2
US20090035918A1 (en) * 2005-09-07 2009-02-05 Applies Materials, Inc. Post deposition plasma treatment to increase tensile stress of hdp-cvd sio2
US7745351B2 (en) 2005-09-07 2010-06-29 Applied Materials, Inc. Post deposition plasma treatment to increase tensile stress of HDP-CVD SIO2
US7713876B2 (en) * 2005-09-28 2010-05-11 Tokyo Electron Limited Method for integrating a ruthenium layer with bulk copper in copper metallization
US20070072415A1 (en) * 2005-09-28 2007-03-29 Tokyo Electron Limited Method for integrating a ruthenium layer with bulk copper in copper metallization
US7405153B2 (en) * 2006-01-17 2008-07-29 International Business Machines Corporation Method for direct electroplating of copper onto a non-copper plateable layer
US20070166995A1 (en) * 2006-01-17 2007-07-19 International Business Machines Corporation Method for direct electroplating of copper onto a non-copper plateable layer
US20080132057A1 (en) * 2006-11-30 2008-06-05 Frank Feustel Method of selectively forming a conductive barrier layer by ald
US8173538B2 (en) * 2006-11-30 2012-05-08 Advanced Micro Devices, Inc. Method of selectively forming a conductive barrier layer by ALD
US8058164B2 (en) * 2007-06-04 2011-11-15 Lam Research Corporation Methods of fabricating electronic devices using direct copper plating
US20080299772A1 (en) * 2007-06-04 2008-12-04 Hyungsuk Alexander Yoon Methods of fabricating electronic devices using direct copper plating
US20080311711A1 (en) * 2007-06-13 2008-12-18 Roland Hampp Gapfill for metal contacts
US8283485B2 (en) 2007-06-21 2012-10-09 Air Products And Chemicals, Inc. Process for selectively depositing copper thin films on substrates with copper and ruthenium areas via vapor deposition
US20080318418A1 (en) * 2007-06-21 2008-12-25 Air Products And Chemicals, Inc. Process for Forming Continuous Copper Thin Films Via Vapor Deposition
US7867900B2 (en) 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US20110104895A1 (en) * 2007-10-17 2011-05-05 Chao-Ching Hsieh Method for forming a plug structure
US8431487B2 (en) 2007-10-17 2013-04-30 United Microelectronics Corp. Method for forming a plug structure
US20090102058A1 (en) * 2007-10-17 2009-04-23 Chao-Ching Hsieh Method for forming a plug structure and related plug structure thereof
US7858510B1 (en) 2008-02-28 2010-12-28 Novellus Systems, Inc. Interfacial layers for electromigration resistance improvement in damascene interconnects
US7648899B1 (en) * 2008-02-28 2010-01-19 Novellus Systems, Inc. Interfacial layers for electromigration resistance improvement in damascene interconnects
US7799671B1 (en) 2008-02-28 2010-09-21 Novellus Systems, Inc. Interfacial layers for electromigration resistance improvement in damascene interconnects
US20100032302A1 (en) * 2008-08-08 2010-02-11 Fei Company Method to direct pattern metals on a substrate
US8278220B2 (en) 2008-08-08 2012-10-02 Fei Company Method to direct pattern metals on a substrate
US8207049B1 (en) 2008-08-27 2012-06-26 The Boeing Company Coplanar solar cell metal contact annealing in plasma enhanced chemical vapor deposition
US8030206B2 (en) 2008-08-27 2011-10-04 The Boeing Company Coplanar solar cell metal contact annealing in plasma enhanced chemical vapor deposition
US20100051094A1 (en) * 2008-08-27 2010-03-04 Xiaobo Zhang Coplanar solar cell metal contact annealing in plasma enhanced chemical vapor deposition
US8268722B2 (en) 2009-06-03 2012-09-18 Novellus Systems, Inc. Interfacial capping layers for interconnects
US20100308463A1 (en) * 2009-06-03 2010-12-09 Jengyi Yu Interfacial capping layers for interconnects
US8753978B2 (en) 2011-06-03 2014-06-17 Novellus Systems, Inc. Metal and silicon containing capping layers for interconnects
US9255339B2 (en) 2011-09-19 2016-02-09 Fei Company Localized, in-vacuum modification of small structures
US9812286B2 (en) 2011-09-19 2017-11-07 Fei Company Localized, in-vacuum modification of small structures
US9633896B1 (en) 2015-10-09 2017-04-25 Lam Research Corporation Methods for formation of low-k aluminum-containing etch stop films

Also Published As

Publication number Publication date
US7425506B1 (en) 2008-09-16
US7446032B2 (en) 2008-11-04
US20050181598A1 (en) 2005-08-18

Similar Documents

Publication Publication Date Title
US6974768B1 (en) Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films
US20220336271A1 (en) Doped selective metal caps to improve copper electromigration with ruthenium liner
US6541374B1 (en) Method of depositing a diffusion barrier for copper interconnection applications
US6174811B1 (en) Integrated deposition process for copper metallization
US8026605B2 (en) Interconnect structure and method of manufacturing a damascene structure
US6464779B1 (en) Copper atomic layer chemical vapor desposition
US6949461B2 (en) Method for depositing a metal layer on a semiconductor interconnect structure
US6797608B1 (en) Method of forming multilayer diffusion barrier for copper interconnections
US6554914B1 (en) Passivation of copper in dual damascene metalization
US7524755B2 (en) Entire encapsulation of Cu interconnects using self-aligned CuSiN film
US7135403B2 (en) Method for forming metal interconnection line in semiconductor device
US20140322446A1 (en) Processes and systems for engineering a copper surface for selective metal deposition
US20070292603A1 (en) Processes and systems for engineering a barrier surface for copper deposition
US7799681B2 (en) Method for forming a ruthenium metal cap layer
KR20070045986A (en) Improving adhesion and minimizing oxidation on electroless co alloy films for integration with low k inter-metal dielectric and etch steo
US20050014360A1 (en) Method for fabricating copper interconnects
SG174752A1 (en) Processes and integrated systems for engineering a substrate surface for metal deposition
WO2008027216A9 (en) Processes and integrated systems for engineering a substrate surface for metal deposition
KR100407681B1 (en) Method of forming a metal line in a semiconductor device
KR100289515B1 (en) Barrier emtal layer and method of forming the same
JP3922947B2 (en) Method for achieving high adhesion of CVD copper thin films on TaN substrates
KR20020000461A (en) A method of forming a metal line in a semiconductor device
KR100622639B1 (en) Method of manufacturing a semiconductor device
JP2005064521A (en) Diffusion barrier for copper wiring in integrated circuit
US20230274932A1 (en) Selective inhibition for selective metal deposition

Legal Events

Date Code Title Description
AS Assignment

Owner name: NOVELLUS SYSTEMS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:KAILASAM, SRIDHAR K.;REEL/FRAME:013675/0769

Effective date: 20030107

STCF Information on status: patent grant

Free format text: PATENTED CASE

FEPP Fee payment procedure

Free format text: PAYER NUMBER DE-ASSIGNED (ORIGINAL EVENT CODE: RMPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12