US6878206B2 - Lid assembly for a processing system to facilitate sequential deposition techniques - Google Patents

Lid assembly for a processing system to facilitate sequential deposition techniques Download PDF

Info

Publication number
US6878206B2
US6878206B2 US10/016,300 US1630001A US6878206B2 US 6878206 B2 US6878206 B2 US 6878206B2 US 1630001 A US1630001 A US 1630001A US 6878206 B2 US6878206 B2 US 6878206B2
Authority
US
United States
Prior art keywords
lid
channel
gas manifold
lid assembly
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime, expires
Application number
US10/016,300
Other versions
US20030010451A1 (en
Inventor
Gwo-Chuan Tzu
Salvador P. Umotoy
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: TZU, GWO-CHUAN, UMOTOY, SALVADOR P.
Priority to US10/016,300 priority Critical patent/US6878206B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US10/302,773 priority patent/US20030198754A1/en
Publication of US20030010451A1 publication Critical patent/US20030010451A1/en
Priority to US10/993,924 priority patent/US7905959B2/en
Publication of US6878206B2 publication Critical patent/US6878206B2/en
Application granted granted Critical
Priority to US13/012,341 priority patent/US20110114020A1/en
Priority to US14/152,730 priority patent/US9587310B2/en
Priority to US15/452,293 priority patent/US10280509B2/en
Adjusted expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45538Plasma being used continuously during the ALD cycle
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Definitions

  • This invention relates to semiconductor processing. More particularly, this invention relates to a processing system and method of distributing fluid therein to facilitate sequential deposition of films on a substrate.
  • Each injection of a reactive precursor is separated by an inert fluid purge to provide a new atomic layer additive to previous deposited layers to form a uniform layer on the substrate.
  • the cycle is repeated to form the layer to a desired thickness.
  • the control over the relatively small volume of gas utilized in each pulse is problematic. Pulse frequency is limited by the response times of valves and flow lag within the chamber's gas delivery system. The lag is at least partially due to the relative remote position of control valves to the process chamber. Consequently, ALD techniques result in a deposition rate that is much lower than typical CVD techniques.
  • a lid assembly for a semiconductor system an exemplary embodiment of which includes a support having opposed first and second surfaces, with a valve coupled to the first surface.
  • a baffle plate is mounted to the second surface.
  • the valve is coupled to the support to direct a flow of fluid along a path in an original direction and at an injection velocity.
  • the baffle plate is disposed in the path to disperse the flow of fluid in a plane extending transversely to the original direction. The proximity of the valve to the baffle plate allows enhanced rate and control of fluid disposed through the lid assembly.
  • one embodiment of a lid assembly for a semiconductor processing system includes a lid having a gas manifold coupled to a first surface and a baffle plate coupled to a second surface.
  • the gas manifold includes a body having a first channel, a second channel and a third channel extending therethrough.
  • the baffle plate includes a recess formed in a first side of the baffle plate and defining a plenum with a second surface of the lid.
  • the plenum communicates with the first, second and third channels via a plurality of inlet channels disposed in the lid.
  • the baffle plate has a center passage disposed therethrough which provides a singular passageway between the plenum and the second side of the baffle plate.
  • any combination of the lid, gas manifold or baffle plate may additionally include features for controlling the heat transfer therebetween.
  • a baffle plate for distributing gases into a semiconductor processing chamber.
  • the baffle plate includes a plate having a first side and a second side.
  • a recess is formed in the first side and defines a plenum adapted to receive gases prior to entering the processing chamber.
  • a center passage is disposed through the plate concentrically and is concentric with the recess. The center passage provides a single passageway between the recess and the second side of the plate.
  • FIG. 1 is a simplified top perspective view of a plasma-based semiconductor processing system in accordance with one embodiment of the present invention
  • FIG. 3 is a sectional view of one embodiment of a lid assembly of the invention.
  • FIG. 4 is a sectional view of the embodiment of the lid assembly of FIG. 3 ;
  • FIG. 8 is a partial sectional view of one embodiment of a mixing lip.
  • FIG. 9 is a cross-sectional view of the processing chamber of FIG. 1 connected to various subsystems associated with system.
  • the lid assembly 20 further includes one or more, (two are shown in FIG. 1 ) gas reservoirs 33 , 35 which are fluidically connected between one or more process gas sources and the gas manifold 34 .
  • the gas reservoirs 33 , 35 provide bulk gas delivery proximate to each of the valves 32 a , 32 b , 32 c .
  • the reservoirs 33 , 35 are sized to insure that an adequate gas volume is available proximate to the valves 32 a , 32 b , 32 c during each cycle of the valves 32 a , 32 b and 32 c during processing to minimize time required for fluid delivery thereby shortening sequential deposition cycles.
  • the reservoirs 33 , 35 may be about 5 times the volume required in each gas delivery cycle.
  • the channel 73 additionally is coupled to the upper surface 63 .
  • the valve 65 is disposed between the upper surface 63 of the gas manifold 34 and a cleaning source 38 .
  • the cleaning source 38 is a compact system for providing cleaning reagents, typically in the form of fluorine or fluorine radicals, for removing contaminants and deposition byproducts from the chamber 16 .
  • the cleaning source 38 is a remote plasma source that typically includes subsystems (not shown) such as a microwave generator in electrical communication with a plasma applicator, an autotuner and an isolator.
  • the gas channel 73 through which the cleaning gases are delivered from the cleaning source 38 is additionally connected with the gas channel 71 b that delivers purge gas to the chamber 16 through the plenum 308 disposed in the baffle plate 36 .
  • any cleaning reagents remaining in the channel 73 between the gas channel 71 b and the chamber 16 may be flushed and exhausted from the chamber 16 prior to the next deposition process.
  • the gas manifold 34 further includes a conduit 75 for flowing a heat transfer medium therethrough, thus allowing temperature control of the gas manifold 34 .
  • the gas manifold 34 is typically cooled.
  • the gas manifold 34 may be heated to prevent condensation of the reactive gases within the manifold.
  • a lower surface 77 of the gas manifold 34 may be configured to tailor the surface area contact with a first surface 42 of the lid 20 a , thus controlling the thermal transfer between the housing 14 and manifold through the lid 20 a .
  • the housing 14 and manifold 34 may be configured to maximize the contact area.
  • each of the three gas channels 67 b , 69 b , 73 pass respectively through bosses 502 , 504 and 506 that project from the gas manifold 34 .
  • Each boss 502 , 504 and 506 has an o-ring chase 79 , 81 , 83 that respectively surrounds each gas channel 67 b , 69 b , 73 to prevent fluids passing therethrough from leaking between the gas manifold 34 and the lid 20 a .
  • temperature control of system 10 may be achieved by flowing a heat transfer medium through a temperature control channel 20 g disposed within the lid 20 a .
  • the temperature control channel 20 g is in fluid communication with heat transfer medium supply (not shown) that provides and/or regulates the temperature of the heat transfer medium flowing through the channel 20 g to control (i.e., heat, cool or maintain constant) the temperature of the lid 20 a.
  • FIGS. 6 and 7 depict one embodiment of the baffle plate 36 .
  • the baffle plate 36 is coupled to the lid 20 a opposite the gas manifold 34 .
  • the baffle plate 36 is generally comprised of a process compatible material such as aluminum and is utilized to mix and uniformly distribute gases entering the chamber 16 from the gas manifold 34 .
  • the baffle plate 36 may be removed from the lid 20 a for cleaning and/or replacement.
  • the baffle plate 36 and lid 20 a may be fabricated as a single member.
  • the recess 702 is configured to extend radially from a center line of the baffle plate 36 to a diameter that extends beyond the inlet passages 302 , 304 , 306 disposed in the lid 20 a so that gases flowing from the inlet passages enters the plenum 716 and exits through the passage 700 .
  • the mixing lip 704 may include a rounded tip 806 to assist in directing the flow through the passage 700 and into the chamber 16 with minimal pressure drop.
  • the mixing lip 704 includes a transition angle 808 between the tip 804 and the second side 36 b of the baffle plate 36 to enhance the radial flow and uniformity of fluids exiting the passage 700 and into the chamber 16 .
  • a ring 606 projects from the first side 36 a and circumscribes the recess 702 .
  • the ring 606 and bosses 602 project to a common elevation that allows the baffle plate 36 to be coupled to the lid 20 a in a spaced-apart relation.
  • the spaced-apart relation and the controlled contact area permit controlled thermal transfer between the baffle plate 36 and the lid 20 a .
  • the contact area provided by bosses 602 and the ring 606 may be designed to tailor the amount and location of the solid to solid contact area available for thermal transfer between the baffle plate 36 and the lid 20 a as a particular deposition process requires.
  • a heater/lift assembly 46 disposed within processing chamber 16 is a heater/lift assembly 46 that includes a wafer support pedestal 48 connected to a support shaft 48 a .
  • the support pedestal 48 is positioned between the shaft 48 a and the vacuum lid assembly 20 when the vacuum lid assembly 20 is in the closed position.
  • the support shaft 48 a extends from the wafer support pedestal 48 away from vacuum lid assembly 20 through a passage formed in the housing 14 .
  • a bellows 50 is attached to a portion of the housing 14 disposed opposite to the lid assembly 20 to prevent leakage into the chamber 16 from between the support shaft 48 a and housing 14 .
  • the heater/lift assembly 46 may be moved vertically within the chamber 16 so that a distance between support pedestal 48 and vacuum lid assembly 20 may be controlled.
  • a plurality of supplies 68 a , 68 b and 68 c of process and/or other fluids are in fluid communication with one of valves 32 a , 32 b or 32 c through a sequence of conduits (not shown) formed through the housing 14 , lid assembly 20 , and gas manifold 34 .
  • One cycle of the sequential deposition technique in accordance with the present invention includes flowing the purge fluid, Ar, into the processing chamber 16 during time t 1 , before B 2 H 6 is flowed into the processing chamber 16 .
  • the process fluid B 2 H 6 is flowed into the processing chamber 16 along with a carrier fluid, which in this example is Ar.
  • a carrier fluid which in this example is Ar.
  • the flow of Ar continues during time t 3 , purging the processing chamber 16 of B 2 H 6 .
  • time t 4 the processing chamber 16 is pumped so as to remove all process fluids.
  • the carrier fluid Ar is introduced during time t 5 , after which time the process fluid WF 6 is introduced into the processing chamber 16 , along with the carrier fluid Ar during time t 6 .
  • the flow of Ar continues during time t 7 .
  • the processing chamber 16 is pumped so as to remove all process fluids therein, during time t 8 , thereby concluding one cycle of the sequential deposition technique in accordance with the present invention. This sequence of cycles is repeated until the layer being formed thereby has desired characteristics, such as thickness, conductivity and the like. It can be seen that the time required during each period t 1 -t 7 greatly affects the throughput of system 10 .

Abstract

A lid assembly for a semiconductor processing system is provided. The lid assembly generally includes a lid having a gas manifold mounted on a first side and a baffle plate mounted on a second side. The gas manifold is configured to deliver a plurality of gases to a plenum defined between the baffle plate and the lid. The gases are mixed within a recess formed in the baffle plate before exiting into the processing system through a singular passage.

Description

RELATED APPLICATIONS
This application claims benefit of U.S. Provisional Application No. 60/305,970, filed Jul. 16, 2001, which is incorporated herein by reference in its entirety.
Additionally, this application is related to U.S. patent application Ser. No. 09/798,251, entitled “Lid Assembly for a Processing System to Facilitate Sequential Deposition Techniques” filed on Mar. 2, 2001, U.S. patent application Ser. No. 09/798,258, entitled “Processing Chamber and Method of Distributing Process Fluids Therein to Facilitate Sequential Deposition of Films” filed on Mar. 2, 2001, U.S. patent application Ser. No. 09/605,596, entitled “Bifurcated Deposition Process For Depositing Refractory Metal Layer Employing Atomic Layer Deposition And Chemical Vapor Deposition” filed on Jun. 28, 2000, and U.S. patent application Ser. No. 09/678,266, entitled “Methods and Apparatus For Depositing Refractory Metal Layers Employing Sequential Deposition Techniques To Form Nucleation Layers” filed on Oct. 3, 2000, all of which are incorporated herein by reference in their entireties.
BACKGROUND OF THE INVENTION
1. Field of the Invention
This invention relates to semiconductor processing. More particularly, this invention relates to a processing system and method of distributing fluid therein to facilitate sequential deposition of films on a substrate.
2. Description of the Related Art
The semiconductor processing industry continues to strive for larger production yields while increasing the uniformity of layers deposited on substrates having increasingly larger surface areas. These same factors in combination with new materials also provide higher integration of circuits per unit area of the substrate. As circuit integration increases, the need for greater uniformity and process control regarding layer thickness rises. As a result, various technologies have been developed to deposit layers on substrates in a cost-effective manner, while maintaining control over the characteristics of the layer. Chemical Vapor Deposition (CVD) is a common deposition process employed for depositing layers on a substrate. CVD is a flux-dependent deposition technique that requires precise control of the substrate temperature and precursors introduced into the processing chamber in order to produce a desired layer of uniform thickness. These requirements become more critical as substrate size increases, creating a need for more complexity in chamber design and fluid flow technique to maintain adequate uniformity.
A variant of CVD that demonstrates superior step coverage is a sequential deposition technique known as Atomic Layer Deposition (ALD). ALD has steps of chemisorption that deposit monolayers of reactive precursor molecules on a substrate surface. To that end, a pulse of a first reactive precursor is introduced into a processing chamber to deposit a first monolayer of molecules on a substrate disposed in the processing chamber. A pulse of a second reactive precursor is introduced into the processing chamber to form an additional monolayer of molecules adjacent to the first monolayer of molecules. In this manner, a layer is formed on a substrate by alternatingly pulsing an appropriate reactive precursor into a deposition chamber. Each injection of a reactive precursor is separated by an inert fluid purge to provide a new atomic layer additive to previous deposited layers to form a uniform layer on the substrate. The cycle is repeated to form the layer to a desired thickness. The control over the relatively small volume of gas utilized in each pulse is problematic. Pulse frequency is limited by the response times of valves and flow lag within the chamber's gas delivery system. The lag is at least partially due to the relative remote position of control valves to the process chamber. Consequently, ALD techniques result in a deposition rate that is much lower than typical CVD techniques.
A need exists, therefore, to reduce the time required to deposit films employing sequential deposition techniques.
SUMMARY OF THE INVENTION
Provided is a lid assembly for a semiconductor system, an exemplary embodiment of which includes a support having opposed first and second surfaces, with a valve coupled to the first surface. A baffle plate is mounted to the second surface. The valve is coupled to the support to direct a flow of fluid along a path in an original direction and at an injection velocity. The baffle plate is disposed in the path to disperse the flow of fluid in a plane extending transversely to the original direction. The proximity of the valve to the baffle plate allows enhanced rate and control of fluid disposed through the lid assembly.
In one aspect of the invention, one embodiment of a lid assembly for a semiconductor processing system includes a lid having a gas manifold coupled to a first surface and a baffle plate coupled to a second surface. The gas manifold includes a body having a first channel, a second channel and a third channel extending therethrough. The baffle plate includes a recess formed in a first side of the baffle plate and defining a plenum with a second surface of the lid. The plenum communicates with the first, second and third channels via a plurality of inlet channels disposed in the lid. The baffle plate has a center passage disposed therethrough which provides a singular passageway between the plenum and the second side of the baffle plate. Optionally, any combination of the lid, gas manifold or baffle plate may additionally include features for controlling the heat transfer therebetween.
In another aspect of the invention, a baffle plate for distributing gases into a semiconductor processing chamber is provided. In one embodiment, the baffle plate includes a plate having a first side and a second side. A recess is formed in the first side and defines a plenum adapted to receive gases prior to entering the processing chamber. A center passage is disposed through the plate concentrically and is concentric with the recess. The center passage provides a single passageway between the recess and the second side of the plate.
BRIEF DESCRIPTION OF THE DRAWINGS
A more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
FIG. 1 is a simplified top perspective view of a plasma-based semiconductor processing system in accordance with one embodiment of the present invention;
FIG. 2 is a top perspective view of one embodiment of a lid assembly of the invention;
FIG. 3 is a sectional view of one embodiment of a lid assembly of the invention;
FIG. 4 is a sectional view of the embodiment of the lid assembly of FIG. 3; and
FIG. 5A depicts a bottom view of one embodiment of a gas manifold;
FIG. 5B depicts a partial sectional view of the gas manifold taken along section line 5B—5B of FIG. 5A;
FIG. 6 is a perspective view of one embodiment of a baffle plate;
FIG. 7 is a sectional view of the baffle plate taken along section line 77 of FIG. 6;
FIG. 8 is a partial sectional view of one embodiment of a mixing lip; and
FIG. 9 is a cross-sectional view of the processing chamber of FIG. 1 connected to various subsystems associated with system.
To facilitate understanding, identical reference numerals have been used, wherever possible, to designate identical elements that are common to the figures.
DETAILED DESCRIPTION OF THE INVENTION
Referring to FIG. 1, a semiconductor processing system 10 in accordance with one embodiment of the present invention includes an enclosure assembly 12 formed from a process-compatible material, such as aluminum or anodized aluminum. The enclosure assembly 12 includes a housing 14, defining a processing chamber 16 with an opening 44 selectively covered and a vacuum lid assembly 20. The vacuum lid assembly 20 is pivotally coupled to the housing 14 via hinges 22. A handle 24 is attached to the vacuum lid assembly 20 opposite the hinges 22. The handle 24 facilitates moving the vacuum lid assembly 20 between opened and closed positions. In the opened position, the interior of the chamber 16 is exposed. In the closed position shown in FIG. 1, the vacuum lid assembly 20 covers the chamber 16 forming a fluid-tight seal with the housing 14. In this manner, a vacuum formed in the processing chamber 16 is maintained as the vacuum lid assembly 20 seals against the housing 14.
To facilitate access to processing chamber 16 depicted in FIG. 1, without compromising the fluid-tight seal between vacuum lid assembly 20 and housing 14, a slit valve opening 44 is disposed in housing 14, as well as a vacuum lock door (not shown). Slit valve opening 44 allows transfer of a wafer (not shown) between processing chamber 16 and the exterior of system 10. Any conventional wafer transfer device (not shown) may achieve the aforementioned transfer. An example of a conventional wafer transfer device is described in commonly assigned U.S. Pat. No. 4,951,601, issued Aug. 20, 1990 to Maydan, the complete disclosure of which is incorporated herein by reference.
FIG. 2 is a top perspective view of one embodiment of a vacuum lid assembly 20. The vacuum lid assembly 20 includes a lid 20 a and a process fluid injection assembly 30 to deliver reactive, canier, purge, cleaning and/or other fluids into the processing chamber 16. Lid 20 a includes opposing surfaces 21 a and 21 b. The fluid injection assembly 30 includes a gas manifold 34 mounting a plurality of control valves, 32 a, 32 b and 32 c, and a baffle plate 36 (shown in FIG. 3). Valves 32 a, 32 b and 32 c provide rapid and precise gas flow with valve open and close cycles of less than about one second, and in one embodiment, of less than about 0.1 second. In one embodiment, the valves 32 a, 32 b and 32 c are surface mounted, electronically controlled valves. One valve that may be utilized is available from Fujikin of Japan as part number FR-21-6.35 UGF-APD. Other valves that operate at substantially the same speed and precision may also be used.
The lid assembly 20 further includes one or more, (two are shown in FIG. 1) gas reservoirs 33, 35 which are fluidically connected between one or more process gas sources and the gas manifold 34. The gas reservoirs 33, 35 provide bulk gas delivery proximate to each of the valves 32 a, 32 b, 32 c. The reservoirs 33, 35 are sized to insure that an adequate gas volume is available proximate to the valves 32 a, 32 b, 32 c during each cycle of the valves 32 a, 32 b and 32 c during processing to minimize time required for fluid delivery thereby shortening sequential deposition cycles. For example, the reservoirs 33, 35 may be about 5 times the volume required in each gas delivery cycle.
Gas lines 37, 39 extend between connectors 41, 43 and the reservoirs 33, 35 respectively. The connectors 41, 43 are coupled to the lid 20 a. The process gases are typically delivered through the housing 14 to the connectors 41, 43 before flowing into the reservoirs 33, 35 through the gas lines 37, 39.
Additional connectors 45, 47 are mounted adjacent the gas manifold 34 down stream from the reservoirs 33, 35 and connect to the reservoirs by gas lines 49, 51. The connectors 45, 47 and gas lines 49, 51 generally provide a flowpath for process gases from the reservoir 33, 35 to the gas manifold 34. A purge gas line 53 is similarly connected between a connector 55 and a connection 57 on the gas manifold 34. In one embodiment, a tungsten source gas, such as tungsten hexafluoride, is connected to the first reservoir 33 and a reducing gas such as silane or diborane is connected to the second reservoir 35.
FIGS. 3 and 4 are partial sectional views of the vacuum lid assembly 20. The gas manifold 34 includes a body defining three valve mounting surfaces 59, 61, 64 (mounting surface 64 is shown in FIG. 4) and an upper surface 63 for mounting an upper valve 65. The gas manifold 34 includes three pairs of gas channels 67 a, 67 b, 69 a, 69 b, 71 a, 71 b (71 a and 71 b are shown on FIG. 4) that fluidly couple the two process gases and a purge gas (shown as fluid sources 68 a-c in FIG. 9) to the interior of the processing chamber 16 controllably through the valves 32 a, 32 b, 32 c, thereby allowing thermal conditioning of the gases by the gas manifold 34 before reaching the valves 32 a, 32 b, 32 c. Gas channels 67 a, 69 a, 71 a (also termed thermal conditioning channels) are fluidly coupled to the connectors 45, 47, 57 and provide passage of gases through the gas manifold 34 to the valves 32 a, 32 b, 32 c. Gas channels 67 b, 69 b and 71 b deliver gases from the valves 32 a, 32 b, 32 c through the gas manifold 34. The gas channel 71 b delivers gas from the valve 32 c through the gas manifold 34 and into a gas channel 73 passing through a member 26. The channels 67 b, 69 b and 73 are fluidly coupled to a respective inlet passage 302, 304 and 306 disposed through the lid 20 a. Gases or other fluids flowing through the inlet passages 302, 304 and 306 flow into a plenum or region 308 defined between the lid 20 a and baffle plate 36 before entering the chamber 16.
The channel 73 additionally is coupled to the upper surface 63. The valve 65 is disposed between the upper surface 63 of the gas manifold 34 and a cleaning source 38. The cleaning source 38 is a compact system for providing cleaning reagents, typically in the form of fluorine or fluorine radicals, for removing contaminants and deposition byproducts from the chamber 16. In one embodiment, the cleaning source 38 is a remote plasma source that typically includes subsystems (not shown) such as a microwave generator in electrical communication with a plasma applicator, an autotuner and an isolator. The gas channel 73 through which the cleaning gases are delivered from the cleaning source 38 is additionally connected with the gas channel 71 b that delivers purge gas to the chamber 16 through the plenum 308 disposed in the baffle plate 36. In this manner, as purge gas is delivered to the chamber 16, any cleaning reagents remaining in the channel 73 between the gas channel 71 b and the chamber 16 may be flushed and exhausted from the chamber 16 prior to the next deposition process.
The gas manifold 34 further includes a conduit 75 for flowing a heat transfer medium therethrough, thus allowing temperature control of the gas manifold 34. In tungsten deposition processes, for example, the gas manifold 34 is typically cooled. For other processes, such as titanium nitride deposition, the gas manifold 34 may be heated to prevent condensation of the reactive gases within the manifold. To further assist in temperature control of the gas manifold 34, a lower surface 77 of the gas manifold 34 may be configured to tailor the surface area contact with a first surface 42 of the lid 20 a, thus controlling the thermal transfer between the housing 14 and manifold through the lid 20 a. Alternatively, the housing 14 and manifold 34 may be configured to maximize the contact area.
Optionally, a plurality of recesses 28 may be formed in a second surface 44 of the lid 20 a that contacts the baffle plate 36. The recesses 28 allow the contact area between the baffle plate 36 and lid 20 a to be tailored to promote a desired rate of heat transfer. The baffle plate 36 may alternately be configured to control the contact area with the lid 20 a as described with reference to FIGS. 6 and 7 below.
Referring to FIGS. 5A and 5B, the lower surface 77 of the gas manifold 34 is illustrated configured to minimize surface area contact with the lid 20 a. Each of the three gas channels 67 b, 69 b, 73 pass respectively through bosses 502, 504 and 506 that project from the gas manifold 34. Each boss 502, 504 and 506 has an o- ring chase 79, 81, 83 that respectively surrounds each gas channel 67 b, 69 b, 73 to prevent fluids passing therethrough from leaking between the gas manifold 34 and the lid 20 a. A mounting surface 508 surrounds the bosses 502, 504 and 506 and includes a plurality of mounting holes 510 which facilitate coupling the gas manifold 34 to the cover 20 a. In one embodiment, the gas manifold 34 is fastened by screws threading into blind holes formed in the lid 20 a (screws and blind holes not shown). As the bosses 502, 504 and 506 and mounting surface 508 provide a controlled contact area between the gas manifold 34 and the cover 20 a, the thermal transfer therebetween can be minimized. The contact area between the gas manifold 34 and the cover 20 a may utilize other geometries to tailor the heat transfer therebetween. For example, the lower surface 77 of the gas manifold 34 can be planar to provide maximum contact area with the lid 20 a and thus maximize heat transfer between the lid 20 a and the gas manifold 34.
Returning to FIG. 4, temperature control of system 10 may be achieved by flowing a heat transfer medium through a temperature control channel 20 gdisposed within the lid 20 a. The temperature control channel 20 g is in fluid communication with heat transfer medium supply (not shown) that provides and/or regulates the temperature of the heat transfer medium flowing through the channel 20 g to control (i.e., heat, cool or maintain constant) the temperature of the lid 20 a.
FIGS. 6 and 7 depict one embodiment of the baffle plate 36. The baffle plate 36 is coupled to the lid 20 a opposite the gas manifold 34. The baffle plate 36 is generally comprised of a process compatible material such as aluminum and is utilized to mix and uniformly distribute gases entering the chamber 16 from the gas manifold 34. The baffle plate 36 may be removed from the lid 20 a for cleaning and/or replacement. Alternatively, the baffle plate 36 and lid 20 a may be fabricated as a single member.
The baffle plate 36 is generally annular and includes a first side 36 a disposed proximate the lid 20 a and a second side 36 b generally exposed to interior of the processing chamber 16. The baffle plate 36 has a passage 700 disposed between the first side 36 a and the second side 36 b. A recess 702, typically concentric with the passage 700, extends into the first side 36 a. The recess 702 and lid 20 a define a plenum 716 therebetween. The recess 702, typically circular in form, is configured to extend radially from a center line of the baffle plate 36 to a diameter that extends beyond the inlet passages 302, 304, 306 disposed in the lid 20 a so that gases flowing from the inlet passages enters the plenum 716 and exits through the passage 700.
A bottom 712 of the recess 702 defines a mixing lip 704 that extends radially inward into the passage 700. The transition from a wall 714 of the recess 702 to the bottom 712 includes a radius 710 to assist in directing fluid flow within the recess 702 while maximizing the swept volume of the recess 702. Gases flowing into the plenum 716 from the inlet passages 302, 304, 306 are re-directed by the flat surface of the mixing lip 704 generally towards the center of the recess 702 before passing through the passage 700 and into the process chamber 16. The recess 702 combined with a singular exit passage for delivering gases to the chamber 16 (e.g., the passage 700) advantageously reduces the surface area and orifices requiring purging and cleaning over conventional showerheads having multiple orifices for gas delivery.
FIG. 8 depicts a partial sectional view of one embodiment of the mixing lip 704. The mixing lip 704 may include an optional sculptured surface 802 that directs the gas flows towards one another or induces turbulence to enhance mixing and/or cleaning. The sculptured surface 802 may includes any one or combination of turbulence-inducing features such as one or more bumps, grooves, projections, indentations, embossed patterns and the like. Alternatively, bottom 712 of the recess 702 defining the mixing lip 704 may be smooth. In one embodiment, the mixing lip 704 directs gases moving substantially axially from the lid 20 a transversely towards the center of the passage 700 in either a turbulent flow as depicted by flow lines 804, laminar flow or combination thereof, where the converging gas flows mix before exiting the passage 700.
The mixing lip 704 may include a rounded tip 806 to assist in directing the flow through the passage 700 and into the chamber 16 with minimal pressure drop. In one embodiment, the mixing lip 704 includes a transition angle 808 between the tip 804 and the second side 36 b of the baffle plate 36 to enhance the radial flow and uniformity of fluids exiting the passage 700 and into the chamber 16.
Returning to FIGS. 6 and 7, the first side 36 a of the baffle plate 36 may additionally include features for reducing the contact area between the baffle plate 36 and the lid 20 a. Providing reduced contact area allows the baffle plate 36 to be operated at a higher temperature than the lid 20 a, which in some processes enhances deposition performance. In the embodiment depicted in FIG. 7, the first side 36 a of the baffle plate 36 includes a plurality of bosses 602, each having a mounting hole 604 passing therethrough. The bosses 602 allow the baffle plate 36 to be coupled to the lid 20 a by fasteners passing through the mounting holes 604 into blind threaded holes formed in the lid 20 a (fasteners and threaded holes not shown). Additionally, a ring 606 projects from the first side 36 a and circumscribes the recess 702. The ring 606 and bosses 602 project to a common elevation that allows the baffle plate 36 to be coupled to the lid 20 a in a spaced-apart relation. The spaced-apart relation and the controlled contact area permit controlled thermal transfer between the baffle plate 36 and the lid 20 a. Accordingly, the contact area provided by bosses 602 and the ring 606 may be designed to tailor the amount and location of the solid to solid contact area available for thermal transfer between the baffle plate 36 and the lid 20 a as a particular deposition process requires.
Referring to FIG. 9, disposed within processing chamber 16 is a heater/lift assembly 46 that includes a wafer support pedestal 48 connected to a support shaft 48 a. The support pedestal 48 is positioned between the shaft 48 a and the vacuum lid assembly 20 when the vacuum lid assembly 20 is in the closed position. The support shaft 48 a extends from the wafer support pedestal 48 away from vacuum lid assembly 20 through a passage formed in the housing 14. A bellows 50 is attached to a portion of the housing 14 disposed opposite to the lid assembly 20 to prevent leakage into the chamber 16 from between the support shaft 48 a and housing 14. The heater/lift assembly 46 may be moved vertically within the chamber 16 so that a distance between support pedestal 48 and vacuum lid assembly 20 may be controlled. A sensor (not shown) provides information concerning the position of support pedestal 48 within processing chamber 16. An example of a lifting mechanism for the support pedestal 48 is described in detail in U.S. Pat. No. 5,951,776, issued Sep. 14, 1999 to Selyutin et al., entitled “Self-Aligning Lift Mechanism”, which is hereby incorporated by reference in it entirety.
The support pedestal 48 includes an embedded thermocouple 50 a that may used to monitor the temperature thereof. For example, a signal from the thermocouple 50 a may be used in a feedback loop to control power applied to a heater element 52 a by a power source 52. The heater element 52 a may be a resistive heater element or other thermal transfer device disposed in or in contact with the pedestal 48 utilized to control the temperature thereof. Optionally, support pedestal 48 may be heated using a heat transfer fluid (not shown).
The support pedestal 48 may be formed from any process-compatible material, including aluminum nitride and aluminum oxide (Al2O3 or alumina) and may also be configured to hold a substrate thereon employing a vacuum, i.e. support pedestal 48 may be a vacuum chuck. To that end, support pedestal 48 may include a plurality of vacuum holes (not shown) that are placed in fluid communication with a vacuum source, such as pump system via vacuum tube routed through the support shaft 48 a.
A liner assembly is disposed in the processing chamber 16 and includes a cylindrical portion 54 and a planar portion 56. The cylindrical portion 54 and the planar portion 56 may be formed from any suitable material such as aluminum, ceramic and the like. The cylindrical portion 54 surrounds the support pedestal 48. The cylindrical portion 54 additionally includes an aperture 60 that aligns with the slit valve opening 44 disposed a side wall 14 b of the housing 14 to allow entry and egress of substrates from the chamber 16.
The planar portion 56 extends transversely to the cylindrical portion 54 and is disposed against a chamber bottom 14 a of processing chamber 16 disposed opposite to lid assembly 20. The liner assembly defines a chamber channel 58 between the housing 14 and both cylindrical portion 54 and planar portion 56. Specifically, a first portion of channel 58 is defined between the chamber bottom 14 a and planar portion 56. A second portion of channel 58 is defined between the side wall 14 b of the housing 14 and the cylindrical portion 54. A purge gas is introduced into the channel 58 to minimize inadvertent deposition on the chamber walls along with controlling the rate of heat transfer between the chamber walls and the liner assembly.
Disposed along the side walls 14 b of the chamber 16 proximate the lid assembly 20 is a pumping channel 62. The pumping channel 62 includes a plurality of apertures, one of which is shown as a first aperture 62 a. The pumping channel 62 includes a second aperture 62 b that is coupled to a pump system 18 by a conduit 66. A throttle valve 18A is coupled between the pumping channel 62 and the pump system 18. The pumping channel 62, throttle valve 18A and pump system 18 control the amount of flow from the processing chamber 16. The size and number and position of apertures 62 a in communication with the chamber 16 are configured to achieve uniform flow of gases exiting the lid assembly 20 over support pedestal 48 and substrate seated thereon. A plurality of supplies 68 a, 68 b and 68 c of process and/or other fluids, are in fluid communication with one of valves 32 a, 32 b or 32 c through a sequence of conduits (not shown) formed through the housing 14, lid assembly 20, and gas manifold 34.
A controller 70 regulates the operations of the various components of system 10. The controller 70 includes a processor 72 in data communication with memory, such as random access memory 74 and a hard disk drive 76 and is in communication with at least the pump system 18, the power source 52, and valves 32 a, 32 b and 32 c.
Although any type of process fluid may be employed, one example of process fluids are B2H6 gas and WF6 gas, and a purge fluid is Ar gas. N2 may also be used as a purge gas. The chamber pressure is in the range of 1-5 Torr, and the pedestal 48 is heated in the range of 350° to 400° C. Each of the process fluids is flowed into the processing chamber 16 with a carrier fluid, such as Ar. It should be understood, however, that the purge fluid might differ from the carrier fluid, discussed more fully below.
One cycle of the sequential deposition technique in accordance with the present invention includes flowing the purge fluid, Ar, into the processing chamber 16 during time t1, before B2H6 is flowed into the processing chamber 16. During time t2, the process fluid B2H6 is flowed into the processing chamber 16 along with a carrier fluid, which in this example is Ar. After the flow of B2H6 terminates, the flow of Ar continues during time t3, purging the processing chamber 16 of B2H6. During time t4, the processing chamber 16 is pumped so as to remove all process fluids. After pumping of the processing chamber 16, the carrier fluid Ar is introduced during time t5, after which time the process fluid WF6 is introduced into the processing chamber 16, along with the carrier fluid Ar during time t6. After the flow of WF6 into the processing chamber 16 terminates, the flow of Ar continues during time t7. Thereafter, the processing chamber 16 is pumped so as to remove all process fluids therein, during time t8, thereby concluding one cycle of the sequential deposition technique in accordance with the present invention. This sequence of cycles is repeated until the layer being formed thereby has desired characteristics, such as thickness, conductivity and the like. It can be seen that the time required during each period t1-t7 greatly affects the throughput of system 10. To maximize the throughput, the lid assembly 20 and the injection assembly 30 are configured to minimize the time required to inject process fluids into the processing chamber 16 and disperse the fluids over the process region proximate to the support pedestal 48. For example, the proximity of the reservoirs 33, 35 and valves 32 a-b to the gas manifold 34 reduce the response times of fluid delivery, thereby enhancing the frequency of pulses utilized in ALD deposition processes. Additionally, as the purge gases are strategically delivered through the lower portion of the passage 73, sweeping of cleaning agents from the gas manifold 34 and baffle plate 36 is ensured and process uniformity with smaller process gas volumes is enhanced.
Although the invention has been described in terms of specific embodiments, one skilled in the art will recognize that various modifications may be made that are within the scope of the present invention. For example, although three valves are shown, any number of valves may be provided, depending upon the number of differing process fluids employed to deposit a film. Therefore, the scope of the invention should not be based upon the foregoing description. Rather, the scope of the invention should be determined based upon the claims recited herein, including the full scope of equivalents thereof.

Claims (23)

1. A lid assembly for a semiconductor processing system, the lid assembly comprising:
a lid having first and second opposed surfaces;
a plurality of controllable flow channels extending from the first and second opposed surfaces; and
a gas control system disposed on the first surface and operably opening and closing the channels, wherein the gas control system comprises:
a gas manifold disposed on the lid;
at least one valve coupled to the gas manifold and adapted to control a flow through one of the flow channels; and
a reservoir positioned on the lid and fluidly connected to the gas manifold.
2. The lid assembly of claim 1, wherein the gas manifold comprises:
an upper surface and a lower surface;
a first channel, a second channel and a third channel each extending through the gas manifold and exiting the lower surface; and
a fourth channel extending from the upper surface and coupling to the third channel.
3. The lid assembly of claim 2, wherein the gas manifold further comprises:
a conduit disposed therein adapted to flow a heat transfer fluid therethrough.
4. The lid assembly of claim 2, wherein the gas control system further comprises: a remote plasma source fluidly coupled to the fourth channel.
5. A lid assembly for a semiconductor processing system, the lid assembly comprising:
a lid having first and second opposed surfaces, the first and second opposed surfaces having a first inlet channel, a second inlet channel and a third inlet channel disposed therethrough;
a gas manifold coupled to the first surface of the lid, the gas manifold comprising:
a body having an upper surface and lower surface;
a first channel, a second channel, and a third channel each extending through the gas manifold to the lower surface;
a valve coupled to the gas manifold; and
a gas reservoir positioned on the lid and fluidly connected to the gas manifold.
6. The lid assembly of claim 5, wherein the gas manifold further comprises a fourth channel coupled between the upper surface and the third channel.
7. The lid assembly of claim 6 further comprising:
a remote plasma source fluidly coupled to the fourth channel.
8. The lid assembly of claim 5 further comprising:
a thermal conditioning channel disposed in the gas manifold fluidly coupling the valve and the gas reservoir.
9. A lid assembly for a semiconductor processing system, the lid assembly comprising:
a lid having first and second opposed surfaces, the first and second opposed surfaces having a plurality of inlet channels disposed therethrough;
a valve;
a gas manifold coupled to the first surface of the lid, the gas manifold comprising:
a body having an upper surface and lower surface;
a plurality of gas channels extending through the gas manifold to the lower surface; and
a thermal conditioning channel disposed in the gas manifold and fluidly coupled to at least one of the plurality of gas channels by the valve; and
a gas reservoir fluidly positioned on the lid, connected to the gas manifold, and fluidly coupled to the valve by the thermal conditioning channel.
10. The lid assembly of claim 9, wherein the gas manifold further comprises a cleaning agent supply channel coupled between the upper surface and one of the plurality of channels.
11. The lid assembly of claim 10 further comprising:
a cleaning source fluidly coupled to the cleaning agent supply channel.
12. The lid assembly of claim 9 further comprising:
a baffle plate having a first side and a second side, the first side coupled to the second surface of the lid and having a recess formed therein, the recess defining a plenum with the second surface of the lid and fluidly communicating with the plurality of channels via the inlet channels disposed in the lid, the baffle plate having a center passage disposed therethrough providing a singular passageway between the plenum and the second side of the baffle plate.
13. The lid assembly of claim 12, wherein the second surface of the lid further comprises a plurality of recesses formed therein that reduce the contact area with the first side of the baffle plate.
14. The lid assembly of claim 12, wherein the first side of the baffle plate further comprises a plurality of bosses that maintain the first side of the baffle plate in a spaced-apart relation with the second surface of the lid.
15. The lid assembly of claim 14, wherein at least one of the bosses has a mounting hole disposed therethrough.
16. The lid assembly of claim 12, wherein the first side of the baffle plate further comprises a ring circumscribing the recess that maintains the first side of the baffle plate in a spaced-apart relation with the second surface of the lid.
17. The lid assembly of claim 12, wherein the first side of the baffle plate further comprises a ring circumscribing the recess and a plurality of bosses disposed radially outward of the ring, the ring and bosses maintaining the first side of the baffle plate in a spaced-apart relation with the second surface of the lid.
18. The lid assembly of claim 17, wherein the ring and bosses extend from the first side of the baffle plate to a common elevation.
19. A lid assembly for a semiconductor processing system, comprising:
a lid having a first surface opposed to a second surface;
at least one controllable flow channel extending from the first surface through the second surface; and
a gas control system disposed on the first surface and operably opening and closing the at least one controllable flow channel, wherein the gas control system comprises:
a gas manifold disposed on the lid;
at least one valve coupled to the gas manifold adapted to control a flow through the at least one controllable flow channel; and
at least one precursor reservoir fluidly connected between at least one precursor source and the gas manifold.
20. The lid assembly of claim 19, wherein a remote plasma source is fluidly coupled to the at least one controllable flow channel.
21. A lid assembly for a semiconductor processing system, comprising:
a lid having a first surface opposed to a second surface; and
a gas control system disposed on the first surface and operably opening and closing at least one controllable flow channel, wherein the gas control system comprises:
a gas manifold disposed on the lid;
at least one valve coupled to the gas manifold and adapted to control a flow through the at least one controllable flow channel;
at least one precursor reservoir fluidly connected to the gas manifold; and
at least one precursor source fluidly connected to the at least one precursor reservoir.
22. The lid assembly of claim 21, wherein the at least one controllable flow channel extends from the first surface through the second surface.
23. The lid assembly of claim 21, wherein a remote plasma source is fluidly coupled to the at least one controllable flow channel.
US10/016,300 2001-03-02 2001-12-12 Lid assembly for a processing system to facilitate sequential deposition techniques Expired - Lifetime US6878206B2 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
US10/016,300 US6878206B2 (en) 2001-07-16 2001-12-12 Lid assembly for a processing system to facilitate sequential deposition techniques
US10/302,773 US20030198754A1 (en) 2001-07-16 2002-11-21 Aluminum oxide chamber and process
US10/993,924 US7905959B2 (en) 2001-07-16 2004-11-19 Lid assembly for a processing system to facilitate sequential deposition techniques
US13/012,341 US20110114020A1 (en) 2001-07-16 2011-01-24 Lid assembly for a processing system to facilitate sequential deposition techniques
US14/152,730 US9587310B2 (en) 2001-03-02 2014-01-10 Lid assembly for a processing system to facilitate sequential deposition techniques
US15/452,293 US10280509B2 (en) 2001-07-16 2017-03-07 Lid assembly for a processing system to facilitate sequential deposition techniques

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US30597001P 2001-07-16 2001-07-16
US10/016,300 US6878206B2 (en) 2001-07-16 2001-12-12 Lid assembly for a processing system to facilitate sequential deposition techniques

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US10/302,773 Continuation-In-Part US20030198754A1 (en) 2001-07-16 2002-11-21 Aluminum oxide chamber and process
US10/993,924 Continuation US7905959B2 (en) 2001-03-02 2004-11-19 Lid assembly for a processing system to facilitate sequential deposition techniques

Publications (2)

Publication Number Publication Date
US20030010451A1 US20030010451A1 (en) 2003-01-16
US6878206B2 true US6878206B2 (en) 2005-04-12

Family

ID=26688421

Family Applications (5)

Application Number Title Priority Date Filing Date
US10/016,300 Expired - Lifetime US6878206B2 (en) 2001-03-02 2001-12-12 Lid assembly for a processing system to facilitate sequential deposition techniques
US10/993,924 Expired - Fee Related US7905959B2 (en) 2001-03-02 2004-11-19 Lid assembly for a processing system to facilitate sequential deposition techniques
US13/012,341 Abandoned US20110114020A1 (en) 2001-03-02 2011-01-24 Lid assembly for a processing system to facilitate sequential deposition techniques
US14/152,730 Expired - Lifetime US9587310B2 (en) 2001-03-02 2014-01-10 Lid assembly for a processing system to facilitate sequential deposition techniques
US15/452,293 Expired - Lifetime US10280509B2 (en) 2001-07-16 2017-03-07 Lid assembly for a processing system to facilitate sequential deposition techniques

Family Applications After (4)

Application Number Title Priority Date Filing Date
US10/993,924 Expired - Fee Related US7905959B2 (en) 2001-03-02 2004-11-19 Lid assembly for a processing system to facilitate sequential deposition techniques
US13/012,341 Abandoned US20110114020A1 (en) 2001-03-02 2011-01-24 Lid assembly for a processing system to facilitate sequential deposition techniques
US14/152,730 Expired - Lifetime US9587310B2 (en) 2001-03-02 2014-01-10 Lid assembly for a processing system to facilitate sequential deposition techniques
US15/452,293 Expired - Lifetime US10280509B2 (en) 2001-07-16 2017-03-07 Lid assembly for a processing system to facilitate sequential deposition techniques

Country Status (1)

Country Link
US (5) US6878206B2 (en)

Cited By (437)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030119328A1 (en) * 2001-12-26 2003-06-26 Tokyo Electron Limited Plasma processing apparatus, and cleaning method therefor
US20050014382A1 (en) * 2003-07-16 2005-01-20 Samsung Electronics Co., Ltd. Etching apparatus and method
US20060030148A1 (en) * 2001-02-02 2006-02-09 Applied Materials, Inc. Formation of a tantalum-nitride layer
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20060130971A1 (en) * 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
US20070281083A1 (en) * 2006-06-05 2007-12-06 Annamalai Lakshmanan Elimination of first wafer effect for pecvd films
US20080044573A1 (en) * 2003-11-03 2008-02-21 Applied Materials, Inc. Rate control process for a precursor delivery system
US20080050932A1 (en) * 2006-08-23 2008-02-28 Applied Materials, Inc. Overall defect reduction for PECVD films
US20080099933A1 (en) * 2006-10-31 2008-05-01 Choi Kenric T Ampoule for liquid draw and vapor draw with a continous level sensor
US20080160210A1 (en) * 2004-02-26 2008-07-03 Haichun Yang Passivation layer formation by plasma clean process to reduce native oxide growth
US20080202425A1 (en) * 2007-01-29 2008-08-28 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US20080268645A1 (en) * 2004-02-26 2008-10-30 Chien-Teh Kao Method for front end of line fabrication
US20090218043A1 (en) * 2008-02-28 2009-09-03 Ajit Balakrishna Gas flow equalizer plate suitable for use in a substrate process chamber
US20090308318A1 (en) * 2002-11-14 2009-12-17 Ling Chen Apparatus and method for hybrid chemical processing
US20100003406A1 (en) * 2008-07-03 2010-01-07 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US7678194B2 (en) 2002-07-17 2010-03-16 Applied Materials, Inc. Method for providing gas to a processing chamber
US7682946B2 (en) 2005-11-04 2010-03-23 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US20100167527A1 (en) * 2008-12-31 2010-07-01 Applied Materials, Inc. Method of depositing tungsten film with reduced resistivity and improved surface morphology
US7780788B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7794544B2 (en) 2004-05-12 2010-09-14 Applied Materials, Inc. Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7867896B2 (en) 2002-03-04 2011-01-11 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7905959B2 (en) * 2001-07-16 2011-03-15 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20120009347A1 (en) * 2010-07-07 2012-01-12 Applied Materials, Inc. Precise temperature control for teos application by heat transfer fluid
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US8524600B2 (en) 2011-03-31 2013-09-03 Applied Materials, Inc. Post deposition treatments for CVD cobalt films
WO2013155436A1 (en) 2012-04-13 2013-10-17 Applied Materials, Inc. Methods for depositing manganese and manganese nitrides
US8617985B2 (en) 2011-10-28 2013-12-31 Applied Materials, Inc. High temperature tungsten metallization process
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8975152B2 (en) 2011-11-08 2015-03-10 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9005704B2 (en) 2013-03-06 2015-04-14 Applied Materials, Inc. Methods for depositing films comprising cobalt and cobalt nitrides
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9023732B2 (en) 2013-03-15 2015-05-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9040127B2 (en) 2010-09-24 2015-05-26 Applied Materials, Inc. Low temperature silicon carbide deposition process
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9076661B2 (en) 2012-04-13 2015-07-07 Applied Materials, Inc. Methods for manganese nitride integration
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US20150240359A1 (en) * 2014-02-25 2015-08-27 Asm Ip Holding B.V. Gas Supply Manifold And Method Of Supplying Gases To Chamber Using Same
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9236266B2 (en) 2011-08-01 2016-01-12 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9269574B2 (en) 2012-04-25 2016-02-23 Applied Materials, Inc. Methods of fabricating dielectric films from metal amidinate precursors
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299582B2 (en) 2013-11-12 2016-03-29 Applied Materials, Inc. Selective etch for metal-containing materials
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9478432B2 (en) 2014-09-25 2016-10-25 Applied Materials, Inc. Silicon oxide selective removal
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9659814B2 (en) 2013-02-01 2017-05-23 Applied Materials, Inc. Doping control of metal nitride films
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9748105B2 (en) 2013-08-16 2017-08-29 Applied Materials, Inc. Tungsten deposition with tungsten hexafluoride (WF6) etchback
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10233541B2 (en) 2012-06-29 2019-03-19 Applied Materials, Inc. Deposition of films containing alkaline earth metals
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11124874B2 (en) 2018-10-25 2021-09-21 Applied Materials, Inc. Methods for depositing metallic iridium and iridium silicide
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US20220189793A1 (en) * 2020-12-14 2022-06-16 Applied Materials, Inc. Gas delivery system for a shared gas delivery architecture
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US20230124246A1 (en) * 2021-10-19 2023-04-20 Applied Materials, Inc. Manifold for equal splitting and common divert architecture
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method

Families Citing this family (128)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6671223B2 (en) * 1996-12-20 2003-12-30 Westerngeco, L.L.C. Control devices for controlling the position of a marine seismic streamer
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
JP2005504885A (en) * 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド Barrier formation using a novel sputter deposition method
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
AU2003238853A1 (en) * 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
KR20030081144A (en) * 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 Vertical semiconductor manufacturing apparatus
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US20040177813A1 (en) 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
US7342984B1 (en) 2003-04-03 2008-03-11 Zilog, Inc. Counting clock cycles over the duration of a first character and using a remainder value to determine when to sample a bit of a second character
KR100512740B1 (en) * 2003-06-19 2005-09-07 삼성전자주식회사 Reaction Apparatus
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7431772B2 (en) * 2004-03-09 2008-10-07 Applied Materials, Inc. Gas distributor having directed gas flow and cleaning method
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US8119210B2 (en) * 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8202575B2 (en) * 2004-06-28 2012-06-19 Cambridge Nanotech, Inc. Vapor deposition systems and methods
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
US7464917B2 (en) * 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
KR101046520B1 (en) * 2007-09-07 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 Source gas flow path control in pecvd system to control a by-product film deposition on inside chamber
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8875167B2 (en) * 2009-09-21 2014-10-28 Mobitv, Inc. Implicit mechanism for determining user response to media
US8546239B2 (en) 2010-06-11 2013-10-01 Sandisk Technologies Inc. Methods of fabricating non-volatile memory with air gaps
US8603890B2 (en) 2010-06-19 2013-12-10 Sandisk Technologies Inc. Air gap isolation in non-volatile memory
US8946048B2 (en) 2010-06-19 2015-02-03 Sandisk Technologies Inc. Method of fabricating non-volatile memory with flat cell structures and air gap isolation
US8492224B2 (en) 2010-06-20 2013-07-23 Sandisk Technologies Inc. Metal control gate structures and air gap isolation in non-volatile memory
US8778749B2 (en) 2011-01-12 2014-07-15 Sandisk Technologies Inc. Air isolation in high density non-volatile memory
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US8826857B2 (en) * 2011-11-21 2014-09-09 Lam Research Corporation Plasma processing assemblies including hinge assemblies
US9388492B2 (en) * 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
US9123714B2 (en) 2012-02-16 2015-09-01 Sandisk Technologies Inc. Metal layer air gap formation
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9123577B2 (en) 2012-12-12 2015-09-01 Sandisk Technologies Inc. Air gap isolation in non-volatile memory using sacrificial films
US10316409B2 (en) * 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9123661B2 (en) * 2013-08-07 2015-09-01 Lam Research Corporation Silicon containing confinement ring for plasma processing apparatus and method of forming thereof
US9837250B2 (en) * 2013-08-30 2017-12-05 Applied Materials, Inc. Hot wall reactor with cooled vacuum containment
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9349740B2 (en) 2014-01-24 2016-05-24 Sandisk Technologies Inc. Non-volatile storage element with suspended charge storage region
US9177853B1 (en) 2014-05-14 2015-11-03 Sandisk Technologies Inc. Barrier layer stack for bit line air gap formation
US10435786B2 (en) * 2014-09-10 2019-10-08 Applied Materials, Inc. Alignment systems employing actuators providing relative displacement between lid assemblies of process chambers and substrates, and related methods
US9478461B2 (en) 2014-09-24 2016-10-25 Sandisk Technologies Llc Conductive line structure with openings
US9524904B2 (en) 2014-10-21 2016-12-20 Sandisk Technologies Llc Early bit line air gap formation
US9401305B2 (en) 2014-11-05 2016-07-26 Sandisk Technologies Llc Air gaps structures for damascene metal patterning
US9847249B2 (en) 2014-11-05 2017-12-19 Sandisk Technologies Llc Buried etch stop layer for damascene bit line formation
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
TW201634738A (en) * 2015-01-22 2016-10-01 應用材料股份有限公司 Improved injector for spatially separated atomic layer deposition chamber
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9524973B1 (en) 2015-06-30 2016-12-20 Sandisk Technologies Llc Shallow trench air gaps and their formation
US9524974B1 (en) 2015-07-22 2016-12-20 Sandisk Technologies Llc Alternating sidewall assisted patterning
US9607997B1 (en) 2015-09-08 2017-03-28 Sandisk Technologies Inc. Metal line with increased inter-metal breakdown voltage
US9391081B1 (en) 2015-09-08 2016-07-12 Sandisk Technologies Llc Metal indentation to increase inter-metal breakdown voltage
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (en) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. Exhaust apparatus and substrate processing apparatus and thin film fabricating method using the same
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
JP6697640B2 (en) 2017-02-08 2020-05-20 ピコサン オーワイPicosun Oy Deposition or cleaning device with movable structure and method of operation
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US11761082B2 (en) * 2017-05-02 2023-09-19 Picosun Oy ALD apparatus, method and valve
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US20190070639A1 (en) * 2017-09-07 2019-03-07 Applied Materials, Inc. Automatic cleaning machine for cleaning process kits
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
KR20200087267A (en) 2017-12-08 2020-07-20 램 리써치 코포레이션 Integrated showerhead with improved hole pattern to deliver radical and precursor gases to the downstream chamber to enable remote plasma film deposition
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
SG11202008268RA (en) 2018-03-19 2020-10-29 Applied Materials Inc Methods for depositing coatings on aerospace components
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11015252B2 (en) 2018-04-27 2021-05-25 Applied Materials, Inc. Protection of components from corrosion
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10883174B2 (en) * 2018-11-27 2021-01-05 Applied Materials, Inc. Gas diffuser mounting plate for reduced particle generation
CN113169101B (en) * 2019-01-08 2022-09-30 应用材料公司 Pumping apparatus and method for substrate processing chamber
CN109881180B (en) * 2019-01-31 2020-07-07 华中科技大学 Rapid-circulation atomic layer deposition equipment for micro-nano particles
US11192062B2 (en) 2019-03-16 2021-12-07 Giraldo Negrin Alvarez Air-liquid amine contactor for gaseous carbon dioxide extraction from a process air stream
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
WO2020209939A1 (en) 2019-04-08 2020-10-15 Applied Materials, Inc. Methods for modifying photoresist profiles and tuning critical dimensions
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
KR20210048408A (en) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. Semiconductor deposition reactor manifolds
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
US11739429B2 (en) 2020-07-03 2023-08-29 Applied Materials, Inc. Methods for refurbishing aerospace components
US20220084845A1 (en) * 2020-09-17 2022-03-17 Applied Materials, Inc. High conductance process kit

Citations (101)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3592575A (en) * 1969-07-25 1971-07-13 Forney International Burner nozzle tip
US4058430A (en) 1974-11-29 1977-11-15 Tuomo Suntola Method for producing compound thin films
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4413022A (en) 1979-02-28 1983-11-01 Canon Kabushiki Kaisha Method for performing growth of compound thin films
US4486487A (en) 1982-05-10 1984-12-04 Oy Lohja Ab Combination film, in particular for thin film electroluminescent structures
US4761269A (en) * 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4767494A (en) 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
US4806321A (en) 1984-07-26 1989-02-21 Research Development Corporation Of Japan Use of infrared radiation and an ellipsoidal reflection mirror
US4813846A (en) 1987-02-13 1989-03-21 Leybold-Heraeus Gmbh Inserting device for vacuum apparatus
US4829022A (en) 1985-12-09 1989-05-09 Nippon Telegraph And Telephone Corporation Method for forming thin films of compound semiconductors by flow rate modulation epitaxy
US4834831A (en) 1986-09-08 1989-05-30 Research Development Corporation Of Japan Method for growing single crystal thin films of element semiconductor
US4838993A (en) 1986-12-04 1989-06-13 Seiko Instruments Inc. Method of fabricating MOS field effect transistor
US4838983A (en) 1986-07-03 1989-06-13 Emcore, Inc. Gas treatment apparatus and method
US4840921A (en) 1987-07-01 1989-06-20 Nec Corporation Process for the growth of III-V group compound semiconductor crystal on a Si substrate
US4845049A (en) 1987-03-27 1989-07-04 Nec Corporation Doping III-V compound semiconductor devices with group VI monolayers using ALE
US4859625A (en) 1986-11-22 1989-08-22 Research Development Corporation of Japan, Junichi Nishizawa and Oki Electric Industry Co., Ltd. Method for epitaxial growth of compound semiconductor using MOCVD with molecular layer epitaxy
US4859627A (en) 1987-07-01 1989-08-22 Nec Corporation Group VI doping of III-V semiconductors during ALE
US4861417A (en) 1987-03-27 1989-08-29 Fujitsu Limited Method of growing group III-V compound semiconductor epitaxial layer
US4876218A (en) 1987-09-29 1989-10-24 Oy Nokia Ab Method of growing GaAs films on Si or GaAs substrates using ale
US4917556A (en) 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4927670A (en) 1988-06-22 1990-05-22 Georgia Tech Research Corporation Chemical vapor deposition of mixed metal oxide coatings
US4931132A (en) 1988-10-07 1990-06-05 Bell Communications Research, Inc. Optical control of deposition of crystal monolayers
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4960720A (en) 1986-08-26 1990-10-02 Masafumi Shimbo Method of growing compound semiconductor thin film using multichamber smoothing process
US4975252A (en) 1984-07-26 1990-12-04 Junichi Nishizawa Semiconductor crystal growth apparatus
US4993358A (en) * 1989-07-28 1991-02-19 Watkins-Johnson Company Chemical vapor deposition reactor and method of operation
US4993357A (en) 1987-12-23 1991-02-19 Cs Halbleiter -Und Solartechnologie Gmbh Apparatus for atomic layer epitaxial growth
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5013683A (en) 1989-01-23 1991-05-07 The Regents Of The University Of California Method for growing tilted superlattices
US5028565A (en) 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
US5082798A (en) 1990-04-18 1992-01-21 Mitsubishi Denki Kabushiki Kaisha Crystal growth method
US5085885A (en) 1990-09-10 1992-02-04 University Of Delaware Plasma-induced, in-situ generation, transport and use or collection of reactive precursors
US5091320A (en) 1990-06-15 1992-02-25 Bell Communications Research, Inc. Ellipsometric control of material growth
US5130269A (en) 1988-04-27 1992-07-14 Fujitsu Limited Hetero-epitaxially grown compound semiconductor substrate and a method of growing the same
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US5173474A (en) 1990-04-18 1992-12-22 Xerox Corporation Silicon substrate having an epitaxial superconducting layer thereon and method of making same
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5205077A (en) 1990-08-31 1993-04-27 Peter Wolters Ag Apparatus for controlling operation of a lapping, honing or polishing machine
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5232164A (en) * 1990-05-09 1993-08-03 Resch D R Precisely adjustable atomizer
US5234561A (en) 1988-08-25 1993-08-10 Hauzer Industries Bv Physical vapor deposition dual coating process
US5246536A (en) 1986-09-08 1993-09-21 Research Development Corporation Of Japan Method for growing single crystal thin films of element semiconductor
US5250148A (en) 1985-05-15 1993-10-05 Research Development Corporation Process for growing GaAs monocrystal film
US5254207A (en) 1991-11-30 1993-10-19 Research Development Corporation Of Japan Method of epitaxially growing semiconductor crystal using light as a detector
US5256244A (en) 1992-02-10 1993-10-26 General Electric Company Production of diffuse reflective coatings by atomic layer epitaxy
US5259881A (en) 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
US5261959A (en) 1988-05-26 1993-11-16 General Electric Company Diamond crystal growth apparatus
US5270247A (en) 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
US5278435A (en) 1992-06-08 1994-01-11 Apa Optics, Inc. High responsivity ultraviolet gallium nitride detector
US5286296A (en) 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
US5290748A (en) 1990-01-16 1994-03-01 Neste Oy Polymerization catalyst for olefines
US5294286A (en) 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
US5296403A (en) 1990-01-31 1994-03-22 Research Development Corp. Of Japan Method of manufacturing a static induction field-effect transistor
US5311055A (en) 1991-11-22 1994-05-10 The United States Of America As Represented By The Secretary Of The Navy Trenched bipolar transistor structures
US5316615A (en) 1990-03-23 1994-05-31 International Business Machines Corporation Surfactant-enhanced epitaxy
US5316793A (en) 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5330610A (en) 1993-05-28 1994-07-19 Martin Marietta Energy Systems, Inc. Method of digital epilaxy by externally controlled closed-loop feedback
US5336324A (en) 1991-12-04 1994-08-09 Emcore Corporation Apparatus for depositing a coating on a substrate
US5338362A (en) 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
US5338389A (en) 1990-01-19 1994-08-16 Research Development Corporation Of Japan Method of epitaxially growing compound crystal and doping method therein
US5348911A (en) 1987-06-30 1994-09-20 Aixtron Gmbh Material-saving process for fabricating mixed crystals
US5374570A (en) 1989-03-17 1994-12-20 Fujitsu Limited Method of manufacturing active matrix display device using insulation layer formed by the ale method
US5395791A (en) 1992-05-22 1995-03-07 Minnesota Mining And Manufacturing Company Growth of II VI laser diodes with quantum wells by atomic layer epitaxy and migration enhanced epitaxy
US5423936A (en) * 1992-10-19 1995-06-13 Hitachi, Ltd. Plasma etching system
US5438952A (en) 1993-03-24 1995-08-08 Fujitsu Limited Method of growing a semiconductor layer and a fabrication method of a semiconductor device using such a semiconductor layer
US5439876A (en) 1988-06-03 1995-08-08 International Business Machines Corporation Method of making artificial layered high Tc superconductors
US5441703A (en) 1987-06-30 1995-08-15 Aixtron Gmbh Gas inlet for a plurality of reactant gases into reaction vessel
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US5455072A (en) 1992-11-18 1995-10-03 Bension; Rouvain M. Initiation and bonding of diamond and other thin films
US5458084A (en) 1992-04-16 1995-10-17 Moxtek, Inc. X-ray wave diffraction optics constructed by atomic layer epitaxy
US5469806A (en) 1992-08-21 1995-11-28 Nec Corporation Method for epitaxial growth of semiconductor crystal by using halogenide
US5480818A (en) 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5483919A (en) 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5500256A (en) * 1994-08-16 1996-03-19 Fujitsu Limited Dry process apparatus using plural kinds of gas
US5503875A (en) 1993-03-18 1996-04-02 Tokyo Electron Limited Film forming method wherein a partial pressure of a reaction byproduct in a processing container is reduced temporarily
US5521126A (en) 1993-06-25 1996-05-28 Nec Corporation Method of fabricating semiconductor devices
US5527733A (en) 1989-07-27 1996-06-18 Seiko Instruments Inc. Impurity doping method with adsorbed diffusion source
US5532511A (en) 1992-10-23 1996-07-02 Research Development Corp. Of Japan Semiconductor device comprising a highspeed static induction transistor
US5580380A (en) 1991-12-20 1996-12-03 North Carolina State University Method for forming a diamond coated field emitter and device produced thereby
US5601651A (en) 1992-09-17 1997-02-11 Fujitsu Limited Flow control valve for use in fabrication of semiconductor devices
US5609689A (en) 1995-06-09 1997-03-11 Tokyo Electron Limited Vacuum process apparaus
US5616181A (en) 1994-11-24 1997-04-01 Mitsubishi Denki Kabushiki Kaisha MBE apparatus and gas branch piping apparatus
US5637530A (en) 1991-09-09 1997-06-10 U.S. Philips Corporation II-VI compound semiconductor epitaxial layers having low defects, method for producing and devices utilizing same
US5641984A (en) 1994-08-19 1997-06-24 General Electric Company Hermetically sealed radiation imager
US5644128A (en) 1994-08-25 1997-07-01 Ionwerks Fast timing position sensitive detector
US5667592A (en) 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
US5674786A (en) 1993-01-28 1997-10-07 Applied Materials, Inc. Method of heating and cooling large area glass substrates
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
US5695564A (en) 1994-08-19 1997-12-09 Tokyo Electron Limited Semiconductor processing system
US5705224A (en) 1991-03-20 1998-01-06 Kokusai Electric Co., Ltd. Vapor depositing method
US5711811A (en) 1994-11-28 1998-01-27 Mikrokemia Oy Method and equipment for growing thin films
US5730801A (en) 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
US5730802A (en) 1994-05-20 1998-03-24 Sharp Kabushiki Kaisha Vapor growth apparatus and vapor growth method capable of growing good productivity
US5747113A (en) 1996-07-29 1998-05-05 Tsai; Charles Su-Chang Method of chemical vapor deposition for producing layer variation by planetary susceptor rotation
US5749974A (en) 1994-07-15 1998-05-12 Shin-Etsu Handotai Co., Ltd. Method of chemical vapor deposition and reactor therefor
US5788447A (en) 1995-08-05 1998-08-04 Kokusai Electric Co., Ltd. Substrate processing apparatus
US5788799A (en) 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
US5801634A (en) 1997-09-08 1998-09-01 Sony Corporation Signal tower controller
US5976261A (en) * 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity

Family Cites Families (496)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US73924A (en) * 1868-01-28 Improvement in india-rubber soles
US76507A (en) * 1868-04-07 Egbert o br
US866A (en) * 1838-08-01 Improvement in the mode of manufacturing leather
US121608A (en) * 1871-12-05 Improvement in animal-traps
US121342A (en) * 1871-11-28 Improvement in curtain-fixtures
US15300A (en) * 1856-07-08 Apparatus for
US172872A (en) * 1876-02-01 Improvement in colters
US194493A (en) * 1877-08-21 Improvement in valves for steam-engines
US198754A (en) * 1878-01-01 Improvement in clamps
US106490A (en) * 1870-08-16 Improved brick-machine
US79686A (en) * 1868-07-07 S amuel s
US224107A (en) * 1880-02-03 Smoking-pipe
US127745A (en) * 1872-06-11 Improvement in water-elevators
US14320A (en) * 1856-02-26 Process of painting or varnishing woven wire
US144655A (en) * 1873-11-18 Improvement in fasteners for meeting rails of sashes
US216981A (en) * 1879-07-01 Improvement in corking bottles
US153177A (en) * 1874-07-21 Improvement in inking apparatus
US10451A (en) * 1854-01-24 Harvey trumbull
US53799A (en) * 1866-04-10 Improvement in machines for sticking needles into paper
US11504A (en) * 1854-08-08 woodbury
US143747A (en) * 1873-10-21 Improvement in wagon-brakes
US13577A (en) * 1855-09-18 Lantern foe
US116087A (en) * 1871-06-20 Improvement in button-needles and fasteners
US76508A (en) * 1868-04-07 Improved kiln foe drying malt
US146511A (en) * 1874-01-20 Improvement in reed-organs
US104481A (en) * 1870-06-21 Improvement in lamps
US213560A (en) * 1879-03-25 Half his eight to eddie e
US113187A (en) * 1871-03-28 Improvement in bee-hives
US72913A (en) * 1867-12-31 Improvement in cossteuction of dikes, levees, aid embankments
US101927A (en) * 1870-04-12 Improvement in couplings for hose and pipes
US140854A (en) * 1873-07-15 Improvement in windmills
US121469A (en) * 1871-12-05 Improvement in propulsion of canal-boats
US144657A (en) * 1873-11-18 Improvement in loom-shuttles
US76481A (en) * 1868-04-07 Improved wash-board
US11404A (en) * 1854-07-25 Improved arrangement of means for working and stopping chain cables
US22338A (en) * 1858-12-21 Cab seat and couch
US66411A (en) * 1867-07-02 Benjamin d
US42630A (en) * 1864-05-03 Improvement in operating ships guns
US121241A (en) * 1871-11-28 Improvement in lanterns
US143328A (en) * 1873-09-30 Improvement in wheels for vehicles
US89308A (en) * 1869-04-27 Improvement in electric signals for railroads
FI117944B (en) 1999-10-15 2007-04-30 Asm Int A method for growing transition metal nitride thin films
FI118158B (en) 1999-10-15 2007-07-31 Asm Int Process for modifying the starting chemical in an ALD process
US4415275A (en) 1981-12-21 1983-11-15 Dietrich David E Swirl mixing device
JPS5898917A (en) 1981-12-09 1983-06-13 Seiko Epson Corp Atomic layer epitaxial device
JPS58100419A (en) 1981-12-10 1983-06-15 Seiko Epson Corp Partial atomic layer epitaxial method
JPS5898917U (en) 1981-12-26 1983-07-05 株式会社フジ医療器 Arm stretcher attached to chair-type pine surgery machine
JPS6065712A (en) 1983-09-20 1985-04-15 Toshiba Corp Formation of silicon oxide coating film
JPS6135847A (en) 1984-07-27 1986-02-20 Hitachi Ltd Preparation of membrane
US4538653A (en) * 1984-12-04 1985-09-03 Genoa Group Inc. Closure and valve for liquid container
JPH0620039B2 (en) 1985-03-15 1994-03-16 ソニー株式会社 Manufacturing method of semiconductor device
JPS6269508A (en) 1985-09-20 1987-03-30 Sumitomo Electric Ind Ltd Manufacture of compound semiconductor device
JPH0444216Y2 (en) * 1985-10-07 1992-10-19
JPS6291495A (en) 1985-10-15 1987-04-25 Nec Corp Vapor growth method for thin semiconductor film
JPS62141717A (en) 1985-12-16 1987-06-25 Fujitsu Ltd Growing method for atomic layer level zincblende-type crystal and its device
JPS62167297A (en) 1986-01-16 1987-07-23 Nec Corp Epitaxial crystal
JPS62171999A (en) 1986-01-27 1987-07-28 Nippon Telegr & Teleph Corp <Ntt> Epitaxy of iii-v compound semiconductor
JPH051780Y2 (en) 1986-02-28 1993-01-18
JP2652630B2 (en) 1986-04-02 1997-09-10 理化学研究所 Crystal growth method
JPS6362313A (en) 1986-09-03 1988-03-18 Fujitsu Ltd Manufacture of semiconductor device
JPS6385098A (en) 1986-09-26 1988-04-15 Nec Corp Vapor growth method for iii-v compound semiconductor
JPS6390833A (en) 1986-10-03 1988-04-21 Nec Corp Manufacture of compound thin film of group ii and vi elements
JPS6362313U (en) 1986-10-14 1988-04-25
JPH0317678Y2 (en) 1986-11-20 1991-04-15
JPS6390833U (en) 1986-12-03 1988-06-13
US5882165A (en) 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US5755886A (en) * 1986-12-19 1998-05-26 Applied Materials, Inc. Apparatus for preventing deposition gases from contacting a selected region of a substrate during deposition processing
US5923985A (en) 1987-01-05 1999-07-13 Seiko Instruments Inc. MOS field effect transistor and its manufacturing method
JPH0620046B2 (en) 1987-03-12 1994-03-16 日本電気株式会社 (III)-(V) Group compound semiconductor atomic layer epitaxial growth method
JPH0620047B2 (en) 1987-03-12 1994-03-16 日本電気株式会社 (III)-(V) Group compound semiconductor atomic layer epitaxial growth method
JPS63227007A (en) 1987-03-17 1988-09-21 Matsushita Electric Ind Co Ltd Vapor growth method
US4741354A (en) * 1987-04-06 1988-05-03 Spire Corporation Radial gas manifold
JPS63252420A (en) 1987-04-09 1988-10-19 Nec Corp Compound semiconductor crystal and manufacture thereof
JPS63266814A (en) 1987-04-24 1988-11-02 Nec Corp Forming method for al iii-v compound semiconductor thin film
US5221556A (en) * 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
JPS649896U (en) 1987-07-08 1989-01-19
JPS649897U (en) 1987-07-09 1989-01-19
JPS6437832U (en) 1987-09-02 1989-03-07
JPH0626190B2 (en) 1987-09-25 1994-04-06 日本電気株式会社 Atomic layer epitaxial growth method of ordered mixed crystal
JPS6482671A (en) 1987-09-25 1989-03-28 Nec Corp Manufacture of mis field-effect transistor
JPS6482676A (en) 1987-09-25 1989-03-28 Nec Corp Iii-v compound semiconductor field-effect transistor and manufacture thereof
JPS6482615A (en) 1987-09-25 1989-03-28 Nec Corp Manufacture of semiconductor element
JPS6490524A (en) 1987-10-01 1989-04-07 Nec Corp Manufacture of semiconductor device
JPH01103982A (en) 1987-10-16 1989-04-21 Nec Corp Production of single crystal of group iii-v compound semiconductor
JP2687371B2 (en) 1987-10-16 1997-12-08 日本電気株式会社 Vapor growth of compound semiconductors
JPH01117017A (en) 1987-10-29 1989-05-09 Fujitsu Ltd Gaas epitaxial growth method on si substrate
JPH01143233A (en) 1987-11-27 1989-06-05 Nec Corp Manufacture of semiconductor element
JPH01143221A (en) 1987-11-27 1989-06-05 Nec Corp Manufacture of insulating thin film
JP2828979B2 (en) 1987-12-11 1998-11-25 株式会社日立製作所 Crystal growth method
FR2626110A1 (en) 1988-01-19 1989-07-21 Thomson Csf Process for producing a layer of a superconductive material by epitaxy
JPH01236657A (en) 1988-03-17 1989-09-21 Toshiba Corp Semiconductor device and manufacture thereof
FR2628985B1 (en) 1988-03-22 1990-12-28 Labo Electronique Physique EPITAXY REACTOR WITH WALL PROTECTION
JPH01245512A (en) 1988-03-28 1989-09-29 Nippon Telegr & Teleph Corp <Ntt> Formation of iii-v compound semiconductor by epitaxial growth
JPH01264218A (en) 1988-04-15 1989-10-20 Fujitsu Ltd Atomic layer epitaxial growth
DE3813175C2 (en) 1988-04-20 1997-01-23 Teves Gmbh Alfred Anti-lock device
JPH01270593A (en) 1988-04-21 1989-10-27 Fujitsu Ltd Method for forming compound semiconductor layer
JPH01272108A (en) 1988-04-25 1989-10-31 Nippon Telegr & Teleph Corp <Ntt> Growth of compound semiconductor
US4908095A (en) * 1988-05-02 1990-03-13 Tokyo Electron Limited Etching device, and etching method
JPH01290222A (en) 1988-05-18 1989-11-22 Fujitsu Ltd Semiconductor vapor growth method
JPH01290221A (en) 1988-05-18 1989-11-22 Fujitsu Ltd Semiconductor vapor growth method
JPH01296673A (en) 1988-05-25 1989-11-30 Nec Corp Iii-v compound semiconductor device
JP2588246B2 (en) 1988-06-01 1997-03-05 沖電気工業株式会社 Method of manufacturing superconducting base transistor
JPH01305894A (en) 1988-06-03 1989-12-11 Matsushita Electric Ind Co Ltd Thin film crystal growth device and growth method
JPH01313927A (en) 1988-06-14 1989-12-19 Fujitsu Ltd Compound-semiconductor crystal growth method
JP2736655B2 (en) 1988-06-30 1998-04-02 富士通株式会社 Compound semiconductor crystal growth method
JPH0351278Y2 (en) 1988-07-05 1991-11-01
JPH0217634A (en) 1988-07-06 1990-01-22 Matsushita Electric Ind Co Ltd Method of doping impurity to semiconductor
ATE115647T1 (en) 1988-08-25 1994-12-15 Hauzer Ind Bv PHYSICAL VAPOR DEPOSITION DOUBLE COATING APPARATUS AND PROCESS.
JPH0263115A (en) 1988-08-29 1990-03-02 Nec Corp Selective growth of thin film
JP2717972B2 (en) 1988-09-09 1998-02-25 富士通株式会社 Method and apparatus for forming thin film
JP2712367B2 (en) 1988-09-09 1998-02-10 富士通株式会社 Method and apparatus for forming thin film
JP2986799B2 (en) 1988-10-14 1999-12-06 株式会社日立製作所 Thin film forming method and thin film forming apparatus
JPH02129913A (en) 1988-11-09 1990-05-18 Fujitsu Ltd Manufacture of semiconductor device
JPH02162717A (en) 1988-12-15 1990-06-22 Fujitsu Ltd Formation of quantum fine wire
JPH02172895A (en) 1988-12-22 1990-07-04 Nec Corp Method for growing semiconductor crystal
JP2725340B2 (en) 1989-01-25 1998-03-11 住友電気工業株式会社 Atomic layer epitaxial growth equipment for compound semiconductors
JPH02203517A (en) 1989-02-01 1990-08-13 Nec Corp Selective vapor-phase epitaxy of iii-v compound semiconductor
JPH02230722A (en) 1989-03-03 1990-09-13 Nec Corp Vapor growth method of compound semiconductor
JPH02230690A (en) 1989-03-03 1990-09-13 Fujitsu Ltd Thin film el panel
JPH02264491A (en) 1989-04-04 1990-10-29 Mitsubishi Electric Corp Manufacture of distributed feedback type semiconductor laser
JP2895909B2 (en) 1989-04-18 1999-05-31 東京エレクトロン株式会社 Plasma processing method
JPH02283084A (en) 1989-04-25 1990-11-20 Fujitsu Ltd Manufacture of semiconductor laser
JPH0719756B2 (en) 1989-04-26 1995-03-06 富士通株式会社 Method for forming compound semiconductor layer
JP2743471B2 (en) 1989-05-19 1998-04-22 日本電気株式会社 (III)-Vapor phase growth apparatus for Group V compound semiconductor
US5091217A (en) * 1989-05-22 1992-02-25 Advanced Semiconductor Materials, Inc. Method for processing wafers in a multi station common chamber reactor
JPH0319211A (en) 1989-06-15 1991-01-28 Fujitsu Ltd Chemical vapor deposition device
JPH0322569A (en) 1989-06-20 1991-01-30 Fujitsu Ltd Manufacture of quantum interference transistor
JPH0323294A (en) 1989-06-20 1991-01-31 Fujitsu Ltd Method for growing compound semiconductor crystal
JPH0323299A (en) 1989-06-20 1991-01-31 Fujitsu Ltd Growth method for compound semiconductor crystal
JP2789689B2 (en) 1989-07-12 1998-08-20 富士通株式会社 Method for manufacturing semiconductor device
JPH0370124A (en) 1989-08-09 1991-03-26 Fujitsu Ltd Manufacture of iii-v compound semiconductor device having method structure
JP2926798B2 (en) 1989-11-20 1999-07-28 国際電気株式会社 Continuous processing etching method and apparatus
EP0430274A3 (en) 1989-12-01 1993-03-24 Seiko Instruments Inc. Method of producing bipolar transistor
JPH03185716A (en) 1989-12-14 1991-08-13 Fujitsu Ltd Method of growing compound semiconductor crystal
JP2799755B2 (en) 1990-01-09 1998-09-21 ティーディーケイ株式会社 Method for depositing oxides at the atomic layer level by vapor phase epitaxy
FI84562C (en) 1990-01-16 1991-12-27 Neste Oy FARING EQUIPMENT FOR THE FRAME STATION OF HETEROGENE CATALYSTATORS.
US5013400A (en) * 1990-01-30 1991-05-07 General Signal Corporation Dry etch process for forming champagne profiles, and dry etch apparatus
JP2940051B2 (en) 1990-02-09 1999-08-25 富士通株式会社 Method of forming insulating thin film
DE4004276A1 (en) 1990-02-13 1991-08-14 Amazonen Werke Dreyer H SUPPORT ROLLER
JPH03286531A (en) 1990-04-02 1991-12-17 Kawasaki Steel Corp Formation of silicon oxide film
JPH03286522A (en) 1990-04-03 1991-12-17 Nec Corp Growth method of si crystal
JPH0431391A (en) 1990-05-23 1992-02-03 Nec Corp Epitaxial growth
JPH0431396A (en) 1990-05-24 1992-02-03 Fujitsu Ltd Growth of semiconductor crystal
JPH04212411A (en) 1990-06-19 1992-08-04 Nec Corp Epitaxial growth method
JPH04100292A (en) 1990-08-20 1992-04-02 Fujitsu Ltd Semiconductor laser
JPH0529228A (en) 1990-08-31 1993-02-05 Nippon Telegr & Teleph Corp <Ntt> Atomic layer crystal deposition method and device
JPH04111418A (en) 1990-08-31 1992-04-13 Nippon Telegr & Teleph Corp <Ntt> Crystal growth and crystal growth apparatus
JPH04132214A (en) 1990-09-25 1992-05-06 Nippon Telegr & Teleph Corp <Ntt> Manufacture of compound semiconductor thin film
JPH04132681A (en) 1990-09-26 1992-05-06 Sumitomo Electric Ind Ltd Device for epitaxial growth of compound semiconductor
JPH04151822A (en) 1990-10-15 1992-05-25 Toshiba Corp Vapor growth method for compound semiconductor organic metal
JPH04162418A (en) 1990-10-24 1992-06-05 Fujitsu Ltd Chemical vapor growth method
JPH04175299A (en) 1990-11-09 1992-06-23 Fujitsu Ltd Compound semiconductor crystal growth and compound semiconductor device
JPH04186824A (en) 1990-11-21 1992-07-03 Fujitsu Ltd Semiconductor substrate and manufacture thereof
US5178681A (en) 1991-01-29 1993-01-12 Applied Materials, Inc. Suspension system for semiconductor reactors
JPH04260696A (en) 1991-02-08 1992-09-16 Fujitsu Ltd Production of crystal of compound semiconductor
JP2998244B2 (en) 1991-02-27 2000-01-11 日本電気株式会社 Region-selective crystal growth method
JPH07109032B2 (en) 1991-03-15 1995-11-22 株式会社ライムズ Method of forming continuous thin film
JP2680202B2 (en) 1991-03-20 1997-11-19 国際電気株式会社 Vapor phase growth method and apparatus
JP3070124B2 (en) 1991-04-25 2000-07-24 三菱化学株式会社 Method for producing resin molded article provided with gas barrier properties
JP3043103B2 (en) 1991-04-26 2000-05-22 三洋電機株式会社 Crystal surface structure control method
JPH04328874A (en) 1991-04-27 1992-11-17 Sanyo Electric Co Ltd Electrostatic induction transistor and manufacture thereof
US5173327A (en) 1991-06-18 1992-12-22 Micron Technology, Inc. LPCVD process for depositing titanium films for semiconductor devices
ATE161021T1 (en) 1991-07-15 1997-12-15 Exxon Chemical Patents Inc LIVING CARBOCATIONIC POLYMERIZATION PROCESS
JP3048421B2 (en) 1991-07-23 2000-06-05 積水化学工業株式会社 Manufacturing method of injection molded products
JPH0547665A (en) 1991-08-12 1993-02-26 Fujitsu Ltd Vapor growth method
JP2828152B2 (en) 1991-08-13 1998-11-25 富士通 株式会社 Method of forming thin film, multilayer structure film, and method of forming silicon thin film transistor
JPH05251339A (en) 1991-08-14 1993-09-28 Fujitsu Ltd Semiconductor substrate and its manufacture
JPH0547668A (en) 1991-08-20 1993-02-26 Fujitsu Ltd Crystal growth method for compound semiconductor
JPH0574717A (en) 1991-09-11 1993-03-26 Fujitsu Ltd Compound semiconductor crystal growth method
JPH0574724A (en) 1991-09-12 1993-03-26 Toppan Printing Co Ltd Method for growth of atomic layer of aluminum compound
JPH05234899A (en) 1991-09-17 1993-09-10 Hitachi Ltd Atomic layer epitaxy apparatus
JP2544686Y2 (en) 1991-11-25 1997-08-20 株式会社ニフコ Fastener for linear objects
JPH05160152A (en) 1991-12-05 1993-06-25 Fujitsu Ltd Manufacture of film transistor
JPH05175145A (en) 1991-12-26 1993-07-13 Fujitsu Ltd Crystal growth method
JP3189061B2 (en) 1991-12-26 2001-07-16 富士通株式会社 Method for manufacturing compound semiconductor device
JPH05182906A (en) 1991-12-27 1993-07-23 Sumitomo Electric Ind Ltd Hetero epitaxially growing method
JPH05186295A (en) 1992-01-13 1993-07-27 Fujitsu Ltd Method for growing crystal
US5368062A (en) * 1992-01-29 1994-11-29 Kabushiki Kaisha Toshiba Gas supplying system and gas supplying apparatus
JP3126787B2 (en) 1992-01-30 2001-01-22 理化学研究所 Film forming method and film forming apparatus
JPH05235047A (en) 1992-02-19 1993-09-10 Nec Corp Manufacture of field-effect transistor
JP3103186B2 (en) 1992-03-19 2000-10-23 富士通株式会社 Atomic layer epitaxy apparatus and atomic layer epitaxy method
JPH05283336A (en) 1992-03-31 1993-10-29 Nec Corp Formation of compound semiconductor layer
JPH05291152A (en) 1992-04-15 1993-11-05 Hitachi Ltd X-ray analyzer and semiconductor manufacturing device using the same
JPH05304334A (en) 1992-04-28 1993-11-16 Nec Corp Fabrication of semiconductor laser
JPH05343685A (en) 1992-06-05 1993-12-24 Fujitsu Ltd Manufacture of silicon thin film transistor
JPH05343327A (en) 1992-06-12 1993-12-24 Fujitsu Ltd Film-forming method
US5356476A (en) * 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
US5273588A (en) * 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
FI91422C (en) 1992-06-18 1994-06-27 Mikrokemia Oy Process and apparatus for supplying liquid reagents to a chemical reactor
JP2646941B2 (en) 1992-07-02 1997-08-27 日新電機株式会社 Thin film formation method
JPH0645606A (en) 1992-07-22 1994-02-18 Fujitsu Ltd Manufacture of thin-film transistor matrix
JPH06244269A (en) * 1992-09-07 1994-09-02 Mitsubishi Electric Corp Semiconductor manufacturing apparatus, wafer vacuum chuck device thereof, and gas cleaning and nitride film formation therefor
JP3137767B2 (en) 1992-10-20 2001-02-26 富士通株式会社 Method for manufacturing semiconductor device
US5567267A (en) * 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
JPH06177349A (en) 1992-12-02 1994-06-24 Matsushita Electric Ind Co Ltd High density dram and manufacture thereof
JPH06177381A (en) 1992-12-03 1994-06-24 Fujitsu Ltd Matrix of thin film transistor and its manufacture
JP2726209B2 (en) 1992-12-22 1998-03-11 三菱電機株式会社 Semiconductor optical device and method of manufacturing the same
JPH06222388A (en) 1993-01-28 1994-08-12 Fujitsu Ltd Production of thin film transistor matrix
JPH06230421A (en) 1993-02-02 1994-08-19 Fujitsu Ltd Production of thin-film transistor matrix
JP3234025B2 (en) 1993-02-24 2001-12-04 日本インテック株式会社 Electrolyzed water generator
JPH06252057A (en) 1993-02-24 1994-09-09 Toshiba Corp Manufacture of semiconductor device
US5376166A (en) * 1993-08-16 1994-12-27 Lowndes Engineering Co., Inc. Apparatus and method for defusing and scrubbing air streams
JPH0770752A (en) 1993-09-01 1995-03-14 Kokusai Chodendo Sangyo Gijutsu Kenkyu Center Film forming method of oxide superconductor
JPH0786269A (en) 1993-09-10 1995-03-31 Fujitsu Ltd Alumina film formation and manufacture of thin film transistor using same
JP2889098B2 (en) * 1993-10-13 1999-05-10 株式会社本山製作所 Specific gas supply control device
US5551982A (en) * 1994-03-31 1996-09-03 Applied Materials, Inc. Semiconductor wafer process chamber with susceptor back coating
US6130147A (en) 1994-04-07 2000-10-10 Sdl, Inc. Methods for forming group III-V arsenide-nitride semiconductor materials
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5746875A (en) * 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
JPH08181076A (en) 1994-10-26 1996-07-12 Fuji Xerox Co Ltd Thin film forming method and device
US6158446A (en) 1994-11-14 2000-12-12 Fsi International Ultra-low particle semiconductor cleaner
US5480678A (en) * 1994-11-16 1996-01-02 The B. F. Goodrich Company Apparatus for use with CVI/CVD processes
FI100409B (en) * 1994-11-28 1997-11-28 Asm Int Method and apparatus for making thin films
FI97730C (en) 1994-11-28 1997-02-10 Mikrokemia Oy Equipment for the production of thin films
WO1996018756A1 (en) 1994-12-16 1996-06-20 Nkt Research Center A/S A PA-CVD PROCESS FOR DEPOSITION OF A SOLID METAL-CONTAINING FILM ONTO A SUBSTRATE CONTAINING AT LEAST 50 % of Fe or WC
JPH08245291A (en) 1995-03-10 1996-09-24 Sumitomo Electric Ind Ltd Method for growing iii-v compound semiconductor crystal
JPH08264530A (en) 1995-03-20 1996-10-11 Fujitsu Ltd Method and system for fabricating semiconductor device
JPH0922896A (en) 1995-07-07 1997-01-21 Toshiba Corp Method of selective forming of metal film
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
JP3023294U (en) 1995-09-28 1996-04-16 哲郎 桶家 Hot toilet seat
JP3023299B2 (en) 1995-10-31 2000-03-21 川崎製鉄株式会社 Nozzle processing method for metal ribbon production
US5672054A (en) 1995-12-07 1997-09-30 Carrier Corporation Rotary compressor with reduced lubrication sensitivity
US6084302A (en) 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
US5895530A (en) * 1996-02-26 1999-04-20 Applied Materials, Inc. Method and apparatus for directing fluid through a semiconductor processing chamber
JP3286522B2 (en) 1996-03-14 2002-05-27 日立ビアメカニクス株式会社 Printed circuit board processing equipment
JPH09260786A (en) 1996-03-22 1997-10-03 Hitachi Ltd Semiconductor light emitting element and its manufacture
FI107533B (en) 1996-04-03 2001-08-31 Fortum Oil & Gas Oy Functional surfaces for conducting chemical reactions and processes for their preparation
JP3231996B2 (en) 1996-04-26 2001-11-26 シャープ株式会社 Vapor phase growth equipment
US6313035B1 (en) 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US6062798A (en) 1996-06-13 2000-05-16 Brooks Automation, Inc. Multi-level substrate processing apparatus
JP3286531B2 (en) 1996-07-23 2002-05-27 三洋電機株式会社 Moving picture coding method and moving picture coding apparatus
US5830270A (en) 1996-08-05 1998-11-03 Lockheed Martin Energy Systems, Inc. CaTiO3 Interfacial template structure on semiconductor-based material and the growth of electroceramic thin-films in the perovskite class
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
KR100492026B1 (en) 1996-08-16 2005-05-31 포워드 테크놀러지 인더스트리즈 인코퍼레이티드 superheated vapor dryer device
JP2923753B2 (en) 1996-08-21 1999-07-26 工業技術院長 Method for forming group III atomic layer
KR100216542B1 (en) 1996-08-27 1999-08-16 정선종 Multi-target driving apparatus for pulse laser depositing system
FI100758B (en) 1996-09-11 1998-02-13 Planar Internat Oy Ltd Methods to Form a Luminescence Layer of ZnS: Mn for Thin Film Electroluminescence Components
JP3185716B2 (en) 1996-09-27 2001-07-11 日本電気株式会社 Demodulator
US5835677A (en) 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
US5923056A (en) 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US6071572A (en) 1996-10-15 2000-06-06 Applied Materials, Inc. Forming tin thin films using remote activated specie generation
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US6019848A (en) * 1996-11-13 2000-02-01 Applied Materials, Inc. Lid assembly for high temperature processing chamber
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
KR100265859B1 (en) 1996-12-21 2000-09-15 정선종 Luminous particle for field emission display
JPH10190128A (en) 1996-12-27 1998-07-21 Sony Corp Manufacture of semiconductor light emitting device
US6043177A (en) 1997-01-21 2000-03-28 University Technology Corporation Modification of zeolite or molecular sieve membranes using atomic layer controlled chemical vapor deposition
US6051286A (en) 1997-02-12 2000-04-18 Applied Materials, Inc. High temperature, high deposition rate process and apparatus for depositing titanium layers
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US5855675A (en) 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
JPH10308283A (en) 1997-03-04 1998-11-17 Denso Corp El element and its manufacture
US5866795A (en) 1997-03-17 1999-02-02 Applied Materials, Inc. Liquid flow rate estimation and verification by direct liquid measurement
JP3044967U (en) 1997-04-16 1998-01-23 乃武子 和田 Carpet lint removal
US5879461A (en) * 1997-04-21 1999-03-09 Brooks Automation, Inc. Metered gas control in a substrate processing apparatus
US6026762A (en) 1997-04-23 2000-02-22 Applied Materials, Inc. Apparatus for improved remote microwave plasma source for use with substrate processing systems
JPH10306377A (en) * 1997-05-02 1998-11-17 Tokyo Electron Ltd Method for supplying minute amount of gas and device therefor
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US5851849A (en) 1997-05-22 1998-12-22 Lucent Technologies Inc. Process for passivating semiconductor laser structures with severe steps in surface topography
US6140237A (en) 1997-06-16 2000-10-31 Chartered Semiconductor Manufacturing Ltd. Damascene process for forming coplanar top surface of copper connector isolated by barrier layers in an insulating layer
US6079426A (en) * 1997-07-02 2000-06-27 Applied Materials, Inc. Method and apparatus for determining the endpoint in a plasma cleaning process
FI972874A0 (en) * 1997-07-04 1997-07-04 Mikrokemia Oy Foerfarande och anordning Foer framstaellning av tunnfilmer
US5882413A (en) 1997-07-11 1999-03-16 Brooks Automation, Inc. Substrate processing apparatus having a substrate transport with a front end extension and an internal substrate buffer
US5968276A (en) * 1997-07-11 1999-10-19 Applied Materials, Inc. Heat exchange passage connection
US6073366A (en) * 1997-07-11 2000-06-13 Asm America, Inc. Substrate cooling system and method
US6068703A (en) * 1997-07-11 2000-05-30 Applied Materials, Inc. Gas mixing apparatus and method
US5904565A (en) 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
KR100385946B1 (en) 1999-12-08 2003-06-02 삼성전자주식회사 Method for forming a metal layer by an atomic layer deposition and a semiconductor device with the metal layer as a barrier metal layer, an upper electrode, or a lower electrode of capacitor
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (en) 1997-07-31 2000-10-16 윤종용 Integrate circuit device having buffer layer containing metal oxide stabilized by low temperature treatment and fabricating method thereof
US5904569A (en) 1997-09-03 1999-05-18 National Semiconductor Corporation Method for forming self-aligned vias in multi-metal integrated circuits
JP4048387B2 (en) 1997-09-10 2008-02-20 東京エレクトロン株式会社 Load lock mechanism and processing device
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
KR100274603B1 (en) 1997-10-01 2001-01-15 윤종용 Method and apparatus for fabricating semiconductor device
US6110556A (en) * 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
US6861356B2 (en) * 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
KR100252049B1 (en) 1997-11-18 2000-04-15 윤종용 The atomic layer deposition method for fabricating aluminum layer
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
FI104383B (en) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Procedure for coating the inside of a plant
KR100269328B1 (en) 1997-12-31 2000-10-16 윤종용 Method for forming conductive layer using atomic layer deposition process
TW439151B (en) 1997-12-31 2001-06-07 Samsung Electronics Co Ltd Method for forming conductive layer using atomic layer deposition process
KR100524204B1 (en) * 1998-01-07 2006-01-27 동경 엘렉트론 주식회사 Gas processor
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
KR19990074809A (en) 1998-03-14 1999-10-05 윤종용 Thin Film Manufacturing Method
US6117244A (en) 1998-03-24 2000-09-12 Applied Materials, Inc. Deposition resistant lining for CVD chamber
US6316098B1 (en) 1998-03-27 2001-11-13 Yissum Research Development Company Of The Hebrew University Of Jerusalem Molecular layer epitaxy method and compositions
JP4132214B2 (en) 1998-04-23 2008-08-13 ローランド株式会社 Electronic musical instrument display device
KR100282853B1 (en) 1998-05-18 2001-04-02 서성기 Apparatus for thin film deposition using cyclic gas injection
KR100267885B1 (en) 1998-05-18 2000-11-01 서성기 Deposition apparatus
JP5182906B2 (en) 1998-05-20 2013-04-17 中国塗料株式会社 Coating composition with easy coating film thickness adjustment and heavy anticorrosion coating film formed therefrom
US6025627A (en) 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
FI105313B (en) 1998-06-03 2000-07-14 Planar Systems Oy Process for the preparation of thin film electroluminescence structures
NL1009327C2 (en) 1998-06-05 1999-12-10 Asm Int Method and device for transferring wafers.
US6170492B1 (en) * 1998-06-15 2001-01-09 Applied Materials, Inc. Cleaning process end point determination using throttle valve position
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
JP3019211B2 (en) 1998-07-06 2000-03-13 株式会社曙川電機製作所 Press production method for starter rings
JP2000031387A (en) 1998-07-14 2000-01-28 Fuji Electric Co Ltd Manufacture of dielectric thin film capacitor
KR100275738B1 (en) 1998-08-07 2000-12-15 윤종용 Method for producing thin film using atomatic layer deposition
KR20000013654A (en) 1998-08-12 2000-03-06 윤종용 Capacitor having an al2o3/aln mixed dielectric layer by using an atomic layer deposition and a manufacturing method thereof
KR100327105B1 (en) 1998-08-14 2002-03-09 오길록 High luminance-phosphor and method for fabricating the same
US6291876B1 (en) 1998-08-20 2001-09-18 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with composite atomic barrier film and process for making same
WO2000011721A1 (en) 1998-08-20 2000-03-02 The Government Of The United States Of America, Represented By The Secretary Of The Navy Electronic devices with barrier film and process for making same
FI105643B (en) 1998-08-21 2000-09-15 Planar Systems Oy Thin-film electroluminescent device and method for its manufacture
KR20000022003A (en) 1998-09-10 2000-04-25 이경수 Method for forming three-components compound comprising metal and silicon
FI108375B (en) 1998-09-11 2002-01-15 Asm Microchemistry Oy Still for producing insulating oxide thin films
KR100273474B1 (en) 1998-09-14 2000-12-15 이경수 Gas supply apparatus of chemical vapor deposition apparatus
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US6176198B1 (en) * 1998-11-02 2001-01-23 Applied Materials, Inc. Apparatus and method for depositing low K dielectric materials
KR100331544B1 (en) * 1999-01-18 2002-04-06 윤종용 Method for introducing gases into a reactor chamber and a shower head used therein
JP2995300B1 (en) 1999-02-03 1999-12-27 工業技術院長 Surface improvement method for machine element parts
US6309465B1 (en) * 1999-02-18 2001-10-30 Aixtron Ag. CVD reactor
US6176930B1 (en) * 1999-03-04 2001-01-23 Applied Materials, Inc. Apparatus and method for controlling a flow of process material to a deposition chamber
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6958174B1 (en) * 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
US6156055A (en) 1999-03-23 2000-12-05 Nitinol Medical Technologies Inc. Gripping device for implanting, repositioning or extracting an object within a body vessel
KR100273473B1 (en) 1999-04-06 2000-11-15 이경수 Method for forming a thin film
KR100347379B1 (en) 1999-05-01 2002-08-07 주식회사 피케이엘 Atomic layer deposition apparatus for depositing multi substrate
FI118342B (en) 1999-05-10 2007-10-15 Asm Int Apparatus for making thin films
JP4291916B2 (en) 1999-05-24 2009-07-08 プレス工業株式会社 Toothed ring and method for forming convex teeth thereof
JP2000340883A (en) 1999-05-27 2000-12-08 Fujitsu Ltd Multiwavelength oscillating optical semiconductor device
US6153261A (en) * 1999-05-28 2000-11-28 Applied Materials, Inc. Dielectric film deposition employing a bistertiarybutylaminesilane precursor
US20020033183A1 (en) * 1999-05-29 2002-03-21 Sheng Sun Method and apparatus for enhanced chamber cleaning
JP3668079B2 (en) * 1999-05-31 2005-07-06 忠弘 大見 Plasma process equipment
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
JP2000353666A (en) 1999-06-11 2000-12-19 Matsushita Electric Ind Co Ltd Semiconductor thin film and manufacture thereof
JP4726369B2 (en) 1999-06-19 2011-07-20 エー・エス・エムジニテックコリア株式会社 Chemical vapor deposition reactor and thin film forming method using the same
US6071808A (en) 1999-06-23 2000-06-06 Lucent Technologies Inc. Method of passivating copper interconnects in a semiconductor
WO2000079019A1 (en) 1999-06-24 2000-12-28 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
US6812157B1 (en) * 1999-06-24 2004-11-02 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
KR100319494B1 (en) 1999-07-15 2002-01-09 김용일 Apparatus for Deposition of thin films on wafers through atomic layer epitaxial process
FI110311B (en) 1999-07-20 2002-12-31 Asm Microchemistry Oy Method and apparatus for eliminating substances from gases
US6984415B2 (en) 1999-08-20 2006-01-10 International Business Machines Corporation Delivery systems for gases for gases via the sublimation of solid precursors
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
DE10049257B4 (en) 1999-10-06 2015-05-13 Samsung Electronics Co., Ltd. Process for thin film production by means of atomic layer deposition
FI117942B (en) 1999-10-14 2007-04-30 Asm Int Process for making oxide thin films
AU1208201A (en) 1999-10-15 2001-04-30 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
WO2001029280A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Deposition of transition metal carbides
WO2001029891A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Conformal lining layers for damascene metallization
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
SG99871A1 (en) 1999-10-25 2003-11-27 Motorola Inc Method for fabricating a semiconductor structure including a metal oxide interface with silicon
KR20010047128A (en) 1999-11-18 2001-06-15 이경수 Method of vaporizing a liquid source and apparatus used therefor
CA2390465A1 (en) * 1999-11-22 2001-05-31 Human Genome Sciences, Inc. Kunitz-type protease inhibitor polynucleotides, polypeptides, and antibodies
JP3070124U (en) 1999-11-26 2000-07-18 株式会社ディスカバリー Jointless pavement composite
FI118804B (en) 1999-12-03 2008-03-31 Asm Int Process for making oxide films
KR100330749B1 (en) 1999-12-17 2002-04-03 서성기 Thin film deposition apparatus for semiconductor
FI118474B (en) 1999-12-28 2007-11-30 Asm Int Apparatus for making thin films
FI118343B (en) 1999-12-28 2007-10-15 Asm Int Apparatus for making thin films
JP4817210B2 (en) 2000-01-06 2011-11-16 東京エレクトロン株式会社 Film forming apparatus and film forming method
JP4776054B2 (en) 2000-02-04 2011-09-21 株式会社デンソー Thin film formation method by atomic layer growth
JP4362919B2 (en) 2000-02-04 2009-11-11 株式会社デンソー Deposition method by atomic layer epitaxial growth method
KR100378871B1 (en) 2000-02-16 2003-04-07 주식회사 아펙스 showerhead apparatus for radical assisted deposition
US6492283B2 (en) 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
AUPQ591800A0 (en) 2000-02-25 2000-03-23 Sola International Holdings Ltd System for prescribing and/or dispensing ophthalmic lenses
JP4211185B2 (en) 2000-02-29 2009-01-21 株式会社デンソー Glass substrate storage jig for CVD and ALE equipment
AU2001245388A1 (en) 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
JP4222707B2 (en) * 2000-03-24 2009-02-12 東京エレクトロン株式会社 Plasma processing apparatus and method, gas supply ring and dielectric
JP4556282B2 (en) 2000-03-31 2010-10-06 株式会社デンソー Organic EL device and method for manufacturing the same
TW576873B (en) 2000-04-14 2004-02-21 Asm Int Method of growing a thin film onto a substrate
FI117978B (en) 2000-04-14 2007-05-15 Asm Int Method and apparatus for constructing a thin film on a substrate
US7060132B2 (en) 2000-04-14 2006-06-13 Asm International N.V. Method and apparatus of growing a thin film
KR100363088B1 (en) 2000-04-20 2002-12-02 삼성전자 주식회사 Method of manufacturing barrier metal layer using atomic layer deposition method
FI118805B (en) 2000-05-15 2008-03-31 Asm Int A method and configuration for introducing a gas phase reactant into a reaction chamber
JP2001328900A (en) 2000-05-15 2001-11-27 Denso Corp Method for forming thin film
KR100427423B1 (en) 2000-05-25 2004-04-13 가부시키가이샤 고베 세이코쇼 Inner tube for cvd apparatus
US20020015855A1 (en) * 2000-06-16 2002-02-07 Talex Sajoto System and method for depositing high dielectric constant materials and compatible conductive materials
US6572706B1 (en) * 2000-06-19 2003-06-03 Simplus Systems Corporation Integrated precursor delivery system
KR100332314B1 (en) 2000-06-24 2002-04-12 서성기 Reactor for depositing thin film on wafer
KR100332313B1 (en) * 2000-06-24 2002-04-12 서성기 Apparatus and method for depositing thin film on wafer
JP4111418B2 (en) 2001-02-28 2008-07-02 ペンタックス株式会社 Calcium phosphate cement for living bone reinforcement treatment capable of forming a high-strength hardened body
FI20001694A0 (en) 2000-07-20 2000-07-20 Asm Microchemistry Oy A method for growing a thin film on a substrate
KR100444149B1 (en) 2000-07-22 2004-08-09 주식회사 아이피에스 ALD thin film depositin equipment cleaning method
US6302965B1 (en) 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
KR100436941B1 (en) 2000-11-07 2004-06-23 주성엔지니어링(주) apparatus and method for depositing thin film
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
WO2002045871A1 (en) 2000-12-06 2002-06-13 Angstron Systems, Inc. System and method for modulated ion-induced atomic layer deposition (mii-ald)
US6416822B1 (en) * 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6878402B2 (en) 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US20020076481A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US20020073924A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
JP3963078B2 (en) 2000-12-25 2007-08-22 株式会社高純度化学研究所 Tertiary amylimidotris (dimethylamido) tantalum, method for producing the same, raw material solution for MOCVD using the same, and method for forming a tantalum nitride film using the same
KR100434487B1 (en) 2001-01-17 2004-06-05 삼성전자주식회사 Shower head & film forming apparatus having the same
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6660126B2 (en) * 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20020121241A1 (en) 2001-03-02 2002-09-05 Nguyen Anh N. Processing chamber and method of distributing process fluids therein to facilitate sequential deposition of films
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US20020144655A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. Gas valve system for a reactor
US20020144657A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
US6656835B2 (en) * 2001-06-21 2003-12-02 Micron Technology, Inc. Process for low temperature atomic layer deposition of Rh
JP4680429B2 (en) 2001-06-26 2011-05-11 Okiセミコンダクタ株式会社 High speed reading control method in text-to-speech converter
TW539822B (en) 2001-07-03 2003-07-01 Asm Inc Source chemical container assembly
US20030198754A1 (en) 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
US7105444B2 (en) 2001-07-19 2006-09-12 Samsung Electronics Co., Ltd. Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same
US20030017697A1 (en) 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US7098131B2 (en) 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
EP1421606A4 (en) 2001-08-06 2008-03-05 Genitech Co Ltd Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US20030042630A1 (en) 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US6936906B2 (en) 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
TW512504B (en) 2001-10-12 2002-12-01 Advanced Semiconductor Eng Package substrate having protruded and recessed side edge
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
JP4151822B2 (en) 2002-01-15 2008-09-17 下関三井化学株式会社 Method for producing gypsum with reduced fluorine eluting
AU2003238853A1 (en) 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6866746B2 (en) 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US7063981B2 (en) 2002-01-30 2006-06-20 Asm International N.V. Active pulse monitoring in a chemical reactor
US6777352B2 (en) 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US20030216981A1 (en) 2002-03-12 2003-11-20 Michael Tillman Method and system for hosting centralized online point-of-sale activities for a plurality of distributed customers and vendors
JP4162418B2 (en) 2002-03-27 2008-10-08 株式会社栗本鐵工所 Ductile cast iron member and manufacturing method thereof
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US6778762B1 (en) 2002-04-17 2004-08-17 Novellus Systems, Inc. Sloped chamber top for substrate processing
US20030235961A1 (en) 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US20030213560A1 (en) 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
US20040129212A1 (en) * 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
KR100505043B1 (en) 2002-05-25 2005-07-29 삼성전자주식회사 Method for forming a capacitor
KR100476926B1 (en) 2002-07-02 2005-03-17 삼성전자주식회사 Method for forming dual gate of semiconductor device
US7081409B2 (en) 2002-07-17 2006-07-25 Samsung Electronics Co., Ltd. Methods of producing integrated circuit devices utilizing tantalum amine derivatives
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7066194B2 (en) 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US7300038B2 (en) 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6921062B2 (en) 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US6915592B2 (en) 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
JP4328874B2 (en) 2002-08-12 2009-09-09 株式会社ポーラファルマ 7-Substituted-8-nitroxanthine derivatives
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040065255A1 (en) 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US20040069227A1 (en) 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US6716287B1 (en) 2002-10-18 2004-04-06 Applied Materials Inc. Processing chamber with flow-restricting ring
EP1420080A3 (en) 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
KR100506005B1 (en) 2002-12-31 2005-08-04 엘지.필립스 엘시디 주식회사 flat panel display device
US6868859B2 (en) 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US6994319B2 (en) 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US6818094B2 (en) 2003-01-29 2004-11-16 Applied Materials, Inc. Reciprocating gas valve for pulsing a gas
JP4285167B2 (en) 2003-01-30 2009-06-24 タカタ株式会社 Side airbag device
JP4031391B2 (en) 2003-04-23 2008-01-09 矢崎化工株式会社 Handrail bracket
JP4212411B2 (en) 2003-05-20 2009-01-21 独立行政法人科学技術振興機構 Ruthenium trivalent ammine complex compound
JP4175299B2 (en) 2003-07-23 2008-11-05 セイコーエプソン株式会社 Color filter and display device
JP4100292B2 (en) 2003-08-07 2008-06-11 松下電器産業株式会社 rice cooker
US20050095859A1 (en) 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US20050104142A1 (en) 2003-11-13 2005-05-19 Vijav Narayanan CVD tantalum compounds for FET get electrodes
DE10360209A1 (en) 2003-12-20 2005-07-28 Robert Bosch Gmbh Diagnostic method for monitoring a plug connection
PT1704145E (en) 2004-01-12 2012-09-04 Ym Biosciences Australia Pty Selective kinase inhibitors
JP4186824B2 (en) 2004-01-20 2008-11-26 横河電機株式会社 Differential pressure measuring device
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP4260696B2 (en) 2004-06-29 2009-04-30 富士通マイクロエレクトロニクス株式会社 Solid-state imaging device, image sensor, image processing device, and imaging method
JP4325500B2 (en) 2004-07-23 2009-09-02 アイシン精機株式会社 Human body local cleaning equipment
DE102006014996A1 (en) 2006-03-31 2007-10-04 Robert Bosch Gmbh Method for operating an Otto engine with direct fuel injection comprises passing and leaving residual gas in the combustion chamber using an internal and external exhaust gas re-circulating unit
JP5074717B2 (en) 2006-07-06 2012-11-14 トヨタ自動車株式会社 Fuel injection control device for internal combustion engine
US20080063798A1 (en) * 2006-08-30 2008-03-13 Kher Shreyas S Precursors and hardware for cvd and ald
JP5074724B2 (en) 2006-08-31 2012-11-14 株式会社日本自動車部品総合研究所 Fuel cell
JP5047668B2 (en) 2006-09-29 2012-10-10 日本製紙株式会社 Paper container and manufacturing method thereof
JP5047665B2 (en) 2007-03-29 2012-10-10 アンリツ株式会社 Semiconductor light emitting device and manufacturing method thereof
JP5343327B2 (en) 2007-05-31 2013-11-13 株式会社オートネットワーク技術研究所 Method for producing flame retardant silane-crosslinked olefin resin, insulated wire and method for producing insulated wire
JP5160152B2 (en) 2007-06-28 2013-03-13 京セラ株式会社 Wireless terminal, information processing apparatus, information processing program, and information processing method
EP2034309B1 (en) 2007-08-24 2009-11-18 F.Hoffmann-La Roche Ag Analytic system and method for its operation
JP5029228B2 (en) 2007-08-30 2012-09-19 富士通株式会社 Memory position extraction apparatus and memory position extraction method
JP5234899B2 (en) 2007-09-04 2013-07-10 株式会社ミツバ Insulator for electric motor
JP5102189B2 (en) 2007-12-04 2012-12-19 エルジー・ケム・リミテッド Alkali-soluble binder resin, method for producing the same, and photosensitive resin composition containing the same
JP5206036B2 (en) 2008-03-11 2013-06-12 日本電気株式会社 Measuring system and buoy
CN101960564B (en) * 2008-03-17 2012-11-21 应用材料公司 Heated valve manifold for ampoule
JP5186295B2 (en) 2008-06-30 2013-04-17 富士フイルム株式会社 Imaging module, method for manufacturing the same, and endoscope apparatus
JP5175143B2 (en) 2008-07-30 2013-04-03 株式会社小松製作所 Duct structure of air conditioner for work vehicle
JP5251339B2 (en) 2008-07-30 2013-07-31 マツダ株式会社 Vehicle suspension subframe
JP5270997B2 (en) 2008-07-30 2013-08-21 株式会社豊田中央研究所 Group III nitride compound semiconductor substrate and manufacturing method thereof
JP5304334B2 (en) 2009-03-06 2013-10-02 三菱電機ビルテクノサービス株式会社 Safety confirmation device using elevator operation
JP5343685B2 (en) 2009-04-28 2013-11-13 株式会社ワコール Clothing with crotch
TWI407205B (en) 2010-07-30 2013-09-01 Young Lighting Technology Corp Light source assembly
US9241327B2 (en) 2012-01-23 2016-01-19 Intel Corporation LTE enhancements for small packet transmissions
US10316409B2 (en) * 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
JP6132236B2 (en) 2013-03-29 2017-05-24 パナソニックIpマネジメント株式会社 Lighting device
JP6196809B2 (en) 2013-05-22 2017-09-13 三星ディスプレイ株式會社Samsung Display Co.,Ltd. Pixel circuit and driving method thereof
JP6252057B2 (en) 2013-09-13 2017-12-27 株式会社リコー Piezoelectric actuator, droplet discharge head, liquid cartridge, ink jet recording apparatus, and method of manufacturing piezoelectric actuator
JP6230421B2 (en) 2014-01-09 2017-11-15 セイコーインスツル株式会社 Bearing device and information recording / reproducing device
WO2015198127A1 (en) 2014-06-24 2015-12-30 日立マクセル株式会社 Imaging processing device and imaging processing method
JP6224138B2 (en) 2016-01-20 2017-11-01 ソフトバンク株式会社 Program creation device and program
JP6177381B2 (en) 2016-05-10 2017-08-09 ヤンマー産業株式会社 nozzle
JP3208885U (en) 2016-11-29 2017-02-23 株式会社てるべる Detachable stray tag
JP6222388B1 (en) 2017-02-13 2017-11-01 富士ゼロックス株式会社 Light emitting component, print head, and image forming apparatus

Patent Citations (107)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3592575A (en) * 1969-07-25 1971-07-13 Forney International Burner nozzle tip
US4058430A (en) 1974-11-29 1977-11-15 Tuomo Suntola Method for producing compound thin films
US4413022A (en) 1979-02-28 1983-11-01 Canon Kabushiki Kaisha Method for performing growth of compound thin films
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4486487A (en) 1982-05-10 1984-12-04 Oy Lohja Ab Combination film, in particular for thin film electroluminescent structures
US5294286A (en) 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
US4806321A (en) 1984-07-26 1989-02-21 Research Development Corporation Of Japan Use of infrared radiation and an ellipsoidal reflection mirror
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
US4975252A (en) 1984-07-26 1990-12-04 Junichi Nishizawa Semiconductor crystal growth apparatus
US5443033A (en) 1984-07-26 1995-08-22 Research Development Corporation Of Japan Semiconductor crystal growth method
US5250148A (en) 1985-05-15 1993-10-05 Research Development Corporation Process for growing GaAs monocrystal film
US4829022A (en) 1985-12-09 1989-05-09 Nippon Telegraph And Telephone Corporation Method for forming thin films of compound semiconductors by flow rate modulation epitaxy
US4917556A (en) 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4761269A (en) * 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4838983A (en) 1986-07-03 1989-06-13 Emcore, Inc. Gas treatment apparatus and method
US4767494A (en) 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
US4960720A (en) 1986-08-26 1990-10-02 Masafumi Shimbo Method of growing compound semiconductor thin film using multichamber smoothing process
US4834831A (en) 1986-09-08 1989-05-30 Research Development Corporation Of Japan Method for growing single crystal thin films of element semiconductor
US5246536A (en) 1986-09-08 1993-09-21 Research Development Corporation Of Japan Method for growing single crystal thin films of element semiconductor
US4859625A (en) 1986-11-22 1989-08-22 Research Development Corporation of Japan, Junichi Nishizawa and Oki Electric Industry Co., Ltd. Method for epitaxial growth of compound semiconductor using MOCVD with molecular layer epitaxy
US4838993A (en) 1986-12-04 1989-06-13 Seiko Instruments Inc. Method of fabricating MOS field effect transistor
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4813846A (en) 1987-02-13 1989-03-21 Leybold-Heraeus Gmbh Inserting device for vacuum apparatus
US4845049A (en) 1987-03-27 1989-07-04 Nec Corporation Doping III-V compound semiconductor devices with group VI monolayers using ALE
US4861417A (en) 1987-03-27 1989-08-29 Fujitsu Limited Method of growing group III-V compound semiconductor epitaxial layer
US5348911A (en) 1987-06-30 1994-09-20 Aixtron Gmbh Material-saving process for fabricating mixed crystals
US5441703A (en) 1987-06-30 1995-08-15 Aixtron Gmbh Gas inlet for a plurality of reactant gases into reaction vessel
US4840921A (en) 1987-07-01 1989-06-20 Nec Corporation Process for the growth of III-V group compound semiconductor crystal on a Si substrate
US4859627A (en) 1987-07-01 1989-08-22 Nec Corporation Group VI doping of III-V semiconductors during ALE
US4876218A (en) 1987-09-29 1989-10-24 Oy Nokia Ab Method of growing GaAs films on Si or GaAs substrates using ale
US4993357A (en) 1987-12-23 1991-02-19 Cs Halbleiter -Und Solartechnologie Gmbh Apparatus for atomic layer epitaxial growth
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US5484664A (en) 1988-04-27 1996-01-16 Fujitsu Limited Hetero-epitaxially grown compound semiconductor substrate
US5130269A (en) 1988-04-27 1992-07-14 Fujitsu Limited Hetero-epitaxially grown compound semiconductor substrate and a method of growing the same
US5300186A (en) 1988-04-27 1994-04-05 Fujitsu Limited Hetero-epitaxially grown compound semiconductor substrate and a method of growing the same
US5261959A (en) 1988-05-26 1993-11-16 General Electric Company Diamond crystal growth apparatus
US5439876A (en) 1988-06-03 1995-08-08 International Business Machines Corporation Method of making artificial layered high Tc superconductors
US4927670A (en) 1988-06-22 1990-05-22 Georgia Tech Research Corporation Chemical vapor deposition of mixed metal oxide coatings
US5234561A (en) 1988-08-25 1993-08-10 Hauzer Industries Bv Physical vapor deposition dual coating process
US4931132A (en) 1988-10-07 1990-06-05 Bell Communications Research, Inc. Optical control of deposition of crystal monolayers
US5013683A (en) 1989-01-23 1991-05-07 The Regents Of The University Of California Method for growing tilted superlattices
US5374570A (en) 1989-03-17 1994-12-20 Fujitsu Limited Method of manufacturing active matrix display device using insulation layer formed by the ale method
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5527733A (en) 1989-07-27 1996-06-18 Seiko Instruments Inc. Impurity doping method with adsorbed diffusion source
US4993358A (en) * 1989-07-28 1991-02-19 Watkins-Johnson Company Chemical vapor deposition reactor and method of operation
US5028565A (en) 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
US5290748A (en) 1990-01-16 1994-03-01 Neste Oy Polymerization catalyst for olefines
US5338389A (en) 1990-01-19 1994-08-16 Research Development Corporation Of Japan Method of epitaxially growing compound crystal and doping method therein
US5296403A (en) 1990-01-31 1994-03-22 Research Development Corp. Of Japan Method of manufacturing a static induction field-effect transistor
US5316615A (en) 1990-03-23 1994-05-31 International Business Machines Corporation Surfactant-enhanced epitaxy
US5082798A (en) 1990-04-18 1992-01-21 Mitsubishi Denki Kabushiki Kaisha Crystal growth method
US5173474A (en) 1990-04-18 1992-12-22 Xerox Corporation Silicon substrate having an epitaxial superconducting layer thereon and method of making same
US5232164A (en) * 1990-05-09 1993-08-03 Resch D R Precisely adjustable atomizer
US5091320A (en) 1990-06-15 1992-02-25 Bell Communications Research, Inc. Ellipsometric control of material growth
US5281274A (en) 1990-06-22 1994-01-25 The United States Of America As Represented By The Secretary Of The Navy Atomic layer epitaxy (ALE) apparatus for growing thin films of elemental semiconductors
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5483919A (en) 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5205077A (en) 1990-08-31 1993-04-27 Peter Wolters Ag Apparatus for controlling operation of a lapping, honing or polishing machine
US5085885A (en) 1990-09-10 1992-02-04 University Of Delaware Plasma-induced, in-situ generation, transport and use or collection of reactive precursors
US5286296A (en) 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
US5705224A (en) 1991-03-20 1998-01-06 Kokusai Electric Co., Ltd. Vapor depositing method
US5259881A (en) 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
US5270247A (en) 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
US5637530A (en) 1991-09-09 1997-06-10 U.S. Philips Corporation II-VI compound semiconductor epitaxial layers having low defects, method for producing and devices utilizing same
US5311055A (en) 1991-11-22 1994-05-10 The United States Of America As Represented By The Secretary Of The Navy Trenched bipolar transistor structures
US5254207A (en) 1991-11-30 1993-10-19 Research Development Corporation Of Japan Method of epitaxially growing semiconductor crystal using light as a detector
US5336324A (en) 1991-12-04 1994-08-09 Emcore Corporation Apparatus for depositing a coating on a substrate
US5580380A (en) 1991-12-20 1996-12-03 North Carolina State University Method for forming a diamond coated field emitter and device produced thereby
US5256244A (en) 1992-02-10 1993-10-26 General Electric Company Production of diffuse reflective coatings by atomic layer epitaxy
US5480818A (en) 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5458084A (en) 1992-04-16 1995-10-17 Moxtek, Inc. X-ray wave diffraction optics constructed by atomic layer epitaxy
US5395791A (en) 1992-05-22 1995-03-07 Minnesota Mining And Manufacturing Company Growth of II VI laser diodes with quantum wells by atomic layer epitaxy and migration enhanced epitaxy
US5278435A (en) 1992-06-08 1994-01-11 Apa Optics, Inc. High responsivity ultraviolet gallium nitride detector
US5316793A (en) 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5469806A (en) 1992-08-21 1995-11-28 Nec Corporation Method for epitaxial growth of semiconductor crystal by using halogenide
US5338362A (en) 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
US5601651A (en) 1992-09-17 1997-02-11 Fujitsu Limited Flow control valve for use in fabrication of semiconductor devices
US5423936A (en) * 1992-10-19 1995-06-13 Hitachi, Ltd. Plasma etching system
US5532511A (en) 1992-10-23 1996-07-02 Research Development Corp. Of Japan Semiconductor device comprising a highspeed static induction transistor
US5455072A (en) 1992-11-18 1995-10-03 Bension; Rouvain M. Initiation and bonding of diamond and other thin films
US5674786A (en) 1993-01-28 1997-10-07 Applied Materials, Inc. Method of heating and cooling large area glass substrates
US5503875A (en) 1993-03-18 1996-04-02 Tokyo Electron Limited Film forming method wherein a partial pressure of a reaction byproduct in a processing container is reduced temporarily
US5438952A (en) 1993-03-24 1995-08-08 Fujitsu Limited Method of growing a semiconductor layer and a fabrication method of a semiconductor device using such a semiconductor layer
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US5330610A (en) 1993-05-28 1994-07-19 Martin Marietta Energy Systems, Inc. Method of digital epilaxy by externally controlled closed-loop feedback
US5540783A (en) 1993-05-28 1996-07-30 Martin Marietta Energy Systems, Inc. Apparatus for externally controlled closed-loop feedback digital epitaxy
US5521126A (en) 1993-06-25 1996-05-28 Nec Corporation Method of fabricating semiconductor devices
US5730802A (en) 1994-05-20 1998-03-24 Sharp Kabushiki Kaisha Vapor growth apparatus and vapor growth method capable of growing good productivity
US5749974A (en) 1994-07-15 1998-05-12 Shin-Etsu Handotai Co., Ltd. Method of chemical vapor deposition and reactor therefor
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
US5500256A (en) * 1994-08-16 1996-03-19 Fujitsu Limited Dry process apparatus using plural kinds of gas
US5695564A (en) 1994-08-19 1997-12-09 Tokyo Electron Limited Semiconductor processing system
US5707880A (en) 1994-08-19 1998-01-13 General Electric Company Hermetically sealed radiation imager
US5641984A (en) 1994-08-19 1997-06-24 General Electric Company Hermetically sealed radiation imager
US5730801A (en) 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
US5644128A (en) 1994-08-25 1997-07-01 Ionwerks Fast timing position sensitive detector
US5616181A (en) 1994-11-24 1997-04-01 Mitsubishi Denki Kabushiki Kaisha MBE apparatus and gas branch piping apparatus
US5711811A (en) 1994-11-28 1998-01-27 Mikrokemia Oy Method and equipment for growing thin films
US5609689A (en) 1995-06-09 1997-03-11 Tokyo Electron Limited Vacuum process apparaus
US5788447A (en) 1995-08-05 1998-08-04 Kokusai Electric Co., Ltd. Substrate processing apparatus
US5667592A (en) 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
US5788799A (en) 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
US5976261A (en) * 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
US5747113A (en) 1996-07-29 1998-05-05 Tsai; Charles Su-Chang Method of chemical vapor deposition for producing layer variation by planetary susceptor rotation
US5801634A (en) 1997-09-08 1998-09-01 Sony Corporation Signal tower controller
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity

Non-Patent Citations (44)

* Cited by examiner, † Cited by third party
Title
"Applications of Integrated processing", Solid State Technology, US, Cowan Pub., vol. 37, No. 12 (Dec. 1, 1994), pp. 45-47.
"Cluster Tools for Fabrication of Advanced devices" Jap. J. of Applied Physics, Extended Abstracts, 22<nd >Conference Solid State Devices and Materials (1990), pp. 849-852 XP000178141 (article on order-to be provided).
Abstracts of articles re atomic layer deposition and atomic layer nucleation.
Abstracts of articles re atomic layer deposition and semiconductors and copper.
Abstracts of articles re atomic layer deposition.
Abstracts of articles-atomic layer deposition.
Abstracts of search results re atomic layer deposition, search dated Jan. 24, 2002.
Bader, et al., "Integrated Processing Equipment", Solid State Technology, Cowan Pub., vol. 33, No. 5 (May 1, 1990), pp. 149-154.
Bedair, "Atomic layer epitaxy deposition processes", J. Vac. Sci. Technol. 12(1) (Jan./Feb. 1994).
Choi, et al., "Stability of TiB2 as a Diffusion Barrier on Silicon", J. Electrochem. Soc. 138(10) (Oct. 1991), pp. 3062-3067.
Choi, et al., "The effect of annealing on resistivity of low pressure chemical vapor depositied titanium diboride", J. Appl. Phys. 69(11) (Jun. 1, 1991), pp. 7853-7861.
Elers, et al., "NbC15 as a precursor in atomic layer epitaxy",Appl. Surf. Sci., vol. 82/83 (1994), pp. 468-474.
George, et al., "Atomic layer controlled deposition of SiO2 and Al2O3 using ABAB . . . binary reaction sequence chemistry", Appl. Surf. Sci., vol. 82/83 (1994), pp. 460-467.
George, et al., "Surface Chemistry for Atomic Layer Growth", J. Phys. Chem., vol. 100 (1996), pp. 13121-13131.
Hultman, et al., "Review of the thermal and mechanical stability of TiN-based thin films", Zeitschrift Fur Metallkunde, 90(10)(Oct. 1999), pp. 803-813.
IBM Tech. Disc. Bull. "Multiprocessor and Multitasking Architecture for Tool Control of the Advanced via Inspection Tools" (May 1992), pp. 190-191.
IBM Tech. Disc. Bull. Knowledge-Based Dynamic Scheduler in Distributed Computer Control, (Jun. 1990), pp. 80-84.
Kitigawa, et al., "Hydrogen-mediated low temperature epitaxy of Si in plasma-enhanced chemical vapor deposition", Applied Surface Science (2000), pp. 30-34 (article on order-to be provided).
Klaus, et al., "Atomic Layer Deposition of SiO2 Using Catalyzed and Uncatalyzed Self-Limiting Surface Reactions", Surface Review & Letters, 6(3&4)(1999), pp. 435-448.
Klaus, et al., "Atomically controlled growth of tungsten and tungsten nitride using sequential surface reactions", Fifth Int'l Symp. On Atomically Controlled Surfaces, Interfaces and Nanostructures (Jul. 6-9, 1999), Aix en Provence, France.
Klaus, et al., "Atomically controlled growth of tungsten and tungsten nitride using sequential surface reactions". Appl. Surf. Sci., vol. 162-163 (Jul. 1999), pp. 479-491.
Lee, "The Preparation of Titanium-Based Thin Film by CVD Using Titanium Chlorides as precursors", Chemical Vapor Deposition, 5(2) (Mar. 1999), pp. 69-73.
Lee, et al., "Pulsed nucleation for ultra-high aspect ratio tungsten plugfill", Novellus Systems, Inc. (2001), pp. 1-2 (Article on Order-To be Provided).
Maertensson, et al., "Atomic Layer Epitaxy of Copper on Tantalum", Chemical Vapor Deposition, 3(1) (Feb. 1, 1997), pp. 45-50.
Martensson, et al., "Atomic Layer Epitaxy of Copper, Growth & Selectivity in the Cu (II)-2,2.6,6-Tetramethyl-3, 5-Heptanedion ATE/H2 Process", J. Electrochem. Soc.,145(8) (Aug. 1998), pp. 2926-2931.
McGeachin, S., "Synthesis and properties of some beta-diketimines derived from acetylacetone, and their metal complexes", Canadian J. of Chemistry, vol. 46 (1968), pp. 1903-1912.
Min, et al., "Atomic layer deposition of TiN thin films by sequential introduction of Ti precursor and NH/sub3/", Symp.: Advanced Interconnects and Contact Materials and Processes for Future Integrated Circuits (Apr. 13-16, 1998), pp. 337-342.
Min, et al., "Metal-Organic Atomic-Layer Deposition of Titanium-Silicon-Nitride Films", Applied Physics Letters, American Inst. Of Physics, vol. 75(11) (Sep. 13, 1999).
Min, et al.,"Chemical Vapor Deposition of Ti-Si-N Films with Alternating Source Supply", Mat., Res. Soc. Symp. Proc., vol. 564 (Apr. 5, 1999), pp. 207-210.
NERAC Search abstract of "Atomic Layer deposition of Ta and Ti for Interconnect Diffusion Barriers", by Rossnagel, et al., J. Vac. Sci. & Tech., 18(4) (Jul. 2000).
NERAC Search-Atomic Layer Deposition, search dated Oct. 16, 2001.
NERAC.COM Retro Search: Atomic Layer Deposition / Epitaxy Aluminum Oxide Plasma, dated Oct. 2, 2001.
NERAC.COM Retro Search: Atomic Layer Deposition of Copper, dated Oct. 11, 2001.
Niinisto, et al., "Synthesis of oxide thin films and overlayers by atomic layer epitaxy for advanced applications", Mat. Sci & Eng., vol. B41 (1996), pp. 23-29.
Ohba, et al., "Thermal Decomposition of Methylhydrazine and Deposition Properties of CVD TiN Thin Films", Conference Proceedings, Advanced Metallization for ULSI Applications in 1993 (1994), pp. 143-149.
Ritala, et al., "Atomic Layer Epitaxy Growth of TiN Thin Films", J. Electrochem. Soc., 142(8) (Aug. 1995), pp. 2731-2737.
Ritala, et al.,"Perfectly conformal TiN and Al2O3 films deposited by atomic layer deposition", Chemical Vapor Deposition, vol. 5(1) (Jan. 1999), pp. 7-9.
Scheper, et al., "Low-temperature deposition of titanium nitride films from dialkylhydrazine-based precursors", Materials Science in Semiconductor Processing 2 (1999), pp. 149-157.
Solanki, et al., "Atomic Layer deposition of Copper Seed Layers", Electrochemical and Solid State Letters, 3(10) (2000), pp. 479-480.
Suzuki, et al., "A 0.2-mum contact filing by 450° C-hydrazine-reduced TiN film with low resistivity", IEDM 92-979, pp. 11.8.1-11.8.3.
Suzuki, et al., "LPCVD-TiN Using Hydrazine and TiCl4", VMIC Conference (Jun. 8-9, 1993), pp. 418-423.
Wise, et al., "Diethyldiethoxysilane as a new precursor for SiO2 growth on silicon", Mat. Res. Soc. Symp. Proc., vol. 334 (1994), pp. 37-43.
Yamaga, et al., "Atomic layer epitaxy of ZnS by a new gas supplying system in a low-pressure metalorganic vapor phase epitaxy", J. of Crystal Growth 117 (1992), pp. 152-155.
Yamaguchi, et al., "Atomic-layer chemical-vapor-deposition of silicon dioxide films with extremely low hydrogen content", Appl. Surf. Sci., vol. 130-132 (1998) , pp. 202-207.

Cited By (590)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7781326B2 (en) * 2001-02-02 2010-08-24 Applied Materials, Inc. Formation of a tantalum-nitride layer
US20060030148A1 (en) * 2001-02-02 2006-02-09 Applied Materials, Inc. Formation of a tantalum-nitride layer
US9587310B2 (en) 2001-03-02 2017-03-07 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20110114020A1 (en) * 2001-07-16 2011-05-19 Gwo-Chuan Tzu Lid assembly for a processing system to facilitate sequential deposition techniques
US10280509B2 (en) * 2001-07-16 2019-05-07 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US7905959B2 (en) * 2001-07-16 2011-03-15 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US8668776B2 (en) 2001-10-26 2014-03-11 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780788B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US20030119328A1 (en) * 2001-12-26 2003-06-26 Tokyo Electron Limited Plasma processing apparatus, and cleaning method therefor
US7867896B2 (en) 2002-03-04 2011-01-11 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7678194B2 (en) 2002-07-17 2010-03-16 Applied Materials, Inc. Method for providing gas to a processing chamber
US8070879B2 (en) 2002-11-14 2011-12-06 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US20090308318A1 (en) * 2002-11-14 2009-12-17 Ling Chen Apparatus and method for hybrid chemical processing
US20050014382A1 (en) * 2003-07-16 2005-01-20 Samsung Electronics Co., Ltd. Etching apparatus and method
US20080044573A1 (en) * 2003-11-03 2008-02-21 Applied Materials, Inc. Rate control process for a precursor delivery system
US10593539B2 (en) 2004-02-26 2020-03-17 Applied Materials, Inc. Support assembly
US20080160210A1 (en) * 2004-02-26 2008-07-03 Haichun Yang Passivation layer formation by plasma clean process to reduce native oxide growth
US20090095621A1 (en) * 2004-02-26 2009-04-16 Chien-Teh Kao Support assembly
US8343307B2 (en) 2004-02-26 2013-01-01 Applied Materials, Inc. Showerhead assembly
US7767024B2 (en) 2004-02-26 2010-08-03 Appplied Materials, Inc. Method for front end of line fabrication
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20080268645A1 (en) * 2004-02-26 2008-10-30 Chien-Teh Kao Method for front end of line fabrication
US7794544B2 (en) 2004-05-12 2010-09-14 Applied Materials, Inc. Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8282992B2 (en) 2004-05-12 2012-10-09 Applied Materials, Inc. Methods for atomic layer deposition of hafnium-containing high-K dielectric materials
US8343279B2 (en) 2004-05-12 2013-01-01 Applied Materials, Inc. Apparatuses for atomic layer deposition
US20060130971A1 (en) * 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
US7850779B2 (en) 2005-11-04 2010-12-14 Applied Materisals, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7682946B2 (en) 2005-11-04 2010-03-23 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US9032906B2 (en) 2005-11-04 2015-05-19 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US9157151B2 (en) 2006-06-05 2015-10-13 Applied Materials, Inc. Elimination of first wafer effect for PECVD films
US20070281083A1 (en) * 2006-06-05 2007-12-06 Annamalai Lakshmanan Elimination of first wafer effect for pecvd films
US20080050932A1 (en) * 2006-08-23 2008-02-28 Applied Materials, Inc. Overall defect reduction for PECVD films
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US20080099933A1 (en) * 2006-10-31 2008-05-01 Choi Kenric T Ampoule for liquid draw and vapor draw with a continous level sensor
US20080202425A1 (en) * 2007-01-29 2008-08-28 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US8821637B2 (en) 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US20090218043A1 (en) * 2008-02-28 2009-09-03 Ajit Balakrishna Gas flow equalizer plate suitable for use in a substrate process chamber
US8075728B2 (en) 2008-02-28 2011-12-13 Applied Materials, Inc. Gas flow equalizer plate suitable for use in a substrate process chamber
US8747556B2 (en) 2008-07-03 2014-06-10 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8293015B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
EP2913842A2 (en) 2008-07-03 2015-09-02 Applied Materials, Inc. Apparatuses for atomic layer deposition
US8291857B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US20100003406A1 (en) * 2008-07-03 2010-01-07 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US8071478B2 (en) 2008-12-31 2011-12-06 Applied Materials, Inc. Method of depositing tungsten film with reduced resistivity and improved surface morphology
US20100167527A1 (en) * 2008-12-31 2010-07-01 Applied Materials, Inc. Method of depositing tungsten film with reduced resistivity and improved surface morphology
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9754800B2 (en) 2010-05-27 2017-09-05 Applied Materials, Inc. Selective etch for silicon films
US20120009347A1 (en) * 2010-07-07 2012-01-12 Applied Materials, Inc. Precise temperature control for teos application by heat transfer fluid
US9040127B2 (en) 2010-09-24 2015-05-26 Applied Materials, Inc. Low temperature silicon carbide deposition process
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US8524600B2 (en) 2011-03-31 2013-09-03 Applied Materials, Inc. Post deposition treatments for CVD cobalt films
US8765601B2 (en) 2011-03-31 2014-07-01 Applied Materials, Inc. Post deposition treatments for CVD cobalt films
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US9236266B2 (en) 2011-08-01 2016-01-12 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US9012302B2 (en) 2011-09-26 2015-04-21 Applied Materials, Inc. Intrench profile
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9418858B2 (en) 2011-10-07 2016-08-16 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US8617985B2 (en) 2011-10-28 2013-12-31 Applied Materials, Inc. High temperature tungsten metallization process
US8835311B2 (en) 2011-10-28 2014-09-16 Applied Materials, Inc. High temperature tungsten metallization process
US8975152B2 (en) 2011-11-08 2015-03-10 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9048294B2 (en) 2012-04-13 2015-06-02 Applied Materials, Inc. Methods for depositing manganese and manganese nitrides
WO2013155436A1 (en) 2012-04-13 2013-10-17 Applied Materials, Inc. Methods for depositing manganese and manganese nitrides
US9076661B2 (en) 2012-04-13 2015-07-07 Applied Materials, Inc. Methods for manganese nitride integration
US9269574B2 (en) 2012-04-25 2016-02-23 Applied Materials, Inc. Methods of fabricating dielectric films from metal amidinate precursors
US10233541B2 (en) 2012-06-29 2019-03-19 Applied Materials, Inc. Deposition of films containing alkaline earth metals
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9887096B2 (en) 2012-09-17 2018-02-06 Applied Materials, Inc. Differential silicon oxide etch
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9437451B2 (en) 2012-09-18 2016-09-06 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9384997B2 (en) 2012-11-20 2016-07-05 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9412608B2 (en) 2012-11-30 2016-08-09 Applied Materials, Inc. Dry-etch for selective tungsten removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US9355863B2 (en) 2012-12-18 2016-05-31 Applied Materials, Inc. Non-local plasma oxide etch
US9449845B2 (en) 2012-12-21 2016-09-20 Applied Materials, Inc. Selective titanium nitride etching
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9659814B2 (en) 2013-02-01 2017-05-23 Applied Materials, Inc. Doping control of metal nitride films
US10910263B2 (en) 2013-02-01 2021-02-02 Applied Materials, Inc. Doping control of metal nitride films
US10431493B2 (en) 2013-02-01 2019-10-01 Applied Materials, Inc. Doping control of metal nitride films
US11587829B2 (en) 2013-02-01 2023-02-21 Applied Materials, Inc. Doping control of metal nitride films
US10008412B2 (en) 2013-02-01 2018-06-26 Applied Materials, Inc. Doping control of metal nitride films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9005704B2 (en) 2013-03-06 2015-04-14 Applied Materials, Inc. Methods for depositing films comprising cobalt and cobalt nitrides
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US9093390B2 (en) 2013-03-07 2015-07-28 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9449850B2 (en) 2013-03-15 2016-09-20 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9184055B2 (en) 2013-03-15 2015-11-10 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9659792B2 (en) 2013-03-15 2017-05-23 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9023732B2 (en) 2013-03-15 2015-05-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9991134B2 (en) 2013-03-15 2018-06-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9704723B2 (en) 2013-03-15 2017-07-11 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9153442B2 (en) 2013-03-15 2015-10-06 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9093371B2 (en) 2013-03-15 2015-07-28 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9748105B2 (en) 2013-08-16 2017-08-29 Applied Materials, Inc. Tungsten deposition with tungsten hexafluoride (WF6) etchback
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9209012B2 (en) 2013-09-16 2015-12-08 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9472417B2 (en) 2013-11-12 2016-10-18 Applied Materials, Inc. Plasma-free metal etch
US9711366B2 (en) 2013-11-12 2017-07-18 Applied Materials, Inc. Selective etch for metal-containing materials
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9299582B2 (en) 2013-11-12 2016-03-29 Applied Materials, Inc. Selective etch for metal-containing materials
US9472412B2 (en) 2013-12-02 2016-10-18 Applied Materials, Inc. Procedure for etch rate consistency
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US20150240359A1 (en) * 2014-02-25 2015-08-27 Asm Ip Holding B.V. Gas Supply Manifold And Method Of Supplying Gases To Chamber Using Same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9837249B2 (en) 2014-03-20 2017-12-05 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9564296B2 (en) 2014-03-20 2017-02-07 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9773695B2 (en) 2014-07-31 2017-09-26 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9478432B2 (en) 2014-09-25 2016-10-25 Applied Materials, Inc. Silicon oxide selective removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9837284B2 (en) 2014-09-25 2017-12-05 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11124874B2 (en) 2018-10-25 2021-09-21 Applied Materials, Inc. Methods for depositing metallic iridium and iridium silicide
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US20220189793A1 (en) * 2020-12-14 2022-06-16 Applied Materials, Inc. Gas delivery system for a shared gas delivery architecture
US11881416B2 (en) * 2020-12-14 2024-01-23 Applied Materials, Inc. Gas delivery system for a shared gas delivery architecture
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230124246A1 (en) * 2021-10-19 2023-04-20 Applied Materials, Inc. Manifold for equal splitting and common divert architecture

Also Published As

Publication number Publication date
US20050115675A1 (en) 2005-06-02
US20110114020A1 (en) 2011-05-19
US10280509B2 (en) 2019-05-07
US20170241020A1 (en) 2017-08-24
US20140190411A1 (en) 2014-07-10
US9587310B2 (en) 2017-03-07
US20030010451A1 (en) 2003-01-16
US7905959B2 (en) 2011-03-15

Similar Documents

Publication Publication Date Title
US10280509B2 (en) Lid assembly for a processing system to facilitate sequential deposition techniques
US6926775B2 (en) Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6866746B2 (en) Clamshell and small volume chamber with fixed substrate support
US6660126B2 (en) Lid assembly for a processing system to facilitate sequential deposition techniques
US7780785B2 (en) Gas delivery apparatus for atomic layer deposition
US20030198754A1 (en) Aluminum oxide chamber and process
EP1444380B1 (en) Gas delivery apparatus for atomic layer deposition
US6884296B2 (en) Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
TWI391997B (en) Temperature controlled multi-gas distribution assembly
JP5889806B2 (en) Atomic layer deposition chamber with dual injection
JP4629110B2 (en) Thin film deposition apparatus and method
US20030116087A1 (en) Chamber hardware design for titanium nitride atomic layer deposition
KR20170140282A (en) Atomic layer deposition chamber with funnel-shaped gas distribution channel and gas distribution plate
US7588804B2 (en) Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US7282239B2 (en) Systems and methods for depositing material onto microfeature workpieces in reaction chambers
JP7401560B2 (en) Heat treatment chamber lid with backside pumping
KR20200071390A (en) Substrate processing apparatus and substrate processing method using the same
US11932939B2 (en) Lids and lid assembly kits for atomic layer deposition chambers
KR20040058242A (en) Gas delivery apparatus for atomic layer deposition
KR102482464B1 (en) Atomic layer deposition apparatus
JP2024037816A (en) Heat treatment chamber lid with backside pumping
CN117352444A (en) Spindle and lifter pin drive assembly with cleaning mechanism

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:TZU, GWO-CHUAN;UMOTOY, SALVADOR P.;REEL/FRAME:012386/0427

Effective date: 20011212

STCF Information on status: patent grant

Free format text: PATENTED CASE

CC Certificate of correction
FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12