US6871558B2 - Method for determining characteristics of substrate employing fluid geometries - Google Patents

Method for determining characteristics of substrate employing fluid geometries Download PDF

Info

Publication number
US6871558B2
US6871558B2 US10/318,365 US31836502A US6871558B2 US 6871558 B2 US6871558 B2 US 6871558B2 US 31836502 A US31836502 A US 31836502A US 6871558 B2 US6871558 B2 US 6871558B2
Authority
US
United States
Prior art keywords
fluid
volume
substrate
determining
recited
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US10/318,365
Other versions
US20040112153A1 (en
Inventor
Byung J. Choi
Sidlgata V. Sreenivasan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Nanotechnologies Inc
Original Assignee
Molecular Imprints Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Molecular Imprints Inc filed Critical Molecular Imprints Inc
Priority to US10/318,365 priority Critical patent/US6871558B2/en
Assigned to VENTURE LENDING & LEASING III, INC. reassignment VENTURE LENDING & LEASING III, INC. SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MOLECULAR IMPRINTS, INC.
Assigned to MOLECULAR IMPRINTS, INC. reassignment MOLECULAR IMPRINTS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHOI, BYUNG J., SREENIVASAN, SIDLGATA V.
Priority to JP2004560779A priority patent/JP4563182B2/en
Priority to AU2003302248A priority patent/AU2003302248A1/en
Priority to CN200380108949.3A priority patent/CN100485350C/en
Priority to PCT/US2003/039449 priority patent/WO2004055594A2/en
Priority to KR1020057010814A priority patent/KR101141560B1/en
Priority to EP11187815.3A priority patent/EP2418544B1/en
Priority to EP03810066A priority patent/EP1570249B1/en
Priority to US10/863,800 priority patent/US7036389B2/en
Publication of US20040112153A1 publication Critical patent/US20040112153A1/en
Priority to US10/923,628 priority patent/US6990870B2/en
Assigned to VENTURE LENDING & LEASING IV, INC. reassignment VENTURE LENDING & LEASING IV, INC. SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MOLECULAR IMPRINTS, INC.
Publication of US6871558B2 publication Critical patent/US6871558B2/en
Application granted granted Critical
Assigned to MOLECULAR IMPRINTS, INC. reassignment MOLECULAR IMPRINTS, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: VENTURE LENDING & LEASING IV, INC.
Assigned to MOLECULAR IMPRINTS, INC. reassignment MOLECULAR IMPRINTS, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: VENTURE LENDING & LEASING III, INC.
Assigned to CANON INC. reassignment CANON INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MOLECULAR IMPRINTS, INC.
Assigned to CANON INC. reassignment CANON INC. CORRECTIVE ASSIGNMENT TO CORRECT THE NATURE OF CONVEYANCE FROM AN "ASSIGNMENT" TO "SECURITY AGREEMENT" PREVIOUSLY RECORDED ON REEL 026842 FRAME 0929. ASSIGNOR(S) HEREBY CONFIRMS THE THE ORIGINAL DOCUMENT SUBMITTED WAS A "SECURITY AGREEMENT". Assignors: MOLECULAR IMPRINTS, INC.
Assigned to CANON INC. reassignment CANON INC. RELEASE OF SECURITY INTEREST Assignors: MOLECULAR IMPRINTS, INC.
Assigned to MOLECULAR IMPRINTS, INC. reassignment MOLECULAR IMPRINTS, INC. CORRECTIVE ASSIGNMENT TO CORRECT THE ASSIGNOR AND ASSIGNEE PREVIOUSLY RECORDED ON REEL 033161 FRAME 0705. ASSIGNOR(S) HEREBY CONFIRMS THE ASSIGNMENT. Assignors: CANON INC.
Assigned to MII NEWCO, INC. reassignment MII NEWCO, INC. ASSIGNMENT OF JOINT OWNERSHIP Assignors: MOLECULAR IMPRINTS, INC.
Assigned to CANON NANOTECHNOLOGIES, INC. reassignment CANON NANOTECHNOLOGIES, INC. CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: MOLECULAR IMPRINTS, INC.
Assigned to MOLECULAR IMPRINTS, INC. reassignment MOLECULAR IMPRINTS, INC. CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: MII NEWCO, INC.
Assigned to MOLECULAR IMPRINTS, INC. reassignment MOLECULAR IMPRINTS, INC. CONFIRMATORY ASSIGNMENT OF JOINT PATENT OWNERSHIP Assignors: CANON NANOTECHNOLOGIES, INC.
Assigned to JP MORGAN CHASE BANK, N.A. reassignment JP MORGAN CHASE BANK, N.A. PATENT SECURITY AGREEMENT Assignors: MAGIC LEAP, INC., MENTOR ACQUISITION ONE, LLC, MOLECULAR IMPRINTS, INC.
Assigned to CITIBANK, N.A. reassignment CITIBANK, N.A. ASSIGNMENT OF SECURITY INTEREST IN PATENTS Assignors: JPMORGAN CHASE BANK, N.A.
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures

Definitions

  • the present invention relates generally to lithography systems. More particularly, the present invention is directed to determining spatial relationships between an imprinting mold and a substrate upon which a pattern will be formed using the imprinting mold.
  • U.S. Pat. No. 6,334,960 to Willson et al. discloses an exemplary lithography imprint technique that includes providing a substrate having a transfer layer. The transfer layer is covered with a polymerizable fluid composition. A mold makes mechanical contact with the polymerizable fluid. The mold includes a relief structure, and the polymerizable fluid composition fills the relief structure. The polymerizable fluid composition is then subjected to conditions to solidify and polymerize the same, forming a solidified polymeric material on the transfer layer that contains a relief structure complimentary to that of the mold.
  • the mold is then separated from the solid polymeric material such that a replica of the relief structure in the mold is formed in the solidified polymeric material.
  • the transfer layer and the solidified polymeric material are subjected to an environment to selectively etch the transfer layer relative to the solidified polymeric material to form a relief image in the transfer layer.
  • U.S. Pat. No. 5,772,905 to Chou discloses a lithographic method and apparatus for creating patterns in a thin film coated on a substrate in which a mold, having at least one protruding feature, is pressed into a thin film carried on a substrate.
  • the protruding feature in the mold creates a recess in the thin film.
  • the mold is removed from the film.
  • the thin film then is processed such that the thin film in the recess is removed exposing the underlying substrate.
  • patterns in the mold are replaced in the thin film, completing the lithography process.
  • the patterns in the thin film will be, in subsequent processes, reproduced in the substrate or in another material which is added onto the substrate.
  • LADI laser assisted direct imprinting
  • An important consideration when forming patterns in this manner is to maintain control of the distance and orientation between the substrate and the mold that contains the pattern to be recorded on the substrate. Otherwise, undesired film and pattern anomalies may occur.
  • the present invention provides a method and system of determining characteristics of substrates, such as the presence of contaminants, shape, as well as the spatial relationships between spaced-apart substrates.
  • the spatial relationships include distance and angular orientation, between first and second spaced apart substrates.
  • the method includes forming a volume of fluid on the second substrate, with the volume of fluid having an area associated therewith.
  • the volume of fluid is compressed between the first and second substrates to effectuate a change in properties of the area, defining changed properties.
  • the changed properties are sensed, and the characteristics of the first and second substrates are determined as a function of the changed properties.
  • the system includes features to carry-out the functions of the method. These and other embodiments are discussed more fully below.
  • FIG. 1 is a simplified plan view of a lithographic system incorporating a detection system in accordance with one embodiment of the present invention
  • FIG. 2 is a partial simplified elevation view of a lithographic system shown in FIG. 1 ;
  • FIG. 3 is a simplified representation of material from which an imprinting layer, shown in FIG. 2 , is comprised before being polymerized and cross-linked;
  • FIG. 4 is a simplified representation of cross-linked polymer material into which the material, shown in FIG. 3 , is transformed after being subjected to radiation;
  • FIG. 5 is a simplified elevation view of a mold spaced-apart from an imprinting layer, shown in FIG. 1 , after patterning of the imprinting layer;
  • FIG. 6 is a simplified elevation view of an additional imprinting layer positioned atop of the substrate, shown in FIG. 5 , after the pattern in the first imprinting layer is transferred therein;
  • FIG. 7 is a top-down view of a region of a wafer, shown in FIG. 1 , that is sensed by a detection system shown therein in accordance with one embodiment of the present invention
  • FIG. 8 is a cross-section of the resulting shape of an imprinting layer shown in FIG. 1 , being formed with the mold and the wafer not being in parallel orientation with respect to one another;
  • FIG. 9 is a top-down view of a region of a wafer, shown in FIG. 1 , that is sensed by a detection system shown therein in accordance with an alternate embodiment of the present invention
  • FIG. 10 is a top-down view of a region of a wafer, shown in FIG. 1 , that is sensed by a detection system shown therein in accordance with another alternate embodiment of the present invention
  • FIG. 11 is a simplified plan view of a lithographic system incorporating a detection in accordance with a second embodiment of the present invention.
  • FIG. 12 is a simplified plan view of a lithographic system incorporating a detection system in accordance with a third embodiment of the present invention.
  • FIG. 1 depicts a lithographic system 10 in which a detection system in accordance with one embodiment of the present invention is included.
  • System 10 includes an imprint head 12 and a stage 14 , disposed opposite to imprint head 12 .
  • a radiation source 16 is coupled to system 10 to impinge actinic radiation upon motion stage 14 .
  • imprint head 12 includes a throughway 18 and a mirror 20 couples actinic radiation from radiation source 16 , into throughway 18 , to impinge upon a region 22 of stage 14 .
  • a detection system Disposed opposite to region 22 is a detection system that includes a CCD sensor 23 and wave shaping optics 24 .
  • CCD sensor 23 is positioned to sense images from region 22 .
  • Detection system is configured with wave shaping optics 24 positioned between CCD sensor 23 and mirror 20 .
  • a processor 25 is in data communication with CCD sensor 23 , imprint head 12 , stage 14 and radiation source 16 .
  • first substrate 26 having a mold 28 thereon.
  • First substrate 26 may be held to imprint head 12 using any known technique.
  • first substrate 26 is retained by imprint head 12 by use of a vacuum chuck (not shown) that is connected to imprint head 12 and applies a vacuum to first substrate 26 .
  • An exemplary chucking system that may be included is disclosed in U.S. patent application Ser. No. 10/293,224 entitled “A Chucking System for Modulating Shapes of Substrates”, which is incorporated by reference herein.
  • Mold 28 may be planar or include a feature thereon.
  • mold 28 includes a plurality of features defined by a plurality of spaced-apart recessions 28 a and protrusions 28 b .
  • the plurality of features defines an original pattern that is to be transferred into a second substrate, such as wafer 30 , coupled to stage 14 .
  • imprint head 12 is adapted to move along the Z axis and vary a distance “d” between mold 28 and wafer 30 .
  • Stage 14 is adapted to move wafer 30 along the X and Y axes, with the understanding that the Y axis is into the sheet upon which FIG. 1 is shown.
  • the features on mold 28 may be imprinted into a flowable region of wafer 30 , discussed more fully below.
  • Radiation source 16 is located so that mold 28 is positioned between radiation source 16 and wafer 30 .
  • mold 28 is fabricated from material that allows it to be substantially transparent to the radiation produced by radiation source 16 , such as fused silica or quartz glass.
  • a flowable region such as an imprinting layer 34 , is disposed on a portion of surface 32 that presents a substantially planar profile.
  • Flowable region may be formed using any known technique such as a hot embossing process disclosed in U.S. Pat. No. 5,772,905, which is incorporated by reference in its entirety herein, or a laser assisted direct imprinting (LADI) process of the type described by Chou et al. in Ultrafast and Direct Imprint of Nanostructures in Silicon , Nature, Col. 417, pp. 835-837, June 2002.
  • LADI laser assisted direct imprinting
  • flowable region consists of imprinting layer 34 being deposited as a plurality of spaced-apart discrete beads 36 of material 36 a on wafer 30 , discussed more fully below.
  • Imprinting layer 34 is formed from a material 36 a that may be selectively polymerized and cross-linked to record the original pattern therein, defining a recorded pattern.
  • Material 36 a is shown in FIG. 4 as being cross-linked at points 36 b , forming cross-linked polymer material 36 c.
  • the pattern recorded in imprinting layer 34 is produced, in part, by mechanical contact with mold 28 .
  • imprint head 12 reduces the distance “d” to allow imprinting layer 34 to come into mechanical contact with mold 28 , spreading beads 36 so as to form imprinting layer 34 with a contiguous formation of material 36 a over surface 32 .
  • distance “d” would be reduced to provide imprinting layer 34 with a substantially planar surface.
  • distance “d” is reduced to allow sub-portions 34 a of imprinting layer 34 to ingress into and fill recessions 28 a.
  • material 36 a is provided with the requisite properties to completely fill recessions 28 a while covering surface 32 with a contiguous formation of material 36 a .
  • sub-portions 34 b of imprinting layer 34 in superimposition with protrusions 28 b remain after the desired, usually minimum distance “d”, has been reached, leaving sub-portions 34 a with a thickness t 1 , and sub-portions 34 b with a thickness, t 2 .
  • Thicknesses “t 1 ” and “t 2 ” may be any thickness desired, dependent upon the application.
  • t 1 is selected so as to be no greater than twice the width u of sub-portions 34 a , i.e., t 1 ⁇ 2u, shown more clearly in FIG. 5 .
  • radiation source 16 shown in FIG. 1 , produces actinic radiation that polymerizes and cross-links material 36 a , forming cross-linked polymer material 36 c .
  • the composition of imprinting layer 34 transforms from material 36 a to material 36 c , which is a solid.
  • material 36 c is solidified to provide side 34 c of imprinting layer 34 with a shape conforming to a shape of a surface 28 c of mold 28 , shown more clearly in FIG. 5 .
  • imprint head 12 shown in FIG. 2 , is moved to increase distance “d” so that mold 28 and imprinting layer 34 are spaced-apart.
  • additional processing may be employed to complete the patterning of wafer 30 .
  • wafer 30 and imprinting layer 34 may be etched to transfer the pattern of imprinting layer 34 into wafer 30 , providing a patterned surface 32 a , shown in FIG. 6 .
  • the material from which imprinting layer 34 is formed may be varied to define a relative etch rate with respect to wafer 30 , as desired.
  • the relative etch rate of imprinting layer 34 to wafer 30 may be in a range of about 1.5:1 to about 100:1.
  • imprinting layer 34 may be provided with an etch differential with respect to photo-resist material (not shown) selectively disposed thereon.
  • the photo-resist material (not shown) may be provided to further pattern imprinting layer 34 , using known techniques. Any etch process may be employed, dependent upon the etch rate desired and the underlying constituents that form wafer 30 and imprinting layer 34 . Exemplary etch processes may include plasma etching, reactive ion etching, chemical wet etching and the like.
  • an exemplary radiation source 16 may produce ultraviolet radiation.
  • Other radiation sources may be employed, such as thermal, electromagnetic and the like.
  • the selection of radiation employed to initiate the polymerization of the material in imprinting layer 34 is known to one skilled in the art and typically depends on the specific application which is desired.
  • the plurality of features on mold 28 are shown as recessions 28 a extending along a direction parallel to protrusions 28 b that provide a cross-section of mold 28 with a shape of a battlement.
  • recessions 28 a and protrusions 28 b may correspond to virtually any feature required to create an integrated circuit and may be as small as a few tenths of nanometers.
  • components of system 10 may be desired to manufacture components of system 10 from materials that are thermally stable, e.g., have a thermal expansion coefficient of less than about 10 ppm/degree Centigrade at about room temperature (e.g. 25 degrees Centigrade).
  • the material of construction may have a thermal expansion coefficient of less than about 10 ppm/degree Centigrade, or less than 1 ppm/degree Centigrade.
  • the detection system of the present invention is configured to take advantage of the change in the geometry of beads 36 as the distance “d” is reduced. Assuming beads 36 behave as a non-compressible fluid with a volume “v”, distance “d” may be defined as follows:
  • A is a liquid filled area measured by CCD sensor 23 .
  • the combination of CCD sensor 23 and wave shaping optics 24 allows the detection system to sense one or more beads 36 in region 22 .
  • the volume of one or more beads 36 provides each bead 36 with an area 40 associated therewith.
  • This compression effectuates a change in properties of the area 40 of beads 36 , referred to as changed properties.
  • changed properties relate to the geometries of one or beads 36 , such as the shape, size or symmetry of the area 40 .
  • the changed properties are shown as 42 and concern the size of the area 40 .
  • the compression results in the area 40 of beads 36 increasing.
  • the change in area 40 is sensed by CCD sensor 23 , which produces data corresponding to the same.
  • processor 25 may be employed in a feedback loop operation. In this manner, distance “d” may be calculated multiple times until it is determined that the desired distance “d” has been reached. Such calculations may be performed dynamically in real time, or sequentially, with the distance “d” being determined as incremental movements of imprint head 12 along the Z axis occur.
  • processor 25 may be in data communication with a memory 27 that includes computer-readable information in the form of a look-up table 29 .
  • the information in look-up table 29 may include geometries, shown as 31 a , 31 b and 31 c as related to differing distances, shown as d a , d b and d c .
  • information concerning the geometry of one or more beads 36 may be obtained by CCD sensor 23 and received by processor 25 .
  • the information is then processed to relate the same to the geometry in look-up table 29 that most closely matches the geometry of the one or more beads 36 sensed by CCD sensor 23 .
  • processor 25 determines a magnitude of distance “d” present in look-up table 29 that is associated with the matching geometry.
  • Additional information concerning characteristics of first substrate 26 and wafer 30 other than the distance “d” therebetween may be obtained by analyzing the fluid geometry of one or more beads 36 .
  • an angular orientation between first substrate 26 and wafer 30 may be determined.
  • first substrate 26 lies in a first plane P 1 and wafer 30 lies in a second plane P 2 .
  • area 40 is radially symmetric, any loss of radial symmetry in area 40 may be employed to determine that first plane P 1 and second plane P 2 do not extend parallel to one another.
  • data concerning the shape of area 40 may be employed to determine the angle ⁇ formed between first and second planes P 1 and P 2 and, therefore, between first substrate 26 and wafer 30 , shown in FIG. 8 .
  • formed between first and second planes P 1 and P 2 and, therefore, between first substrate 26 and wafer 30 , shown in FIG. 8 .
  • undesired thicknesses in imprinting layer 34 may be ascertained and, therefore, avoided.
  • Other information may be obtained, as well, such as the contamination of first substrate 26 or wafer 30 or both by particulate matter.
  • the presence of particulate matter on substrate 26 may manifest as many different shapes.
  • one or more beads 36 shown in FIG. 2 having an asymmetrical area associated therewith may indicate the presences of particulate contaminants on either first substrate 26 or wafer 30 .
  • specific shapes of one ore more beads 36 may be associated with a particular defect, such as particulate contamination, as well as the presence of the defect, e.g., on first substrate 26 , wafer 30 and/or stage. This information may be included in a look-up table as discussed above so that processor may classify the defect and characterize first substrate 26 and/or wafer 30 , accordingly.
  • the magnitude of the distance “d” between first substrate 26 and wafer 30 may be concurrently determined at differing sites.
  • the distance information for each of beads 36 d and 36 e is determined as discussed above. Assuming beads 36 d and 36 e having substantially identical areas, changes in the areas due to first substrate 26 coming into mechanical contact therewith should be substantially the same, were first substrate 26 and wafer 30 substantially parallel and the distance, “d”, would be uniform over region 22 .
  • any difference between the areas of beads 36 d and 36 e after mechanical contact with first substrate 26 may be attributable to first substrate 26 and wafer 30 not being parallel, which could result in a non-uniform distance “d” between first substrate 26 and wafer 30 over region 22 . Further, the angle ⁇ formed between first substrate 26 and wafer 30 may be determined from this information, as discussed above. Assuming that areas of beads 36 d and 36 e differed initially, similar information may be obtained by comparing the relative changes in the areas of beads 36 d and 36 e that result from mechanical contact with first substrate 26 .
  • FIGS. 1 , 2 and 10 another advantage of examining multiple beads in a regions, such as beads 36 f , 36 g , 36 h , 36 i and 36 j , is that a shape of either first substrate 26 or wafer 30 may be obtained. This is shown by examining the changes in beads 36 . For example, after compression of beads 36 f , 36 g , 36 h , 36 i and 36 j by first substrate 26 each is provided with area 136 f , 136 g , 136 h , 136 i and 136 j , respectively that defines a compression pattern 137 .
  • beads 36 f and 36 j have the greatest area
  • beads 36 g 36 i have the second greatest area
  • bead 36 h has the smallest area. This may be an indication that first substrate 26 has a concave surface, i.e., is bowed, or that wafer 30 is bowed.
  • From experimental analysis information concerning differing types of compression patterns may be obtained to classify and characterize differing shapes or defects in system 10 . These may also be employed in look-up table 29 so that processor 25 may match a compression pattern sensed by CCD sensor 23 with a compression pattern in look-up table 29 and automatically ascertain the nature of processing performed by system 10 , i.e., whether system 10 is functioning properly and, or acceptable imprints are being generated.
  • CCD sensor 23 may also be implemented for endpoint detection of the spreading of imprinting layer 34 over wafer 30 .
  • one or more pixel of CCD sensor 23 may be arranged to sense a portion of wafer 30 .
  • the portion shown as 87 a , 87 b , 88 a and 88 b , in FIG. 7 , is located in region 22 and is proximate to a periphery of imprinting layer 34 after “d” has reached a desired magnitude.
  • pixels of CCD sensor 23 may be employed as an endpoint detection system that indicates when a desired distance “d” has been achieved, thereby resulting in spreading of beads 36 to form imprinting layer 34 of desired thicknesses.
  • detection system may include one or more photodiodes, four of which are shown as 90 a , 90 b , 90 c and 90 d may be included to facilitate endpoint detection.
  • Photodiodes 90 a , 90 b , 90 c and 90 d include wave shaping optics 91 and are arranged to sense a predetermined portion of first substrate 26 , such as 88 a .
  • it is advantages to have photodiodes 90 a , 90 b , 90 c and 90 d sense portions 88 b , 87 a and 87 b , as well.
  • photodiodes 90 a , 90 b , 90 c and 90 d are discussed with respect to region 88 a , with the understanding that the present discussion applies equally to use of additional photodiodes to sense regions 87 a , 87 b and 88 b.
  • photodiodes 90 a , 90 b , 90 c and 90 d are positioned to sense a portion of first substrate 26 that is located proximate to a periphery of imprinting layer 34 after “d” has reached a desired magnitude.
  • photodiodes 90 a , 90 b , 90 c and 90 d may be employed as an endpoint detection system as discussed above with respect to CCD sensor 23 shown in FIG. 1 . Referring again to FIGS.
  • photodiodes 90 a , 90 b , 90 c and 90 d are in data communication with processor 25 to transmit information concerning portions 88 a , 88 b , such as intensity of light reflected from portion 88 a and 88 b .
  • portion 88 a 88 b may be reflective, i.e., a mirror reflects ambient onto photodiodes 90 a , 90 b , 90 c and 90 d .
  • the energy of light reflecting from portion 88 is substantially reduced, if not completely attenuated, thereby reducing the power of optical energy impinging upon photodiodes 90 a , 90 b , 90 c and 90 d
  • Photodiodes 90 a , 90 b , 90 c and 90 d produce a signal in response thereto that is interpreted by processor 25 .
  • processor 25 operates to halt movement of imprint head 12 , fixing the distance “d” between first substrate 26 and wafer 30 .
  • photodiodes 90 a , 90 b , 90 c and 90 d may be used in conjunction with CCD sensor 23 and wave shaping optics 24 , discussed with respect to FIG. 1 .
  • the advantage of employing photodiodes 90 a , 90 b , 90 c and 90 d is that data acquisition is faster than that provided by pixels of CCD sensor 23 .
  • system 110 includes an interferometer 98 that may be used with the CCD sensor 23 the photodiodes 90 a , 90 b , 90 c and 90 d or a combination of both.
  • system 110 includes wave shaping optics 24 , radiation source 16 , mirror 20 and imprint head 12 .
  • Imprint head 12 retains first substrate 26 disposed opposite wafer 30 , with wafer 30 being supported by stage 14 .
  • Processor 25 is in data communication with imprint head 12 , stage 14 , radiation source 16 , CCD sensor 23 and interferometer 98 . Also disposed in an optical path of interferometer 98 is a 50-50 mirror 120 that enables a beam produced by interferometer 98 to be reflected onto region 22 , while allowing CCD sensor 23 to sense region 22 .
  • interferometry facilitates determining distance “d” without having accurate information concerning the initial volume of beads 36 .
  • An exemplary interferometry system employed to measure distance “d” is described in U.S. patent application Ser. No. 10/210,894, entitled “Alignment Systems for Imprint Lithography”, which in incorporated herein by reference.
  • interferometer 98 facilitates concurrently determining the initial distance “d” and the change in distance ⁇ d. From this information the volume associated with one or more beads 36 may be obtained.
  • interferometer 98 may be employed to obtain two measurements of first substrate 26 at two differing times t 1 and t 2 to obtain first substrate 26 displacement measurement L T .
  • wafer 30 displacement measurement, L S may be obtained, in a similar manner.
  • CCD sensor 23 measurements are taken with CCD sensor 23 to determine the change in area of one or more of beads 36 as a function of the total number of pixels in which one or more of beads 36 are sensed.
  • n p1 the total number of pixels in which one or more beads 36 are sensed.
  • n p2 the total number of pixels in which one or more beads 36 are sensed.
  • ⁇ n p
  • d 1 ( ⁇ d/ ⁇ n p ) n p1 (6)
  • V 1 d 1 ( n p1 ⁇ pixelsize) (8)
  • V 2 d 2 ( n p2 ⁇ pixelsize) (9)
  • (n p1 ⁇ pixelsize)
  • A.
  • interferometer 98 may be measured outside of region 22 , shown in FIG. 1 . Otherwise, interferometer 98 measurements should be made proximate to a center of region 22 , or expanding beads 36 . In this manner, the substrate 26 characteristic information obtained using system 10 , shown in FIG. 1 , may be obtained employing system 110 , shown in FIG. 12 .

Abstract

The present invention provides a technique for determining characteristics of substrates, such as the presence of contaminants, shape, as well as the spatial relationships between spaced-apart substrates. The spatial relationships include distance and angular orientation, between first and second spaced apart substrates. The technique includes forming a volume of fluid on the second substrate, with the volume of fluid having an area associated therewith. The volume of fluid is compressed between the first and second substrates to effectuate a change in properties of the area, defining changed properties. The changed properties are sensed, and the characteristics of the first and second substrates are determined as a function of the changed properties.

Description

BACKGROUND OF THE INVENTION
The present invention relates generally to lithography systems. More particularly, the present invention is directed to determining spatial relationships between an imprinting mold and a substrate upon which a pattern will be formed using the imprinting mold.
Imprint lithography has shown promising results in fabrication of patterns having feature sizes smaller than 50 nm. As a result, many prior art imprint lithography techniques have been advocated. U.S. Pat. No. 6,334,960 to Willson et al. discloses an exemplary lithography imprint technique that includes providing a substrate having a transfer layer. The transfer layer is covered with a polymerizable fluid composition. A mold makes mechanical contact with the polymerizable fluid. The mold includes a relief structure, and the polymerizable fluid composition fills the relief structure. The polymerizable fluid composition is then subjected to conditions to solidify and polymerize the same, forming a solidified polymeric material on the transfer layer that contains a relief structure complimentary to that of the mold. The mold is then separated from the solid polymeric material such that a replica of the relief structure in the mold is formed in the solidified polymeric material. The transfer layer and the solidified polymeric material are subjected to an environment to selectively etch the transfer layer relative to the solidified polymeric material to form a relief image in the transfer layer.
U.S. Pat. No. 5,772,905 to Chou discloses a lithographic method and apparatus for creating patterns in a thin film coated on a substrate in which a mold, having at least one protruding feature, is pressed into a thin film carried on a substrate. The protruding feature in the mold creates a recess in the thin film. The mold is removed from the film. The thin film then is processed such that the thin film in the recess is removed exposing the underlying substrate. Thus, patterns in the mold are replaced in the thin film, completing the lithography process. The patterns in the thin film will be, in subsequent processes, reproduced in the substrate or in another material which is added onto the substrate.
Yet another imprint lithography technique is disclosed by Chou et al. in Ultrafast and Direct Imprint of Nanostructures in Silicon, Nature, Col. 417, pp. 835-837, June 2002, which is referred to as a laser assisted direct imprinting (LADI) process. In this process a region of a substrate is made flowable, e.g., liquefied, by heating the region with the laser. After the region has reached a desired viscosity, a mold, having a pattern thereon, is placed in contact with the region. The flowable region conforms to the profile of the pattern and is then cooled, solidifying the pattern into the substrate.
An important consideration when forming patterns in this manner is to maintain control of the distance and orientation between the substrate and the mold that contains the pattern to be recorded on the substrate. Otherwise, undesired film and pattern anomalies may occur.
There is a need, therefore, for accurately determining spatial relationships between a mold and a substrate upon which the mold will form a pattern using imprinting lithographic processes.
SUMMARY OF THE INVENTION
The present invention provides a method and system of determining characteristics of substrates, such as the presence of contaminants, shape, as well as the spatial relationships between spaced-apart substrates. The spatial relationships include distance and angular orientation, between first and second spaced apart substrates. The method includes forming a volume of fluid on the second substrate, with the volume of fluid having an area associated therewith. The volume of fluid is compressed between the first and second substrates to effectuate a change in properties of the area, defining changed properties. The changed properties are sensed, and the characteristics of the first and second substrates are determined as a function of the changed properties. The system includes features to carry-out the functions of the method. These and other embodiments are discussed more fully below.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 is a simplified plan view of a lithographic system incorporating a detection system in accordance with one embodiment of the present invention;
FIG. 2 is a partial simplified elevation view of a lithographic system shown in FIG. 1;
FIG. 3 is a simplified representation of material from which an imprinting layer, shown in FIG. 2, is comprised before being polymerized and cross-linked;
FIG. 4 is a simplified representation of cross-linked polymer material into which the material, shown in FIG. 3, is transformed after being subjected to radiation;
FIG. 5 is a simplified elevation view of a mold spaced-apart from an imprinting layer, shown in FIG. 1, after patterning of the imprinting layer;
FIG. 6 is a simplified elevation view of an additional imprinting layer positioned atop of the substrate, shown in FIG. 5, after the pattern in the first imprinting layer is transferred therein;
FIG. 7 is a top-down view of a region of a wafer, shown in FIG. 1, that is sensed by a detection system shown therein in accordance with one embodiment of the present invention;
FIG. 8 is a cross-section of the resulting shape of an imprinting layer shown in FIG. 1, being formed with the mold and the wafer not being in parallel orientation with respect to one another;
FIG. 9 is a top-down view of a region of a wafer, shown in FIG. 1, that is sensed by a detection system shown therein in accordance with an alternate embodiment of the present invention;
FIG. 10 is a top-down view of a region of a wafer, shown in FIG. 1, that is sensed by a detection system shown therein in accordance with another alternate embodiment of the present invention;
FIG. 11 is a simplified plan view of a lithographic system incorporating a detection in accordance with a second embodiment of the present invention; and
FIG. 12 is a simplified plan view of a lithographic system incorporating a detection system in accordance with a third embodiment of the present invention.
DETAILED DESCRIPTION OF THE INVENTION
FIG. 1 depicts a lithographic system 10 in which a detection system in accordance with one embodiment of the present invention is included. System 10 includes an imprint head 12 and a stage 14, disposed opposite to imprint head 12. A radiation source 16 is coupled to system 10 to impinge actinic radiation upon motion stage 14. To that end, imprint head 12 includes a throughway 18 and a mirror 20 couples actinic radiation from radiation source 16, into throughway 18, to impinge upon a region 22 of stage 14. Disposed opposite to region 22 is a detection system that includes a CCD sensor 23 and wave shaping optics 24. CCD sensor 23 is positioned to sense images from region 22. Detection system is configured with wave shaping optics 24 positioned between CCD sensor 23 and mirror 20. A processor 25 is in data communication with CCD sensor 23, imprint head 12, stage 14 and radiation source 16.
Referring to both FIGS. 1 and 2, connected to imprint head 12 is a first substrate 26 having a mold 28 thereon. First substrate 26 may be held to imprint head 12 using any known technique. In the present example first substrate 26 is retained by imprint head 12 by use of a vacuum chuck (not shown) that is connected to imprint head 12 and applies a vacuum to first substrate 26. An exemplary chucking system that may be included is disclosed in U.S. patent application Ser. No. 10/293,224 entitled “A Chucking System for Modulating Shapes of Substrates”, which is incorporated by reference herein. Mold 28 may be planar or include a feature thereon. In the present example, mold 28 includes a plurality of features defined by a plurality of spaced-apart recessions 28 a and protrusions 28 b. The plurality of features defines an original pattern that is to be transferred into a second substrate, such as wafer 30, coupled to stage 14. To that end, imprint head 12 is adapted to move along the Z axis and vary a distance “d” between mold 28 and wafer 30. Stage 14 is adapted to move wafer 30 along the X and Y axes, with the understanding that the Y axis is into the sheet upon which FIG. 1 is shown. With this configuration, the features on mold 28 may be imprinted into a flowable region of wafer 30, discussed more fully below. Radiation source 16 is located so that mold 28 is positioned between radiation source 16 and wafer 30. As a result, mold 28 is fabricated from material that allows it to be substantially transparent to the radiation produced by radiation source 16, such as fused silica or quartz glass.
Referring to both FIGS. 2 and 3, a flowable region, such as an imprinting layer 34, is disposed on a portion of surface 32 that presents a substantially planar profile. Flowable region may be formed using any known technique such as a hot embossing process disclosed in U.S. Pat. No. 5,772,905, which is incorporated by reference in its entirety herein, or a laser assisted direct imprinting (LADI) process of the type described by Chou et al. in Ultrafast and Direct Imprint of Nanostructures in Silicon, Nature, Col. 417, pp. 835-837, June 2002. In the present embodiment, however, flowable region consists of imprinting layer 34 being deposited as a plurality of spaced-apart discrete beads 36 of material 36 a on wafer 30, discussed more fully below. Imprinting layer 34 is formed from a material 36 a that may be selectively polymerized and cross-linked to record the original pattern therein, defining a recorded pattern. Material 36 a is shown in FIG. 4 as being cross-linked at points 36 b, forming cross-linked polymer material 36 c.
Referring to FIGS. 2, 3 and 5, the pattern recorded in imprinting layer 34 is produced, in part, by mechanical contact with mold 28. To that end, imprint head 12 reduces the distance “d” to allow imprinting layer 34 to come into mechanical contact with mold 28, spreading beads 36 so as to form imprinting layer 34 with a contiguous formation of material 36 a over surface 32. Were mold 28 provided with a planar surface, distance “d” would be reduced to provide imprinting layer 34 with a substantially planar surface. In the present example, distance “d” is reduced to allow sub-portions 34 a of imprinting layer 34 to ingress into and fill recessions 28 a.
To facilitate filling of recessions 28 a, material 36 a is provided with the requisite properties to completely fill recessions 28 a while covering surface 32 with a contiguous formation of material 36 a. In the present example, sub-portions 34 b of imprinting layer 34 in superimposition with protrusions 28 b remain after the desired, usually minimum distance “d”, has been reached, leaving sub-portions 34 a with a thickness t1, and sub-portions 34 b with a thickness, t2. Thicknesses “t1” and “t2” may be any thickness desired, dependent upon the application. Typically, t1 is selected so as to be no greater than twice the width u of sub-portions 34 a, i.e., t1<2u, shown more clearly in FIG. 5.
Referring to FIGS. 2, 3 and 4, after a desired distance “d” has been reached, radiation source 16, shown in FIG. 1, produces actinic radiation that polymerizes and cross-links material 36 a, forming cross-linked polymer material 36 c. As a result, the composition of imprinting layer 34, transforms from material 36 a to material 36 c, which is a solid. Specifically, material 36 c is solidified to provide side 34 c of imprinting layer 34 with a shape conforming to a shape of a surface 28 c of mold 28, shown more clearly in FIG. 5. After imprinting layer 34 is transformed to consist of material 36 c, shown in FIG. 4, imprint head 12, shown in FIG. 2, is moved to increase distance “d” so that mold 28 and imprinting layer 34 are spaced-apart.
Referring to FIG. 5, additional processing may be employed to complete the patterning of wafer 30. For example, wafer 30 and imprinting layer 34 may be etched to transfer the pattern of imprinting layer 34 into wafer 30, providing a patterned surface 32 a, shown in FIG. 6. To facilitate etching, the material from which imprinting layer 34 is formed may be varied to define a relative etch rate with respect to wafer 30, as desired. The relative etch rate of imprinting layer 34 to wafer 30 may be in a range of about 1.5:1 to about 100:1.
Alternatively, or in addition to, imprinting layer 34 may be provided with an etch differential with respect to photo-resist material (not shown) selectively disposed thereon. The photo-resist material (not shown) may be provided to further pattern imprinting layer 34, using known techniques. Any etch process may be employed, dependent upon the etch rate desired and the underlying constituents that form wafer 30 and imprinting layer 34. Exemplary etch processes may include plasma etching, reactive ion etching, chemical wet etching and the like.
Referring to both FIGS. 1 and 2, an exemplary radiation source 16 may produce ultraviolet radiation. Other radiation sources may be employed, such as thermal, electromagnetic and the like. The selection of radiation employed to initiate the polymerization of the material in imprinting layer 34 is known to one skilled in the art and typically depends on the specific application which is desired. Furthermore, the plurality of features on mold 28 are shown as recessions 28 a extending along a direction parallel to protrusions 28 b that provide a cross-section of mold 28 with a shape of a battlement. However, recessions 28 a and protrusions 28 b may correspond to virtually any feature required to create an integrated circuit and may be as small as a few tenths of nanometers. As a result, it may be desired to manufacture components of system 10 from materials that are thermally stable, e.g., have a thermal expansion coefficient of less than about 10 ppm/degree Centigrade at about room temperature (e.g. 25 degrees Centigrade). In some embodiments, the material of construction may have a thermal expansion coefficient of less than about 10 ppm/degree Centigrade, or less than 1 ppm/degree Centigrade.
Referring to FIGS. 1, 2 and 7, an important consideration to successfully practice imprint lithography techniques is accurately determining distance “d”. To that end, the detection system of the present invention is configured to take advantage of the change in the geometry of beads 36 as the distance “d” is reduced. Assuming beads 36 behave as a non-compressible fluid with a volume “v”, distance “d” may be defined as follows:
d=v/A  (1)
where A is a liquid filled area measured by CCD sensor 23. To that end, the combination of CCD sensor 23 and wave shaping optics 24 allows the detection system to sense one or more beads 36 in region 22. With first substrate 26 spaced-apart from wafer 30, the volume of one or more beads 36 provides each bead 36 with an area 40 associated therewith. As distance “d” is reduced and substrate 26 comes into mechanical contact with beads 36, compression occurs. This compression effectuates a change in properties of the area 40 of beads 36, referred to as changed properties. These changes relate to the geometries of one or beads 36, such as the shape, size or symmetry of the area 40. In the present example the changed properties are shown as 42 and concern the size of the area 40. Specifically, the compression results in the area 40 of beads 36 increasing.
The change in area 40 is sensed by CCD sensor 23, which produces data corresponding to the same. Processor 25 receives the data corresponding to the change in the area 40 and calculates, using equation 1, the distance “d”. Assuming CCD sensor 23 consists of a N×M array of pixels, distance “d” is ascertained by processor 25 through the following equation:
d=V/t p(P a)  (2)
where tp is the total number of pixels in the N×M array and Pa is the area of each pixel.
With volume of beads 36 being fixed, the resolution of CCD sensor 23 that is desired to accurately measure the area A may be defined as follows:
ΔA=(A/dd  (3)
Assuming that the total volume, v, of one of beads 36 sensed by CCD sensor 23 is 200 nl, i.e., 0.1 mm3 and d=200 nm, then liquid filled area “A” is 1000 mm2. From equation (2) it may be determined that the desired resolution of CCD sensor 23 is 5 mm2.
It should be noted that processor 25 may be employed in a feedback loop operation. In this manner, distance “d” may be calculated multiple times until it is determined that the desired distance “d” has been reached. Such calculations may be performed dynamically in real time, or sequentially, with the distance “d” being determined as incremental movements of imprint head 12 along the Z axis occur. Alternatively, or in addition thereto, processor 25 may be in data communication with a memory 27 that includes computer-readable information in the form of a look-up table 29. The information in look-up table 29 may include geometries, shown as 31 a, 31 b and 31 c as related to differing distances, shown as da, db and dc. In this manner, information concerning the geometry of one or more beads 36 may be obtained by CCD sensor 23 and received by processor 25. The information is then processed to relate the same to the geometry in look-up table 29 that most closely matches the geometry of the one or more beads 36 sensed by CCD sensor 23. Once a match is made, processor 25 determines a magnitude of distance “d” present in look-up table 29 that is associated with the matching geometry.
Additional information concerning characteristics of first substrate 26 and wafer 30 other than the distance “d” therebetween may be obtained by analyzing the fluid geometry of one or more beads 36. For example, by analyzing the symmetry of beads 36 an angular orientation between first substrate 26 and wafer 30 may be determined. Assume first substrate 26 lies in a first plane P1 and wafer 30 lies in a second plane P2. Assuming area 40 is radially symmetric, any loss of radial symmetry in area 40 may be employed to determine that first plane P1 and second plane P2 do not extend parallel to one another. Additionally, data concerning the shape of area 40, in this case the lack of radial symmetry, may be employed to determine the angle Θ formed between first and second planes P1 and P2 and, therefore, between first substrate 26 and wafer 30, shown in FIG. 8. As a result, undesired thicknesses in imprinting layer 34 may be ascertained and, therefore, avoided. Other information may be obtained, as well, such as the contamination of first substrate 26 or wafer 30 or both by particulate matter.
Specifically, the presence of particulate matter on substrate 26 may manifest as many different shapes. For purposes of the present discussion, one or more beads 36 shown in FIG. 2 having an asymmetrical area associated therewith may indicate the presences of particulate contaminants on either first substrate 26 or wafer 30. Further, with a priori knowledge of contaminants, specific shapes of one ore more beads 36 may be associated with a particular defect, such as particulate contamination, as well as the presence of the defect, e.g., on first substrate 26, wafer 30 and/or stage. This information may be included in a look-up table as discussed above so that processor may classify the defect and characterize first substrate 26 and/or wafer 30, accordingly.
Referring to FIGS. 1, 2 and 9, by analyzing information from two or more beads, shown as 36 d and 36 e in region 22, the magnitude of the distance “d” between first substrate 26 and wafer 30 may be concurrently determined at differing sites. The distance information for each of beads 36 d and 36 e is determined as discussed above. Assuming beads 36 d and 36 e having substantially identical areas, changes in the areas due to first substrate 26 coming into mechanical contact therewith should be substantially the same, were first substrate 26 and wafer 30 substantially parallel and the distance, “d”, would be uniform over region 22. Any difference between the areas of beads 36 d and 36 e after mechanical contact with first substrate 26 may be attributable to first substrate 26 and wafer 30 not being parallel, which could result in a non-uniform distance “d” between first substrate 26 and wafer 30 over region 22. Further, the angle θ formed between first substrate 26 and wafer 30 may be determined from this information, as discussed above. Assuming that areas of beads 36 d and 36 e differed initially, similar information may be obtained by comparing the relative changes in the areas of beads 36 d and 36 e that result from mechanical contact with first substrate 26.
Specifically, it may be determined by analyzing the relative changes between areas of beads 36 d and 36 e it may be determined whether first substrate 26 and wafer 30 at regions located proximate to beads 36 d and 36 e are spaced apart an equal distance “d”. If this is the case, then it may be concluded that first substrate 26 and wafer 30 extend parallel to one another. Otherwise, were first substrate 26 and wafer 30 found not to extend parallel to one another, the magnitude of the angle Θ formed therebetween may be determined.
Referring to FIGS. 1, 2 and 10, another advantage of examining multiple beads in a regions, such as beads 36 f, 36 g, 36 h, 36 i and 36 j, is that a shape of either first substrate 26 or wafer 30 may be obtained. This is shown by examining the changes in beads 36. For example, after compression of beads 36 f, 36 g, 36 h, 36 i and 36 j by first substrate 26 each is provided with area 136 f, 136 g, 136 h, 136 i and 136 j, respectively that defines a compression pattern 137. As shown, beads 36 f and 36 j have the greatest area, beads 36 g 36 i have the second greatest area and bead 36 h has the smallest area. This may be an indication that first substrate 26 has a concave surface, i.e., is bowed, or that wafer 30 is bowed. From experimental analysis information concerning differing types of compression patterns may be obtained to classify and characterize differing shapes or defects in system 10. These may also be employed in look-up table 29 so that processor 25 may match a compression pattern sensed by CCD sensor 23 with a compression pattern in look-up table 29 and automatically ascertain the nature of processing performed by system 10, i.e., whether system 10 is functioning properly and, or acceptable imprints are being generated.
CCD sensor 23 may also be implemented for endpoint detection of the spreading of imprinting layer 34 over wafer 30. To that end, one or more pixel of CCD sensor 23 may be arranged to sense a portion of wafer 30. The portion, shown as 87 a, 87 b, 88 a and 88 b, in FIG. 7, is located in region 22 and is proximate to a periphery of imprinting layer 34 after “d” has reached a desired magnitude. In this fashion, pixels of CCD sensor 23 may be employed as an endpoint detection system that indicates when a desired distance “d” has been achieved, thereby resulting in spreading of beads 36 to form imprinting layer 34 of desired thicknesses. This facilitates determining the magnitude of movement imprint head 12 should undertake in order to facilitate an imprint of imprinting layer 34. To that end, once CCD sensor 23 detects the presence of imprinting layer 34 proximate to portions 87 a, 87 b, 88 a and 88 b, data concerning the same is communicated to processor 25. In response, processor 25 operates to halt movement of imprint head 12, fixing the distance “d” between first substrate 26 and wafer 30.
Referring to FIGS. 2, 7 and 11 in accordance with another embodiment of the present invention, detection system may include one or more photodiodes, four of which are shown as 90 a, 90 b, 90 c and 90 d may be included to facilitate endpoint detection. Photodiodes 90 a, 90 b, 90 c and 90 d include wave shaping optics 91 and are arranged to sense a predetermined portion of first substrate 26, such as 88 a. However, it is advantages to have photodiodes 90 a, 90 b, 90 c and 90 d sense portions 88 b, 87 a and 87 b, as well. For ease of discussion however, photodiodes 90 a, 90 b, 90 c and 90 d are discussed with respect to region 88 a, with the understanding that the present discussion applies equally to use of additional photodiodes to sense regions 87 a, 87 b and 88 b.
To facilitate endpoint detections, photodiodes 90 a, 90 b, 90 c and 90 d are positioned to sense a portion of first substrate 26 that is located proximate to a periphery of imprinting layer 34 after “d” has reached a desired magnitude. As a result, photodiodes 90 a, 90 b, 90 c and 90 d may be employed as an endpoint detection system as discussed above with respect to CCD sensor 23 shown in FIG. 1. Referring again to FIGS. 2, 7 and 11, photodiodes 90 a, 90 b, 90 c and 90 d are in data communication with processor 25 to transmit information concerning portions 88 a, 88 b, such as intensity of light reflected from portion 88 a and 88 b. Specifically, portion 88 a 88 b may be reflective, i.e., a mirror reflects ambient onto photodiodes 90 a, 90 b, 90 c and 90 d. Upon being covered by imprinting layer 34, the energy of light reflecting from portion 88 is substantially reduced, if not completely attenuated, thereby reducing the power of optical energy impinging upon photodiodes 90 a, 90 b, 90 c and 90 d Photodiodes 90 a, 90 b, 90 c and 90 d produce a signal in response thereto that is interpreted by processor 25. In response, processor 25 operates to halt movement of imprint head 12, fixing the distance “d” between first substrate 26 and wafer 30. It should be understood that the detection system discussed with respect to photodiodes 90 a, 90 b, 90 c and 90 d may be used in conjunction with CCD sensor 23 and wave shaping optics 24, discussed with respect to FIG. 1. The advantage of employing photodiodes 90 a, 90 b, 90 c and 90 d is that data acquisition is faster than that provided by pixels of CCD sensor 23.
Referring to FIGS. 2, 11 and 12, another embodiment of the present invention is shown that facilitates determining characteristics of first substrate 26 and wafer 30 without knowing the volume associated with beads 36. To that end, the present embodiment of system 110 includes an interferometer 98 that may be used with the CCD sensor 23 the photodiodes 90 a, 90 b, 90 c and 90 d or a combination of both. As discussed above, system 110 includes wave shaping optics 24, radiation source 16, mirror 20 and imprint head 12. Imprint head 12 retains first substrate 26 disposed opposite wafer 30, with wafer 30 being supported by stage 14. Processor 25 is in data communication with imprint head 12, stage 14, radiation source 16, CCD sensor 23 and interferometer 98. Also disposed in an optical path of interferometer 98 is a 50-50 mirror 120 that enables a beam produced by interferometer 98 to be reflected onto region 22, while allowing CCD sensor 23 to sense region 22.
Use of interferometry facilitates determining distance “d” without having accurate information concerning the initial volume of beads 36. An exemplary interferometry system employed to measure distance “d” is described in U.S. patent application Ser. No. 10/210,894, entitled “Alignment Systems for Imprint Lithography”, which in incorporated herein by reference.
Employing interferometer 98 facilitates concurrently determining the initial distance “d” and the change in distance Δd. From this information the volume associated with one or more beads 36 may be obtained. For example, interferometer 98 may be employed to obtain two measurements of first substrate 26 at two differing times t1 and t2 to obtain first substrate 26 displacement measurement LT. During the same time, wafer 30 displacement measurement, LS, may be obtained, in a similar manner. The change in distance, Δd, between first substrate 26 and wafer 30 is obtained as follows:
Δd=|L T −L S|  (4)
During times t1 and t2, measurements are taken with CCD sensor 23 to determine the change in area of one or more of beads 36 as a function of the total number of pixels in which one or more of beads 36 are sensed. At time t1, the total number of pixels in which one or more beads 36 are sensed is np1. At time t2, the total number of pixels in which one or more beads 36 are sensed is np2. From these two values the change in pixels, Δnp, is defined as follows:
Δn p =|n p2 −n p1|  (5)
From equations 4 and 5 the value of distance“d” may be obtained from either of the following equations:
d 1=(Δd/Δn p)n p1  (6)
d 2=(Δd/Δn p)n p2  (7)
where d=d1=d2. Knowing d1 and d2, by substitution we can obtain the volume V of the one or more beads 36 being sensed by CCD sensor 23 by either of the following equations:
V 1 =d 1(n p1×pixelsize)  (8)
V 2 =d 2(n p2×pixelsize)  (9)
where V=V1=V2, and (np1×pixelsize)=|p2×pixelsize|=A. When first substrate 26 and wafer 30 may be maintained to be parallel, interferometer 98 may be measured outside of region 22, shown in FIG. 1. Otherwise, interferometer 98 measurements should be made proximate to a center of region 22, or expanding beads 36. In this manner, the substrate 26 characteristic information obtained using system 10, shown in FIG. 1, may be obtained employing system 110, shown in FIG. 12.
The embodiments of the present invention described above are exemplary. Many changes and modifications may be made to the disclosure recited above, while remaining within the scope of the invention. Therefore, the scope of the invention should be determined not with reference to the above description, but instead should be determined with reference to the appended claims along with their full scope of equivalents.

Claims (24)

1. A method for determining characteristics of first and second substrates, said method comprising:
forming a volume of fluid on said second substrate said volume of fluid having an area associated therewith;
compressing said volume of fluid between said first and second substrates to effectuate a change in properties of said area, defining changed properties;
sensing said changed properties; and
determining characteristics of said first and second substrates as a function of said changed properties, defining measured characteristics.
2. The method as recited in claim 1 wherein said changed properties are geometries selected from a set of geometries including size, shape and symmetry.
3. The method as recited in claim 1 wherein said changed properties includes an expansion of said fluid to a predetermined position on said second substrate.
4. The method as recited in claim 1 wherein forming said volume of fluid further includes depositing first and second spaced-apart drops of said fluid on said second substrate and compressing said volume further includes compressing said first and second drops to effectuate a change in a geometry of one of said first and second drops.
5. The method as recited in claim 1 wherein forming said volume of fluid further includes depositing first and second spaced-apart drops of said fluid on said second substrate, with said first drop having a first geometry associated therewith and said second drop having a second geometry associated therewith, with forming said volume of fluid further including depositing said first and second spaced-apart drops of said fluid on said second substrate and compressing said volume further includes compressing said first and second drops to effectuate a change in said first geometry, defining a changed geometry, and further including comparing said changed geometry with said second geometry to determine differences therebetween, defining a variance, with determining said characteristics further including determining said characteristics as a function of said variance.
6. The method as recited in claim 1 wherein determining said characteristics further includes determining a distance between said first and second substrates.
7. The method as recited in claim 1 wherein determining said characteristics further includes determining whether said first and second substrates extend parallel to one another.
8. The method as recited in claim 1 wherein said first substrate lies in a first plane and said second substrate lies in a second plane forming an angle with said first plane, with determining said characteristics further including determining said angle.
9. The method as recited in claim 1 wherein sensing said changed properties further includes acquiring a first image of a region of said second substrate in which said volume is located before compressing said volume of fluid and acquiring a second image of said region after compressing said volume of fluid and comparing information in said first and second images associated with said volume of fluid.
10. The method as recited in claim 1 wherein said characteristics are selected from a set of characteristics consisting of a presence of contamination, a spatial relationship, and shape.
11. The method as recited in claim 10 further including adjusting said spatial relationship between said first and second substrates in response to said measured spatial relationship to obtain a desired spatial relationship.
12. A method for determining spatial relationships between a first substrate, lying in a first plane, and a second substrate, lying in a second plane, said method comprising:
forming a volume of fluid on said second substrate, said volume of fluid having an area associated therewith;
compressing said volume of fluid between said first and second substrates to effectuate a change in properties of said area, defining changed properties, with said changed properties being selected from a set of properties including size, shape and symmetry;
sensing said changed properties; and
determining a spatial relationship between said first and second substrates as a function of said changed properties, defining a measured spatial relationship, with said spatial relationship selected from a set of relationships including distance between said first and second planes, and an angle formed between said first and second planes.
13. The method as recited in claim 12 wherein forming said volume of fluid further includes depositing first and second spaced-apart drops of said fluid on said second substrate and compressing said volume further includes compressing said first and second drops to effectuate a change in the area of said first drop, defining a changed first area, and further including comparing the area of said changed first area with the area of said second drop to determine differences therebetween, defining a variance, with determining said spatial relationship further including determining said spatial relationship between said first and second substrates as a function of said variance.
14. The method as recited in claim 12 wherein sensing said changed area further includes acquiring a first image of a region of said second substrate in which said volume of fluid is located before compressing said volume of fluid and acquiring a second image of said region after compressing said volume of fluid and comparing information in said first and second images associated with said volume of fluid.
15. The method as recited in claim 13 adjusting said spatial relationship between said first and second substrates in response to said measured spatial relationship to obtain a desired spatial relationship.
16. A method for determining characteristics of first and second substrates, said method comprising:
forming a volume of fluid on said second substrate, said volume of fluid having an area associated therewith;
moving fluid associated with said volume over said second substrate to effectuate a change in properties of said area, defining changed properties;
sensing said changed properties; and
determining characteristics of one of said first and second substrates as a function of said changed properties, defining measured characteristics.
17. The method as recited in claim 16 wherein said changed properties are geometries selected from a set of geometries including size, shape and symmetry.
18. The method as recited in claim 16 wherein said changed properties includes an expansion of said fluid to a predetermined position on said second substrate.
19. The method as recited in claim 16 wherein forming said volume of fluid further includes depositing first and second spaced-apart drops of said fluid on said second substrate and moving further includes compressing said first and second drops to effectuate a change in a geometry of one of said first and second drops.
20. The method as recited in claim 16 wherein forming said volume of fluid further includes depositing first and second spaced-apart drops of said fluid on said second substrate, with said first drop having a first geometry associated therewith and said second drop having a second geometry associated therewith, with forming said volume of fluid further including depositing said first and second spaced-apart drops of said fluid on said second substrate and compressing said volume further includes compressing said first and second drops to effectuate a change in said first geometry, defining a changed geometry, and further including comparing said changed geometry with said second geometry to determine differences therebetween, defining a variance, with determining said characteristics further including determining said characteristics as a function of said variance.
21. The method as recited in claim 16 wherein determining said characteristics further includes determining a distance between said first and second substrates.
22. The method as recited in claim 16 wherein determining said characteristics further includes determining whether said first and second substrates extend parallel to one another.
23. The method as recited in claim 16 wherein said first substrate lies in a first plane and said second substrate lies in a second plane forming an angle with said first plane, with determining said characteristics further including determining said angle.
24. The method as recited in claim 16 wherein sensing said changed properties further includes acquiring a first image of a region of said second substrate in which said volume is located before compressing said volume of fluid and acquiring a second image of said region after compressing said volume of fluid and comparing information in said first and second images associated with said volume of fluid.
US10/318,365 2002-12-12 2002-12-12 Method for determining characteristics of substrate employing fluid geometries Expired - Lifetime US6871558B2 (en)

Priority Applications (10)

Application Number Priority Date Filing Date Title
US10/318,365 US6871558B2 (en) 2002-12-12 2002-12-12 Method for determining characteristics of substrate employing fluid geometries
EP03810066A EP1570249B1 (en) 2002-12-12 2003-12-12 Method and system for determining characteristics of substrates employing fluid geometries
EP11187815.3A EP2418544B1 (en) 2002-12-12 2003-12-12 Method and system for determining characteristics of substrates employing fluid geometries
JP2004560779A JP4563182B2 (en) 2002-12-12 2003-12-12 Method and system for determining substrate characteristics using the shape of a liquid
AU2003302248A AU2003302248A1 (en) 2002-12-12 2003-12-12 Method and system for determining characteristics of substrates employing fluid geometries
CN200380108949.3A CN100485350C (en) 2002-12-12 2003-12-12 Method and system for determining characteristics of substrates employing fluid geometries
PCT/US2003/039449 WO2004055594A2 (en) 2002-12-12 2003-12-12 Method and system for determining characteristics of substrates employing fluid geometries
KR1020057010814A KR101141560B1 (en) 2002-12-12 2003-12-12 Method and system for determining characteristics of substrates employing fluid geometries
US10/863,800 US7036389B2 (en) 2002-12-12 2004-06-08 System for determining characteristics of substrates employing fluid geometries
US10/923,628 US6990870B2 (en) 2002-12-12 2004-08-20 System for determining characteristics of substrates employing fluid geometries

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/318,365 US6871558B2 (en) 2002-12-12 2002-12-12 Method for determining characteristics of substrate employing fluid geometries

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/863,800 Division US7036389B2 (en) 2002-12-12 2004-06-08 System for determining characteristics of substrates employing fluid geometries

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US10/863,800 Division US7036389B2 (en) 2002-12-12 2004-06-08 System for determining characteristics of substrates employing fluid geometries
US10/923,628 Division US6990870B2 (en) 2002-12-12 2004-08-20 System for determining characteristics of substrates employing fluid geometries

Publications (2)

Publication Number Publication Date
US20040112153A1 US20040112153A1 (en) 2004-06-17
US6871558B2 true US6871558B2 (en) 2005-03-29

Family

ID=32506324

Family Applications (3)

Application Number Title Priority Date Filing Date
US10/318,365 Expired - Lifetime US6871558B2 (en) 2002-12-12 2002-12-12 Method for determining characteristics of substrate employing fluid geometries
US10/863,800 Expired - Lifetime US7036389B2 (en) 2002-12-12 2004-06-08 System for determining characteristics of substrates employing fluid geometries
US10/923,628 Expired - Lifetime US6990870B2 (en) 2002-12-12 2004-08-20 System for determining characteristics of substrates employing fluid geometries

Family Applications After (2)

Application Number Title Priority Date Filing Date
US10/863,800 Expired - Lifetime US7036389B2 (en) 2002-12-12 2004-06-08 System for determining characteristics of substrates employing fluid geometries
US10/923,628 Expired - Lifetime US6990870B2 (en) 2002-12-12 2004-08-20 System for determining characteristics of substrates employing fluid geometries

Country Status (7)

Country Link
US (3) US6871558B2 (en)
EP (2) EP2418544B1 (en)
JP (1) JP4563182B2 (en)
KR (1) KR101141560B1 (en)
CN (1) CN100485350C (en)
AU (1) AU2003302248A1 (en)
WO (1) WO2004055594A2 (en)

Cited By (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040223131A1 (en) * 2002-11-13 2004-11-11 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US20050028618A1 (en) * 2002-12-12 2005-02-10 Molecular Imprints, Inc. System for determining characteristics of substrates employing fluid geometries
US20050037143A1 (en) * 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US20050185169A1 (en) * 2004-02-19 2005-08-25 Molecular Imprints, Inc. Method and system to measure characteristics of a film disposed on a substrate
US20050227497A1 (en) * 2004-03-19 2005-10-13 Padovani Agnes M Light transparent substrate imprint tool with light blocking distal end
US20050270516A1 (en) * 2004-06-03 2005-12-08 Molecular Imprints, Inc. System for magnification and distortion correction during nano-scale manufacturing
US20060076717A1 (en) * 2002-07-11 2006-04-13 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US20070132152A1 (en) * 2005-12-08 2007-06-14 Molecular Imprints, Inc. Method and System for Double-Sided Patterning of Substrates
US20070170617A1 (en) * 2006-01-20 2007-07-26 Molecular Imprints, Inc. Patterning Substrates Employing Multiple Chucks
US20070246850A1 (en) * 2006-04-21 2007-10-25 Molecular Imprints, Inc. Method for Detecting a Particle in a Nanoimprint Lithography System
US20080141862A1 (en) * 2003-10-02 2008-06-19 Molecular Imprints, Inc. Single Phase Fluid Imprint Lithography Method
US20080174046A1 (en) * 2002-07-11 2008-07-24 Molecular Imprints Inc. Capillary Imprinting Technique
US20080303187A1 (en) * 2006-12-29 2008-12-11 Molecular Imprints, Inc. Imprint Fluid Control
US20090014917A1 (en) * 2007-07-10 2009-01-15 Molecular Imprints, Inc. Drop Pattern Generation for Imprint Lithography
US20090115110A1 (en) * 2007-11-02 2009-05-07 Molecular Imprints, Inc. Drop Pattern Generation for Imprint Lithography
US20090140445A1 (en) * 2007-12-04 2009-06-04 Molecular Imprints High Throughput Imprint Based on Contact Line Motion Tracking Control
US20090169662A1 (en) * 2004-11-30 2009-07-02 Molecular Imprints, Inc. Enhanced Multi Channel Alignment
US20090200710A1 (en) * 2008-02-08 2009-08-13 Molecular Imprints, Inc. Extrusion reduction in imprint lithography
US20090243153A1 (en) * 2008-04-01 2009-10-01 Molecular Imprints, Inc. Large Area Roll-To-Roll Imprint Lithography
US20090250840A1 (en) * 2006-04-18 2009-10-08 Molecular Imprints, Inc. Template Having Alignment Marks Formed of Contrast Material
US20100098859A1 (en) * 2008-10-21 2010-04-22 Molecular Imprints, Inc. Drop Pattern Generation with Edge Weighting
US20100112220A1 (en) * 2008-11-03 2010-05-06 Molecular Imprints, Inc. Dispense system set-up and characterization
US7785526B2 (en) 2004-07-20 2010-08-31 Molecular Imprints, Inc. Imprint alignment method, system, and template
US20100237042A1 (en) * 2009-03-23 2010-09-23 Intevac, Inc. Process for optimization of island to trench ratio in patterned media
US7880872B2 (en) 2004-11-30 2011-02-01 Molecular Imprints, Inc. Interferometric analysis method for the manufacture of nano-scale devices
US7906058B2 (en) 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
US7981481B2 (en) 2004-09-23 2011-07-19 Molecular Imprints, Inc. Method for controlling distribution of fluid components on a body
US8215946B2 (en) 2006-05-18 2012-07-10 Molecular Imprints, Inc. Imprint lithography system and method
US8586126B2 (en) 2008-10-21 2013-11-19 Molecular Imprints, Inc. Robust optimization to generate drop patterns in imprint lithography which are tolerant of variations in drop volume and drop placement
US10514599B2 (en) 2014-08-14 2019-12-24 Canon Kabushiki Kaisha Imprint apparatus and method of manufacturing article

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080160129A1 (en) * 2006-05-11 2008-07-03 Molecular Imprints, Inc. Template Having a Varying Thickness to Facilitate Expelling a Gas Positioned Between a Substrate and the Template
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
JP2005153091A (en) * 2003-11-27 2005-06-16 Hitachi Ltd Transfer method and transfer device
US7906180B2 (en) 2004-02-27 2011-03-15 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
JP4792028B2 (en) * 2004-06-03 2011-10-12 モレキュラー・インプリンツ・インコーポレーテッド Fluid distribution and drop-on-demand distribution technology in nanoscale manufacturing technology
JP4574240B2 (en) * 2004-06-11 2010-11-04 キヤノン株式会社 Processing apparatus, processing method, device manufacturing method
US7085673B2 (en) * 2004-08-31 2006-08-01 Hewlett-Packard Development Company, L.P. Displacement estimation system and method
WO2006033872A2 (en) * 2004-09-21 2006-03-30 Molecular Imprints, Inc. Method of forming an in-situ recessed structure
JP2006165371A (en) * 2004-12-09 2006-06-22 Canon Inc Transfer apparatus and device manufacturing method
KR20060079710A (en) * 2005-01-03 2006-07-06 삼성전자주식회사 Method for measuring cell gap, method for manufacturing liquid crystal display including the method, device for measuring the cell gap, and in-line system including the device
JP4500183B2 (en) * 2005-02-25 2010-07-14 東芝機械株式会社 Transfer device
US7692771B2 (en) 2005-05-27 2010-04-06 Asml Netherlands B.V. Imprint lithography
US7708924B2 (en) 2005-07-21 2010-05-04 Asml Netherlands B.V. Imprint lithography
JP5002211B2 (en) * 2005-08-12 2012-08-15 キヤノン株式会社 Imprint apparatus and imprint method
JP5268239B2 (en) * 2005-10-18 2013-08-21 キヤノン株式会社 Pattern forming apparatus and pattern forming method
US7998651B2 (en) 2006-05-15 2011-08-16 Asml Netherlands B.V. Imprint lithography
US8025829B2 (en) * 2006-11-28 2011-09-27 Nanonex Corporation Die imprint by double side force-balanced press for step-and-repeat imprint lithography
JP4810496B2 (en) * 2007-04-25 2011-11-09 株式会社東芝 Pattern forming apparatus, pattern forming method, and template
US20090148619A1 (en) * 2007-12-05 2009-06-11 Molecular Imprints, Inc. Controlling Thickness of Residual Layer
US8237133B2 (en) * 2008-10-10 2012-08-07 Molecular Imprints, Inc. Energy sources for curing in an imprint lithography system
US20100096764A1 (en) * 2008-10-20 2010-04-22 Molecular Imprints, Inc. Gas Environment for Imprint Lithography
JP5173944B2 (en) * 2009-06-16 2013-04-03 キヤノン株式会社 Imprint apparatus and article manufacturing method
JP5583374B2 (en) * 2009-09-07 2014-09-03 株式会社島津製作所 Photo-curing resin characteristic testing device, holder used in the testing device, and characteristic testing method
US8891080B2 (en) * 2010-07-08 2014-11-18 Canon Nanotechnologies, Inc. Contaminate detection and substrate cleaning
JP6282069B2 (en) * 2013-09-13 2018-02-21 キヤノン株式会社 Imprint apparatus, imprint method, detection method, and device manufacturing method
JP2014064022A (en) * 2013-11-11 2014-04-10 Canon Inc Imprint device
SG11201610436SA (en) * 2014-07-03 2017-01-27 Dws Srl Stereolithography method comprising a vertical compensation process, as well as apparatus and computer program product suited to implement said method.
JP6552521B2 (en) 2014-12-12 2019-07-31 キヤノン株式会社 Imprint apparatus, imprint method, and method of manufacturing article
JP5933060B2 (en) * 2015-03-13 2016-06-08 キヤノン株式会社 Imprint apparatus and method, and article manufacturing method
JP6685821B2 (en) * 2016-04-25 2020-04-22 キヤノン株式会社 Measuring apparatus, imprint apparatus, article manufacturing method, light quantity determination method, and light quantity adjustment method
JP6700936B2 (en) * 2016-04-25 2020-05-27 キヤノン株式会社 Imprint apparatus, imprint method, and article manufacturing method

Citations (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3783520A (en) 1970-09-28 1974-01-08 Bell Telephone Labor Inc High accuracy alignment procedure utilizing moire patterns
US4070116A (en) 1975-06-23 1978-01-24 International Business Machines Corporation Gap measuring device for defining the distance between two or more surfaces
US4119688A (en) 1975-11-03 1978-10-10 International Business Machines Corporation Electro-lithography method
US4201800A (en) 1978-04-28 1980-05-06 International Business Machines Corp. Hardened photoresist master image mask process
US4426247A (en) 1982-04-12 1984-01-17 Nippon Telegraph & Telephone Public Corporation Method for forming micropattern
US4507331A (en) 1983-12-12 1985-03-26 International Business Machines Corporation Dry process for forming positive tone micro patterns
US4552833A (en) 1984-05-14 1985-11-12 International Business Machines Corporation Radiation sensitive and oxygen plasma developable resist
US4600309A (en) 1982-12-30 1986-07-15 Thomson-Csf Process and apparatus for theoptical alignment of patterns in two close-up planes in an exposure means incorporating a divergent radiation source
US4657845A (en) 1986-01-14 1987-04-14 International Business Machines Corporation Positive tone oxygen plasma developable photoresist
US4692205A (en) 1986-01-31 1987-09-08 International Business Machines Corporation Silicon-containing polyimides as oxygen etch stop and dual dielectric coatings
US4707218A (en) 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
US4731155A (en) 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US4737425A (en) 1986-06-10 1988-04-12 International Business Machines Corporation Patterned resist and process
US4808511A (en) 1987-05-19 1989-02-28 International Business Machines Corporation Vapor phase photoresist silylation process
US4826943A (en) 1986-07-25 1989-05-02 Oki Electric Industry Co., Ltd. Negative resist material
US4848911A (en) 1986-06-11 1989-07-18 Kabushiki Kaisha Toshiba Method for aligning first and second objects, relative to each other, and apparatus for practicing this method
US4857477A (en) 1986-09-18 1989-08-15 Oki Electric Industry Co., Ltd. Process for fabricating a semiconductor device
US4891303A (en) 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
US4908298A (en) 1985-03-19 1990-03-13 International Business Machines Corporation Method of creating patterned multilayer films for use in production of semiconductor circuits and systems
US4919748A (en) 1989-06-30 1990-04-24 At&T Bell Laboratories Method for tapered etching
US4921778A (en) 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US4931351A (en) 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US4964945A (en) 1988-12-09 1990-10-23 Minnesota Mining And Manufacturing Company Lift off patterning process on a flexible substrate
US4976818A (en) 1987-10-26 1990-12-11 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
US4980316A (en) 1988-07-20 1990-12-25 Siemens Aktiengesellschaft Method for producing a resist structure on a semiconductor
US4999280A (en) 1989-03-17 1991-03-12 International Business Machines Corporation Spray silylation of photoresist images
US5053318A (en) 1989-05-18 1991-10-01 Shipley Company Inc. Plasma processing with metal mask integration
US5071694A (en) 1989-02-21 1991-12-10 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha Multi-layer resist
US5074667A (en) 1988-08-15 1991-12-24 Sumitomo Heavy Industries Co. Ltd. Position detector employing a sector fresnel zone plate
US5108875A (en) 1988-07-29 1992-04-28 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US5148036A (en) 1989-07-18 1992-09-15 Canon Kabushiki Kaisha Multi-axis wafer position detecting system using a mark having optical power
US5148037A (en) 1988-09-09 1992-09-15 Canon Kabushiki Kaisha Position detecting method and apparatus
US5151754A (en) 1989-10-06 1992-09-29 Kabushiki Kaisha Toshiba Method and an apparatus for measuring a displacement between two objects and a method and an apparatus for measuring a gap distance between two objects
US5169494A (en) 1989-03-27 1992-12-08 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
US5173393A (en) 1989-04-24 1992-12-22 Siemens Aktiengesellschaft Etch-resistant deep ultraviolet resist process having an aromatic treating step after development
US5179863A (en) 1990-03-05 1993-01-19 Kabushiki Kaisha Toshiba Method and apparatus for setting the gap distance between a mask and a wafer at a predetermined distance
US5198326A (en) 1990-05-24 1993-03-30 Matsushita Electric Industrial Co., Ltd. Process for forming fine pattern
US5212147A (en) 1991-05-15 1993-05-18 Hewlett-Packard Company Method of forming a patterned in-situ high Tc superconductive film
US5234793A (en) 1989-04-24 1993-08-10 Siemens Aktiengesellschaft Method for dimensionally accurate structure transfer in bilayer technique wherein a treating step with a bulging agent is employed after development
US5240878A (en) 1991-04-26 1993-08-31 International Business Machines Corporation Method for forming patterned films on a substrate
US5242711A (en) 1991-08-16 1993-09-07 Rockwell International Corp. Nucleation control of diamond films by microlithographic patterning
US5244818A (en) 1992-04-08 1993-09-14 Georgia Tech Research Corporation Processes for lift-off of thin film materials and for the fabrication of three dimensional integrated circuits
US5314772A (en) 1990-10-09 1994-05-24 Arizona Board Of Regents High resolution, multi-layer resist for microlithography and method therefor
US5318870A (en) 1989-10-18 1994-06-07 Massachusetts Institute Of Technology Method of patterning a phenolic polymer film without photoactive additive through exposure to high energy radiation below 225 nm with subsequent organometallic treatment and the associated imaged article
US5324683A (en) 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5330881A (en) 1989-06-02 1994-07-19 Digital Equipment Corp. Microlithographic method for producing thick, vertically-walled photoresist patterns
US5362606A (en) 1989-10-18 1994-11-08 Massachusetts Institute Of Technology Positive resist pattern formation through focused ion beam exposure and surface barrier silylation
US5366851A (en) 1991-07-23 1994-11-22 At&T Bell Laboratories Device fabrication process
US5374454A (en) 1990-09-18 1994-12-20 International Business Machines Incorporated Method for conditioning halogenated polymeric materials and structures fabricated therewith
US5376810A (en) 1992-06-26 1994-12-27 California Institute Of Technology Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response
US5380474A (en) 1993-05-20 1995-01-10 Sandia Corporation Methods for patterned deposition on a substrate
US5417802A (en) 1994-03-18 1995-05-23 At&T Corp. Integrated circuit manufacturing
US5421981A (en) 1991-06-26 1995-06-06 Ppg Industries, Inc. Electrochemical sensor storage device
US5422295A (en) 1992-12-10 1995-06-06 Samsung Electronics Co., Ltd. Method for forming a semiconductor memory device having a vertical multi-layered storage electrode
US5424549A (en) 1991-12-20 1995-06-13 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Scanning systems for high resolution e-beam and X-ray lithography
US5431777A (en) 1992-09-17 1995-07-11 International Business Machines Corporation Methods and compositions for the selective etching of silicon
US5439766A (en) 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
US5453157A (en) 1994-05-16 1995-09-26 Texas Instruments Incorporated Low temperature anisotropic ashing of resist for semiconductor fabrication
US5458520A (en) 1994-12-13 1995-10-17 International Business Machines Corporation Method for producing planar field emission structure
US5468542A (en) 1985-12-23 1995-11-21 General Electric Company Method for production of a coated substrate with controlled surface characteristics
US5654238A (en) 1995-08-03 1997-08-05 International Business Machines Corporation Method for etching vertical contact holes without substrate damage caused by directional etching
US5670415A (en) 1994-05-24 1997-09-23 Depositech, Inc. Method and apparatus for vacuum deposition of highly ionized media in an electromagnetic controlled environment
US5700626A (en) 1994-01-12 1997-12-23 Lg Semicon Co., Ltd. Method for forming multi-layer resist pattern
US5723176A (en) 1994-03-02 1998-03-03 Telecommunications Research Laboratories Method and apparatus for making optical components by direct dispensing of curable liquid
US5736424A (en) 1987-02-27 1998-04-07 Lucent Technologies Inc. Device fabrication involving planarization
US5743998A (en) 1995-04-19 1998-04-28 Park Scientific Instruments Process for transferring microminiature patterns using spin-on glass resist media
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US5776748A (en) 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
US5837892A (en) * 1996-10-25 1998-11-17 Camelot Systems, Inc. Method and apparatus for measuring the size of drops of a viscous material dispensed from a dispensing system
US5895263A (en) 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US5907782A (en) 1998-08-15 1999-05-25 Acer Semiconductor Manufacturing Inc. Method of forming a multiple fin-pillar capacitor for a high density dram cell
US5912049A (en) 1997-08-12 1999-06-15 Micron Technology, Inc. Process liquid dispense method and apparatus
US5926690A (en) 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US5948219A (en) 1997-05-07 1999-09-07 Advanced Micro Devices, Inc. Apparatus for selectively exposing a semiconductor topography to an electric field
US5948570A (en) 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
US6016696A (en) * 1998-09-25 2000-01-25 Lucent Technologies Inc. Method for determining volume changes in viscous liquids
US6033977A (en) 1997-06-30 2000-03-07 Siemens Aktiengesellschaft Dual damascene structure
US6039897A (en) 1996-08-28 2000-03-21 University Of Washington Multiple patterned structures on a single substrate fabricated by elastomeric micro-molding techniques
US6074827A (en) 1996-07-30 2000-06-13 Aclara Biosciences, Inc. Microfluidic method for nucleic acid purification and processing
US6096655A (en) 1998-09-02 2000-08-01 International Business Machines, Corporation Method for forming vias and trenches in an insulation layer for a dual-damascene multilevel interconnection structure
US6150680A (en) 1998-03-05 2000-11-21 Welch Allyn, Inc. Field effect semiconductor device having dipole barrier
US6150231A (en) 1998-06-15 2000-11-21 Siemens Aktiengesellschaft Overlay measurement technique using moire patterns
US6245581B1 (en) 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
US6274294B1 (en) 1999-02-03 2001-08-14 Electroformed Stents, Inc. Cylindrical photolithography exposure process and apparatus
US6326627B1 (en) 2000-08-02 2001-12-04 Archimedes Technology Group, Inc. Mass filtering sputtered ion source
US6329256B1 (en) 1999-09-24 2001-12-11 Advanced Micro Devices, Inc. Self-aligned damascene gate formation with low gate resistance
US6334960B1 (en) 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6383928B1 (en) 1999-09-02 2002-05-07 Texas Instruments Incorporated Post copper CMP clean
US6388253B1 (en) 1999-06-29 2002-05-14 Applied Materials, Inc. Integrated critical dimension control for semiconductor device manufacturing
US6387783B1 (en) 1999-04-26 2002-05-14 International Business Machines Corporation Methods of T-gate fabrication using a hybrid resist
US6391798B1 (en) 1987-02-27 2002-05-21 Agere Systems Guardian Corp. Process for planarization a semiconductor substrate
US6455411B1 (en) 2000-09-11 2002-09-24 Texas Instruments Incorporated Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics
US6482742B1 (en) 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US6489068B1 (en) 2001-02-21 2002-12-03 Advanced Micro Devices, Inc. Process for observing overlay errors on lithographic masks
US6514672B2 (en) 1999-06-17 2003-02-04 Taiwan Semiconductor Manufacturing Company Dry development process for a bi-layer resist system
US6534418B1 (en) 2001-04-30 2003-03-18 Advanced Micro Devices, Inc. Use of silicon containing imaging layer to define sub-resolution gate structures
US6541360B1 (en) 2001-04-30 2003-04-01 Advanced Micro Devices, Inc. Bi-layer trim etch process to form integrated circuit gate structures

Family Cites Families (167)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3527062A (en) 1968-09-25 1970-09-08 Singer General Precision Universal joint flexure hinge
US3807027A (en) 1972-03-31 1974-04-30 Johns Manville Method of forming the bell end of a bell and spigot joint
US3811665A (en) 1972-09-05 1974-05-21 Bendix Corp Flexural pivot with diaphragm means
US3807029A (en) 1972-09-05 1974-04-30 Bendix Corp Method of making a flexural pivot
US4062600A (en) 1976-04-05 1977-12-13 Litton Systems, Inc. Dual-gimbal gyroscope flexure suspension
US4098001A (en) 1976-10-13 1978-07-04 The Charles Stark Draper Laboratory, Inc. Remote center compliance system
US4155169A (en) 1978-03-16 1979-05-22 The Charles Stark Draper Laboratory, Inc. Compliant assembly system device
JPS6053675B2 (en) 1978-09-20 1985-11-27 富士写真フイルム株式会社 Spin coating method
US4202107A (en) 1978-10-23 1980-05-13 Watson Paul C Remote axis admittance system
US4326805A (en) 1980-04-11 1982-04-27 Bell Telephone Laboratories, Incorporated Method and apparatus for aligning mask and wafer members
US4337579A (en) 1980-04-16 1982-07-06 The Charles Stark Draper Laboratory, Inc. Deformable remote center compliance device
US4355469A (en) 1980-11-28 1982-10-26 The Charles Stark Draper Laboratory, Inc. Folded remote center compliance device
US4414750A (en) 1981-10-19 1983-11-15 The Charles Stark Draper Laboratory, Inc. Single stage remote center compliance device
DE3208081A1 (en) 1982-03-06 1983-09-08 Braun Ag, 6000 Frankfurt METHOD FOR PRODUCING A SHEET-LIKE SHEAR FILM FOR AN ELECTRICALLY OPERATED DRY SHAVER WITH Raises On Its Face Facing The Skin
US4440804A (en) 1982-08-02 1984-04-03 Fairchild Camera & Instrument Corporation Lift-off process for fabricating self-aligned contacts
US4544572A (en) 1982-09-07 1985-10-01 Minnesota Mining And Manufacturing Company Coated ophthalmic lenses and method for coating the same
JPS5972727A (en) 1982-10-19 1984-04-24 Matsushita Electric Ind Co Ltd Positioning table
US4451507A (en) 1982-10-29 1984-05-29 Rca Corporation Automatic liquid dispensing apparatus for spinning surface of uniform thickness
US4512848A (en) 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4694703A (en) 1984-06-28 1987-09-22 Lear Siegler, Inc. Circumferentially oriented flexure suspension
US5571471A (en) 1984-08-08 1996-11-05 3D Systems, Inc. Method of production of three-dimensional objects by stereolithography
JPS61116358A (en) 1984-11-09 1986-06-03 Mitsubishi Electric Corp Photomask material
US4724222A (en) 1986-04-28 1988-02-09 American Telephone And Telegraph Company, At&T Bell Laboratories Wafer chuck comprising a curved reference surface
US4929083A (en) 1986-06-19 1990-05-29 Xerox Corporation Focus and overlay characterization and optimization for photolithographic exposure
FR2604553A1 (en) 1986-09-29 1988-04-01 Rhone Poulenc Chimie RIGID POLYMER SUBSTRATE FOR OPTICAL DISC AND OPTICAL DISCS OBTAINED FROM THE SUBSTRATE
JPH06104375B2 (en) 1986-11-10 1994-12-21 松下電器産業株式会社 Printing method
JPS63162132A (en) 1986-12-26 1988-07-05 Nippon Thompson Co Ltd Xy table
US4772878A (en) 1987-05-06 1988-09-20 Kane Roger A Merchandise theft deterrent sensor
US5096368A (en) * 1987-11-20 1992-03-17 Butterfield Floyd S Method for storing and transporting stacks of flexible sheets
US5028366A (en) 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
US4848179A (en) 1988-02-16 1989-07-18 Trw Inc. Flexidigit robotic manipulator
DE3805631A1 (en) 1988-02-24 1989-09-07 Teldix Gmbh TURNING VIBRATION DRIVE
US4846931A (en) 1988-03-29 1989-07-11 Bell Communications Research, Inc. Method for lifting-off epitaxial films
US4883561A (en) 1988-03-29 1989-11-28 Bell Communications Research, Inc. Lift-off and subsequent bonding of epitaxial films
US4887283A (en) 1988-09-27 1989-12-12 Mitsubishi Denki Kabushiki Kaisha X-ray mask and exposure method employing the same
US5876550A (en) 1988-10-05 1999-03-02 Helisys, Inc. Laminated object manufacturing apparatus and method
US5171490A (en) 1988-11-29 1992-12-15 Fudim Efrem V Method and apparatus for production of three-dimensional objects by irradiation of photopolymers
JPH02289311A (en) * 1989-01-25 1990-11-29 Hoya Corp Manufacture of stamper and board for information recording medium for which stamper is used
US5110514A (en) 1989-05-01 1992-05-05 Soane Technologies, Inc. Controlled casting of a shrinkable material
US5240658A (en) * 1991-03-26 1993-08-31 Lukacs Iii Alexander Reaction injection molding of silicon nitride ceramics having crystallized grain boundary phases
US4964145A (en) 1989-07-24 1990-10-16 International Business Machines Corporation System for magnification correction of conductive X-ray lithography mask substrates
US5505349A (en) 1990-02-09 1996-04-09 Berg Company, A Division Of Dec International, Inc. Electronic dispensing heads
US5073230A (en) 1990-04-17 1991-12-17 Arizona Board Of Regents Acting On Behalf Of Arizona State University Means and methods of lifting and relocating an epitaxial device layer
DE4029912A1 (en) 1990-09-21 1992-03-26 Philips Patentverwaltung METHOD FOR FORMING AT LEAST ONE TRENCH IN A SUBSTRATE LAYER
US5126006A (en) 1990-10-30 1992-06-30 International Business Machines Corp. Plural level chip masking
US5072126A (en) 1990-10-31 1991-12-10 International Business Machines Corporation Promixity alignment using polarized illumination and double conjugate projection lens
JP2796899B2 (en) 1991-02-16 1998-09-10 住友重機械工業株式会社 Illumination method for band light and multicolor light in a chromatic aberration double focus device
US5155749A (en) 1991-03-28 1992-10-13 International Business Machines Corporation Variable magnification mask for X-ray lithography
US5206983A (en) 1991-06-24 1993-05-04 Wisconsin Alumni Research Foundation Method of manufacturing micromechanical devices
US5563702A (en) 1991-08-22 1996-10-08 Kla Instruments Corporation Automated photomask inspection apparatus and method
JPH0553289A (en) 1991-08-22 1993-03-05 Nec Corp Production of phase shift reticle
JPH0555654A (en) 1991-08-26 1993-03-05 Nec Corp Piezoelectric element displacement magnification mechanism
US5357122A (en) 1991-09-05 1994-10-18 Sony Corporation Three-dimensional optical-electronic integrated circuit device with raised sections
US5317386A (en) 1991-09-06 1994-05-31 Eastman Kodak Company Optical monitor for measuring a gap between two rollers
JPH0580530A (en) 1991-09-24 1993-04-02 Hitachi Ltd Production of thin film pattern
US5277749A (en) 1991-10-17 1994-01-11 International Business Machines Corporation Methods and apparatus for relieving stress and resisting stencil delamination when performing lift-off processes that utilize high stress metals and/or multiple evaporation steps
JP3074579B2 (en) 1992-01-31 2000-08-07 キヤノン株式会社 Position shift correction method
US5204739A (en) 1992-02-07 1993-04-20 Karl Suss America, Inc. Proximity mask alignment using a stored video image
US5545367A (en) 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
EP0568478A1 (en) 1992-04-29 1993-11-03 International Business Machines Corporation Darkfield alignment system using a confocal spatial filter
US5731981A (en) 1992-06-08 1998-03-24 Azbar, Inc. Beverage dispensing system for bar
US5601641A (en) 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
JPH06183561A (en) 1992-12-18 1994-07-05 Canon Inc Moving stage device
JP2821073B2 (en) 1992-12-18 1998-11-05 松下電器産業株式会社 Gap control device and gap control method
DE69405451T2 (en) 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Method and device for producing a structured relief image from cross-linked photoresist on a flat substrate surface
US5348616A (en) 1993-05-03 1994-09-20 Motorola, Inc. Method for patterning a mold
US5884292A (en) 1993-05-06 1999-03-16 Pitney Bowes Inc. System for smart card funds refill
US5414514A (en) 1993-06-01 1995-05-09 Massachusetts Institute Of Technology On-axis interferometric alignment of plates using the spatial phase of interference patterns
JP2837063B2 (en) 1993-06-04 1998-12-14 シャープ株式会社 Method of forming resist pattern
US5512131A (en) 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US5900160A (en) 1993-10-04 1999-05-04 President And Fellows Of Harvard College Methods of etching articles via microcontact printing
US6180239B1 (en) * 1993-10-04 2001-01-30 President And Fellows Of Harvard College Microcontact printing on surfaces and derivative articles
US6776094B1 (en) * 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
NL9401260A (en) 1993-11-12 1995-06-01 Cornelis Johannes Maria Van Ri Membrane for microfiltration, ultrafiltration, gas separation and catalysis, method for manufacturing such a membrane, mold for manufacturing such a membrane, as well as various separation systems comprising such a membrane.
US5528118A (en) 1994-04-01 1996-06-18 Nikon Precision, Inc. Guideless stage with isolated reaction stage
US5523878A (en) 1994-06-30 1996-06-04 Texas Instruments Incorporated Self-assembled monolayer coating for micro-mechanical devices
US5425964A (en) 1994-07-22 1995-06-20 Rockwell International Corporation Deposition of multiple layer thin films using a broadband spectral monitor
US5515167A (en) 1994-09-13 1996-05-07 Hughes Aircraft Company Transparent optical chuck incorporating optical monitoring
US5504793A (en) 1995-02-17 1996-04-02 Loral Federal Systems Company Magnification correction for 1-X proximity X-Ray lithography
US5849209A (en) 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
US5808742A (en) 1995-05-31 1998-09-15 Massachusetts Institute Of Technology Optical alignment apparatus having multiple parallel alignment marks
US5625193A (en) 1995-07-10 1997-04-29 Qc Optics, Inc. Optical inspection system and method for detecting flaws on a diffractive surface
JP3624476B2 (en) 1995-07-17 2005-03-02 セイコーエプソン株式会社 Manufacturing method of semiconductor laser device
AU6774996A (en) * 1995-08-18 1997-03-12 President And Fellows Of Harvard College Self-assembled monolayer directed patterning of surfaces
US5566584A (en) 1995-08-31 1996-10-22 Beta Squared, Inc. Flexure support for a fixture positioning device
US5545570A (en) 1995-09-29 1996-08-13 Taiwan Semiconductor Manufacturing Company Method of inspecting first layer overlay shift in global alignment process
US5825482A (en) 1995-09-29 1998-10-20 Kla-Tencor Corporation Surface inspection system with misregistration error correction and adaptive illumination
US5849222A (en) 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
US6518189B1 (en) * 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US20030080471A1 (en) * 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method for molding pattern with nanoscale features
US20040036201A1 (en) * 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US6309580B1 (en) * 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US5747102A (en) 1995-11-16 1998-05-05 Nordson Corporation Method and apparatus for dispensing small amounts of liquid material
JP2842362B2 (en) 1996-02-29 1999-01-06 日本電気株式会社 Superposition measurement method
US5725788A (en) 1996-03-04 1998-03-10 Motorola Apparatus and method for patterning a surface
US5669303A (en) 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US6355198B1 (en) * 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
JP3832891B2 (en) 1996-03-28 2006-10-11 日本トムソン株式会社 XY table using linear electromagnetic actuator
JPH09283621A (en) 1996-04-10 1997-10-31 Murata Mfg Co Ltd Formation of t-type gate electrode of semiconductor device and structure thereof
US5942443A (en) * 1996-06-28 1999-08-24 Caliper Technologies Corporation High throughput screening assay systems in microscale fluidic devices
US5802914A (en) 1996-05-30 1998-09-08 Eastman Kodak Company Alignment mechanism using flexures
US5888650A (en) 1996-06-03 1999-03-30 Minnesota Mining And Manufacturing Company Temperature-responsive adhesive article
US5779799A (en) 1996-06-21 1998-07-14 Micron Technology, Inc. Substrate coating apparatus
US6112588A (en) * 1996-10-25 2000-09-05 Speedline Technologies, Inc. Method and apparatus for measuring the size of drops of a viscous material dispensed from a dispensing system
US6036055A (en) 1996-11-12 2000-03-14 Barmate Corporation Wireless liquid portion and inventory control system
DE19710420C2 (en) 1997-03-13 2001-07-12 Helmut Fischer Gmbh & Co Method and device for measuring the thicknesses of thin layers by means of X-ray fluorescence
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US5988859A (en) 1997-07-30 1999-11-23 Kirk; Lester C. Apparatus for dispensing valuable bulk commodities and method therefor
US5877861A (en) 1997-11-14 1999-03-02 International Business Machines Corporation Method for overlay control system
US5937758A (en) 1997-11-26 1999-08-17 Motorola, Inc. Micro-contact printing stamp
US6539286B1 (en) * 1998-01-26 2003-03-25 Micron Technology, Inc. Fluid level sensor
TW352421B (en) * 1998-04-27 1999-02-11 United Microelectronics Corp Method and process of phase shifting mask
US6182042B1 (en) * 1998-07-07 2001-01-30 Creative Technology Ltd. Sound modification employing spectral warping techniques
JP3149855B2 (en) * 1998-08-27 2001-03-26 日本電気株式会社 Solid-state imaging device and method of manufacturing the same
US6713238B1 (en) * 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US6218316B1 (en) * 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
US6204922B1 (en) * 1998-12-11 2001-03-20 Filmetrics, Inc. Rapid and accurate thin film measurement of individual layers in a multi-layered or patterned sample
US6168845B1 (en) * 1999-01-19 2001-01-02 International Business Machines Corporation Patterned magnetic media and method of making the same using selective oxidation
US6565928B2 (en) * 1999-03-08 2003-05-20 Tokyo Electron Limited Film forming method and film forming apparatus
US6569481B1 (en) * 1999-03-29 2003-05-27 The Quaker Oats Company Method for making a puffed food starch product
JP4151151B2 (en) * 1999-04-06 2008-09-17 松下電器産業株式会社 Paste coating apparatus and paste coating method for die bonding
US6052183A (en) * 1999-04-14 2000-04-18 Winbond Electronics Corp In-situ particle monitoring
WO2000072093A1 (en) * 1999-05-25 2000-11-30 Massachusetts Institute Of Technology Optical gap measuring apparatus and method using two-dimensional grating mark with chirp in one direction
US6188150B1 (en) * 1999-06-16 2001-02-13 Euv, Llc Light weight high-stiffness stage platen
US6190929B1 (en) 1999-07-23 2001-02-20 Micron Technology, Inc. Methods of forming semiconductor devices and methods of forming field emission displays
US6517995B1 (en) * 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
US6521324B1 (en) * 1999-11-30 2003-02-18 3M Innovative Properties Company Thermal transfer of microstructured layers
DE19958966A1 (en) * 1999-12-07 2001-06-13 Infineon Technologies Ag Generation of resist structures
AU779699B2 (en) * 1999-12-23 2005-02-10 Universitat Konstanz Methods and apparatus for forming submicron patterns on films
JP3847512B2 (en) * 2000-02-07 2006-11-22 株式会社日立メディコ Magnetic resonance imaging system
US6234379B1 (en) * 2000-02-28 2001-05-22 Nordson Corporation No-flow flux and underfill dispensing methods
US6696157B1 (en) * 2000-03-05 2004-02-24 3M Innovative Properties Company Diamond-like glass thin films
US6337262B1 (en) * 2000-03-06 2002-01-08 Chartered Semiconductor Manufacturing Ltd. Self aligned T-top gate process integration
US6921615B2 (en) * 2000-07-16 2005-07-26 Board Of Regents, The University Of Texas System High-resolution overlay alignment methods for imprint lithography
US7211214B2 (en) * 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
KR20030040378A (en) * 2000-08-01 2003-05-22 보드 오브 리전츠, 더 유니버시티 오브 텍사스 시스템 Methods for high-precision gap and orientation sensing between a transparent template and substrate for imprint lithography
US6777170B1 (en) * 2000-08-04 2004-08-17 Massachusetts Institute Of Technology Stereolithographic patterning by variable dose light delivery
US6730256B1 (en) * 2000-08-04 2004-05-04 Massachusetts Institute Of Technology Stereolithographic patterning with interlayer surface modifications
KR100586913B1 (en) * 2000-08-25 2006-06-07 에이에스엠엘 네델란즈 비.브이. Lithographic apparatus, device manufacturing method, and device manufactured thereby
EP1352295B1 (en) * 2000-10-12 2015-12-23 Board of Regents, The University of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography
JP2004515918A (en) * 2000-12-04 2004-05-27 株式会社荏原製作所 Substrate processing apparatus and method
US6387787B1 (en) * 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6517977B2 (en) * 2001-03-28 2003-02-11 Motorola, Inc. Lithographic template and method of formation and use
US6541356B2 (en) * 2001-05-21 2003-04-01 International Business Machines Corporation Ultimate SIMOX
US6847433B2 (en) * 2001-06-01 2005-01-25 Agere Systems, Inc. Holder, system, and process for improving overlay in lithography
US6561706B2 (en) * 2001-06-28 2003-05-13 Advanced Micro Devices, Inc. Critical dimension monitoring from latent image
US6716767B2 (en) * 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
US6737202B2 (en) * 2002-02-22 2004-05-18 Motorola, Inc. Method of fabricating a tiered structure using a multi-layered resist stack and use
US7455955B2 (en) * 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6735972B2 (en) * 2002-03-26 2004-05-18 Bae Systems Controls, Inc. Apparatus and method to substantially minimize low-cycle fatigue of electrical connections
US6743713B2 (en) * 2002-05-15 2004-06-01 Institute Of Microelectronics Method of forming dual damascene pattern using dual bottom anti-reflective coatings (BARC)
US6926929B2 (en) * 2002-07-09 2005-08-09 Molecular Imprints, Inc. System and method for dispensing liquids
US6932934B2 (en) * 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7019819B2 (en) * 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US7027156B2 (en) * 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US6916584B2 (en) * 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US7071088B2 (en) * 2002-08-23 2006-07-04 Molecular Imprints, Inc. Method for fabricating bulbous-shaped vias
US6936194B2 (en) * 2002-09-05 2005-08-30 Molecular Imprints, Inc. Functional patterning material for imprint lithography processes
US20040065252A1 (en) * 2002-10-04 2004-04-08 Sreenivasan Sidlgata V. Method of forming a layer on a substrate to facilitate fabrication of metrology standards
US8349241B2 (en) * 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US6929762B2 (en) * 2002-11-13 2005-08-16 Molecular Imprints, Inc. Method of reducing pattern distortions during imprint lithography processes
US6871558B2 (en) * 2002-12-12 2005-03-29 Molecular Imprints, Inc. Method for determining characteristics of substrate employing fluid geometries
US6770852B1 (en) * 2003-02-27 2004-08-03 Lam Research Corporation Critical dimension variation compensation across a wafer by means of local wafer temperature control
JP4651390B2 (en) * 2003-03-27 2011-03-16 コリア・インスティテュート・オブ・マシナリー・アンド・マテリアルズ UV nanoimprint lithography using multiple relief element stamps

Patent Citations (101)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3783520A (en) 1970-09-28 1974-01-08 Bell Telephone Labor Inc High accuracy alignment procedure utilizing moire patterns
US4070116A (en) 1975-06-23 1978-01-24 International Business Machines Corporation Gap measuring device for defining the distance between two or more surfaces
US4119688A (en) 1975-11-03 1978-10-10 International Business Machines Corporation Electro-lithography method
US4201800A (en) 1978-04-28 1980-05-06 International Business Machines Corp. Hardened photoresist master image mask process
US4426247A (en) 1982-04-12 1984-01-17 Nippon Telegraph & Telephone Public Corporation Method for forming micropattern
US4600309A (en) 1982-12-30 1986-07-15 Thomson-Csf Process and apparatus for theoptical alignment of patterns in two close-up planes in an exposure means incorporating a divergent radiation source
US4507331A (en) 1983-12-12 1985-03-26 International Business Machines Corporation Dry process for forming positive tone micro patterns
US4552833A (en) 1984-05-14 1985-11-12 International Business Machines Corporation Radiation sensitive and oxygen plasma developable resist
US4908298A (en) 1985-03-19 1990-03-13 International Business Machines Corporation Method of creating patterned multilayer films for use in production of semiconductor circuits and systems
US5468542A (en) 1985-12-23 1995-11-21 General Electric Company Method for production of a coated substrate with controlled surface characteristics
US4657845A (en) 1986-01-14 1987-04-14 International Business Machines Corporation Positive tone oxygen plasma developable photoresist
US4692205A (en) 1986-01-31 1987-09-08 International Business Machines Corporation Silicon-containing polyimides as oxygen etch stop and dual dielectric coatings
US4737425A (en) 1986-06-10 1988-04-12 International Business Machines Corporation Patterned resist and process
US4848911A (en) 1986-06-11 1989-07-18 Kabushiki Kaisha Toshiba Method for aligning first and second objects, relative to each other, and apparatus for practicing this method
US4826943A (en) 1986-07-25 1989-05-02 Oki Electric Industry Co., Ltd. Negative resist material
US4857477A (en) 1986-09-18 1989-08-15 Oki Electric Industry Co., Ltd. Process for fabricating a semiconductor device
US4707218A (en) 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
US4931351A (en) 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US6391798B1 (en) 1987-02-27 2002-05-21 Agere Systems Guardian Corp. Process for planarization a semiconductor substrate
US5736424A (en) 1987-02-27 1998-04-07 Lucent Technologies Inc. Device fabrication involving planarization
US4731155A (en) 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US4808511A (en) 1987-05-19 1989-02-28 International Business Machines Corporation Vapor phase photoresist silylation process
US4976818A (en) 1987-10-26 1990-12-11 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
US4891303A (en) 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
US4980316A (en) 1988-07-20 1990-12-25 Siemens Aktiengesellschaft Method for producing a resist structure on a semiconductor
US4921778A (en) 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US5108875A (en) 1988-07-29 1992-04-28 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US5074667A (en) 1988-08-15 1991-12-24 Sumitomo Heavy Industries Co. Ltd. Position detector employing a sector fresnel zone plate
US5148037A (en) 1988-09-09 1992-09-15 Canon Kabushiki Kaisha Position detecting method and apparatus
US4964945A (en) 1988-12-09 1990-10-23 Minnesota Mining And Manufacturing Company Lift off patterning process on a flexible substrate
US5439766A (en) 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
US5071694A (en) 1989-02-21 1991-12-10 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha Multi-layer resist
US4999280A (en) 1989-03-17 1991-03-12 International Business Machines Corporation Spray silylation of photoresist images
US5169494A (en) 1989-03-27 1992-12-08 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
US5173393A (en) 1989-04-24 1992-12-22 Siemens Aktiengesellschaft Etch-resistant deep ultraviolet resist process having an aromatic treating step after development
US5234793A (en) 1989-04-24 1993-08-10 Siemens Aktiengesellschaft Method for dimensionally accurate structure transfer in bilayer technique wherein a treating step with a bulging agent is employed after development
US5053318A (en) 1989-05-18 1991-10-01 Shipley Company Inc. Plasma processing with metal mask integration
US5330881A (en) 1989-06-02 1994-07-19 Digital Equipment Corp. Microlithographic method for producing thick, vertically-walled photoresist patterns
US4919748A (en) 1989-06-30 1990-04-24 At&T Bell Laboratories Method for tapered etching
US5148036A (en) 1989-07-18 1992-09-15 Canon Kabushiki Kaisha Multi-axis wafer position detecting system using a mark having optical power
US5151754A (en) 1989-10-06 1992-09-29 Kabushiki Kaisha Toshiba Method and an apparatus for measuring a displacement between two objects and a method and an apparatus for measuring a gap distance between two objects
US5318870A (en) 1989-10-18 1994-06-07 Massachusetts Institute Of Technology Method of patterning a phenolic polymer film without photoactive additive through exposure to high energy radiation below 225 nm with subsequent organometallic treatment and the associated imaged article
US5362606A (en) 1989-10-18 1994-11-08 Massachusetts Institute Of Technology Positive resist pattern formation through focused ion beam exposure and surface barrier silylation
US5179863A (en) 1990-03-05 1993-01-19 Kabushiki Kaisha Toshiba Method and apparatus for setting the gap distance between a mask and a wafer at a predetermined distance
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5527662A (en) 1990-05-24 1996-06-18 Matsushita Electric Industrial Co., Ltd. Process for forming fine pattern
US5198326A (en) 1990-05-24 1993-03-30 Matsushita Electric Industrial Co., Ltd. Process for forming fine pattern
US5374454A (en) 1990-09-18 1994-12-20 International Business Machines Incorporated Method for conditioning halogenated polymeric materials and structures fabricated therewith
US5314772A (en) 1990-10-09 1994-05-24 Arizona Board Of Regents High resolution, multi-layer resist for microlithography and method therefor
US5240878A (en) 1991-04-26 1993-08-31 International Business Machines Corporation Method for forming patterned films on a substrate
US5212147A (en) 1991-05-15 1993-05-18 Hewlett-Packard Company Method of forming a patterned in-situ high Tc superconductive film
US5421981A (en) 1991-06-26 1995-06-06 Ppg Industries, Inc. Electrochemical sensor storage device
US5366851A (en) 1991-07-23 1994-11-22 At&T Bell Laboratories Device fabrication process
US5242711A (en) 1991-08-16 1993-09-07 Rockwell International Corp. Nucleation control of diamond films by microlithographic patterning
US5424549A (en) 1991-12-20 1995-06-13 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Scanning systems for high resolution e-beam and X-ray lithography
US5244818A (en) 1992-04-08 1993-09-14 Georgia Tech Research Corporation Processes for lift-off of thin film materials and for the fabrication of three dimensional integrated circuits
US5376810A (en) 1992-06-26 1994-12-27 California Institute Of Technology Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response
US5431777A (en) 1992-09-17 1995-07-11 International Business Machines Corporation Methods and compositions for the selective etching of silicon
US5422295A (en) 1992-12-10 1995-06-06 Samsung Electronics Co., Ltd. Method for forming a semiconductor memory device having a vertical multi-layered storage electrode
US5380474A (en) 1993-05-20 1995-01-10 Sandia Corporation Methods for patterned deposition on a substrate
US5324683A (en) 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
US5776748A (en) 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
US5700626A (en) 1994-01-12 1997-12-23 Lg Semicon Co., Ltd. Method for forming multi-layer resist pattern
US5723176A (en) 1994-03-02 1998-03-03 Telecommunications Research Laboratories Method and apparatus for making optical components by direct dispensing of curable liquid
US5417802A (en) 1994-03-18 1995-05-23 At&T Corp. Integrated circuit manufacturing
US5453157A (en) 1994-05-16 1995-09-26 Texas Instruments Incorporated Low temperature anisotropic ashing of resist for semiconductor fabrication
US6035805A (en) 1994-05-24 2000-03-14 Depositech, Inc. Method and apparatus for vacuum deposition of highly ionized media in an electromagnetic controlled environment
US5670415A (en) 1994-05-24 1997-09-23 Depositech, Inc. Method and apparatus for vacuum deposition of highly ionized media in an electromagnetic controlled environment
US5855686A (en) 1994-05-24 1999-01-05 Depositech, Inc. Method and apparatus for vacuum deposition of highly ionized media in an electromagnetic controlled environment
US5458520A (en) 1994-12-13 1995-10-17 International Business Machines Corporation Method for producing planar field emission structure
US5743998A (en) 1995-04-19 1998-04-28 Park Scientific Instruments Process for transferring microminiature patterns using spin-on glass resist media
US5948570A (en) 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
US5654238A (en) 1995-08-03 1997-08-05 International Business Machines Corporation Method for etching vertical contact holes without substrate damage caused by directional etching
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US6074827A (en) 1996-07-30 2000-06-13 Aclara Biosciences, Inc. Microfluidic method for nucleic acid purification and processing
US6039897A (en) 1996-08-28 2000-03-21 University Of Washington Multiple patterned structures on a single substrate fabricated by elastomeric micro-molding techniques
US5837892A (en) * 1996-10-25 1998-11-17 Camelot Systems, Inc. Method and apparatus for measuring the size of drops of a viscous material dispensed from a dispensing system
US5895263A (en) 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US5948219A (en) 1997-05-07 1999-09-07 Advanced Micro Devices, Inc. Apparatus for selectively exposing a semiconductor topography to an electric field
US5926690A (en) 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6033977A (en) 1997-06-30 2000-03-07 Siemens Aktiengesellschaft Dual damascene structure
US5912049A (en) 1997-08-12 1999-06-15 Micron Technology, Inc. Process liquid dispense method and apparatus
US6150680A (en) 1998-03-05 2000-11-21 Welch Allyn, Inc. Field effect semiconductor device having dipole barrier
US6150231A (en) 1998-06-15 2000-11-21 Siemens Aktiengesellschaft Overlay measurement technique using moire patterns
US5907782A (en) 1998-08-15 1999-05-25 Acer Semiconductor Manufacturing Inc. Method of forming a multiple fin-pillar capacitor for a high density dram cell
US6096655A (en) 1998-09-02 2000-08-01 International Business Machines, Corporation Method for forming vias and trenches in an insulation layer for a dual-damascene multilevel interconnection structure
US6016696A (en) * 1998-09-25 2000-01-25 Lucent Technologies Inc. Method for determining volume changes in viscous liquids
US6274294B1 (en) 1999-02-03 2001-08-14 Electroformed Stents, Inc. Cylindrical photolithography exposure process and apparatus
US6334960B1 (en) 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6387783B1 (en) 1999-04-26 2002-05-14 International Business Machines Corporation Methods of T-gate fabrication using a hybrid resist
US6514672B2 (en) 1999-06-17 2003-02-04 Taiwan Semiconductor Manufacturing Company Dry development process for a bi-layer resist system
US6388253B1 (en) 1999-06-29 2002-05-14 Applied Materials, Inc. Integrated critical dimension control for semiconductor device manufacturing
US6383928B1 (en) 1999-09-02 2002-05-07 Texas Instruments Incorporated Post copper CMP clean
US6329256B1 (en) 1999-09-24 2001-12-11 Advanced Micro Devices, Inc. Self-aligned damascene gate formation with low gate resistance
US6245581B1 (en) 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
US6482742B1 (en) 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US6326627B1 (en) 2000-08-02 2001-12-04 Archimedes Technology Group, Inc. Mass filtering sputtered ion source
US6455411B1 (en) 2000-09-11 2002-09-24 Texas Instruments Incorporated Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics
US6489068B1 (en) 2001-02-21 2002-12-03 Advanced Micro Devices, Inc. Process for observing overlay errors on lithographic masks
US6534418B1 (en) 2001-04-30 2003-03-18 Advanced Micro Devices, Inc. Use of silicon containing imaging layer to define sub-resolution gate structures
US6541360B1 (en) 2001-04-30 2003-04-01 Advanced Micro Devices, Inc. Bi-layer trim etch process to form integrated circuit gate structures

Non-Patent Citations (41)

* Cited by examiner, † Cited by third party
Title
Abstract of Hirai et al., "Mold Surface Treatment for Imprint Lithography, " Aug. 2001, pp. 457-462, vol. 14, No. 3.
Abstract of Japanese Patent 02-24848.
Abstract of Japanese Patent 02-92603.
Abstract of Japanese Patent 55-88332.
Abstract of Japanese Patent 57-7931.
Abstract of Japanese Patent 63-138730.
Abstract of Papirer et al., "The Graftijing of Perflourinated Silanes onto the Surface of Silica: Characterization by inverse Gas Chromatography, " Aug. 1993, pp238-242, vol. 159, Issue 1.
Abstract of Roos et al., "Nanoiprint Lithography with a Commerical 4 inch Bond System for Hot Embossing, " Oct. 2001, pp. 427-435, vol. 4343.
Abstract of Sung et al., "Micro/nano-Tribological Characteristics of Self-Assembled Monoloayer and its Application in Nano-Structure Fabrication, "Jul. 2003, pp. 808-818, vol. 255, No. 7.
Choi et al., "Design of Orientation Stages for Step and Flash Imprint Lithography," Precision Engineering, Journal of the International Societies for Precision Engineering and Nanotechnology, 2001, pp. 192-199, vol. 25.
Choi et al., "Method and System of Automatic Fluid Dispensing for Imprint Lithography Processes," U.S. Patent Application 09/908,455, Filed with USPTO on Jul. 17, 2001.
Choi et al., "Methods for High-Precision Gap and Orientation Sensing Between an Transparent Template and Substrate for Imprint Lithography," U.S. Patent Application 09/920,341, Filed with USPTO on Aug. 1, 2001.
Chou et al., "Imprint Lithography with 25-Nanometer Resolution," Science, Apr. 5, 1996, pp. 85-87, vol. 272.
Chou et al., "Imprint Lithography with Sub-10nm Feature Size and High Throughput," Microelectronic Engineering, 1997, pp. 237-240, vol. 35.
Chou, "Nanoimprint Lithography and Lithographically Induced Self-Assembly," MRS Bulletin, Jul. 2001, pp. 512-517.
Ciba Specialty Chemicals Business Line Coatings, "What is UV Curing?", 45 pp. online Retreived Sep. 24, 2004 from URL:http//www.cibasc.com/Image.asp?id=4040.
Colburn. et al., "Step and Flash Imprint Lithography: A New Approach to High-Resolution Patterning", Proc. of SPIE, 1999, pp. 379-389, vol. 3676.
Communication Relating to the Results of the Partial International Search: International Appl. No. PCT/US2002/015551.
Feynman, Richard P., "There's Plenty of Room at the Bottom-An Invitation to Enter a New Field of Physics, " 12 pp. online Retreived Sep. 23, 2004 from URI:http://www.zyvex.com/nanotech/feynman.html.
Haisma et al., "Mold-Assisted Nanolithography: A Process for Reliable Pattern Replication," Journal of Vacuum Science and Technology, Nov./Dec. 1996, pp. 4124-4128, vol. B 14(6).
Heidari et al., "Nanoimprint Lithography at the 6 in. Wafer Scale, " Journal of Vacuum Science Technology, Nov/Dec 2000, pp. 3557-3560, vol. B, No. 18(6).
Hira et al., "Mold Surface Treatment for Imprint Lithography, " Aug. 2001, pp. 457-462, vol. 14, No. 3.
Hu et al., "Flourescence Probe Technicques (FPT) for Measuring the Relative Efficiencies of Free-Radical Photoinitiators", s0024-9297(97)01390-9; "Macromolecules" 1998, vol. 31, No. 13, pp. 4107-4113, 1998 American Chemical Society. Published on Web May 29, 1998.
Krauss et al., "Fabrication of Nanodevices Using Sub-25nm Imprint Lithography," Appl. Phys. Lett 67(21), 3114-3116, 1995.
Krug et al., "Fine Patterning of Thin Sol-Gel Films," Journal of Non-Crystalline Solids, 1992, pp. 447-450, vol. 147 & 148.
Nerac.com Retro Search, "Multi-Layer Resists", Sep. 2, 2004.
Nerac.com Retro Search, "Reduction of Dimension of Contact Holes", Aug. 31, 2004.
Nerac.com Retro Search, "Trim Etching of Features Formed on an Organic Layer", Sep. 2, 2004.
Nguyen, A. Q., "Asymmetric Fluid-Structure Dynamics in Nanoscale Imprint Lithography," University of Texas at Austin, Aug. 2001.
Papirer et al., "The Graftijing of Perflourinated Silanes onto the Surface of Silica: Characterization by Inverse Gas Chromatography, " Aug. 1993, pp238-242, vol. 159, Issue 1.
Roos et al., "Nanoimprint Lithography with a Commerical 4 Inch Bond System for Hot Embossing, " Oct. 2001, pp. 427-435, vol. 4343.
Scheer et al., "Problems of the Nanolmprinting Technique for Nanometer Scale Pattern Definition," Journal of Vacuum Science and Technology, Nov./Dec. 1998, pp. 3917-3921, vol. B 16(6).
Sreenivasan et al., "High-Resolution Overlay Alignment Methods and Systems for Imprint Lithography," U.S. Patent Application 09/907,512, Filed with USPTO on Jun. 16, 2001.
Sreenivasan et al., "Step and Repeat Imprint Lithography Processes," U.S. Patent Application 10/194,991, Filed with USPTO Jul. 11, 2002.
Sreenivasan et al., "Step and Repeat Imprint Lithography Systems," U.S. Patent Application 10/194,414, Filed with USPTO Jul. 11, 2002.
Sung et al., "Micro/nano-tribological Characteristics of Self-Assembled Monoloayer and its Application in Nano-Structure Fabrication, " Jul. 2003, pp. 808-818, vol. 255, No. 7.
Translation of Japanese Patent 02-24848.
Translation of Japanese Patent 02-92603.
Watts et al., "System and Method for Dispensing Liquids," U.S. Patent Application 10/191,749, Filed with USPTO Jul. 9, 2002.
Xia et al., "Soft Lithography," Agnew. Chem. Int. Ed., 1998, pp. 550-575, vol. 37.
Xia et al., "Soft Lithography," Annu. Rev. Mater. Sci., 1998, pp. 153-184, vol. 28.

Cited By (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050037143A1 (en) * 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US7727453B2 (en) 2002-07-11 2010-06-01 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US7708926B2 (en) 2002-07-11 2010-05-04 Molecular Imprints, Inc. Capillary imprinting technique
US20060076717A1 (en) * 2002-07-11 2006-04-13 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US20080174046A1 (en) * 2002-07-11 2008-07-24 Molecular Imprints Inc. Capillary Imprinting Technique
US20040223131A1 (en) * 2002-11-13 2004-11-11 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US8282383B2 (en) * 2002-11-13 2012-10-09 Molecular Imprints, Inc. Method for expelling gas positioned between a substrate and a mold
US20100143521A1 (en) * 2002-11-13 2010-06-10 Molecular Imprints, Inc. Method for Expelling Gas Positioned Between a Substrate and a Mold
US6982783B2 (en) 2002-11-13 2006-01-03 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US7691313B2 (en) 2002-11-13 2010-04-06 Molecular Imprints, Inc. Method for expelling gas positioned between a substrate and a mold
US20050028618A1 (en) * 2002-12-12 2005-02-10 Molecular Imprints, Inc. System for determining characteristics of substrates employing fluid geometries
US20080141862A1 (en) * 2003-10-02 2008-06-19 Molecular Imprints, Inc. Single Phase Fluid Imprint Lithography Method
US8211214B2 (en) 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US20050185169A1 (en) * 2004-02-19 2005-08-25 Molecular Imprints, Inc. Method and system to measure characteristics of a film disposed on a substrate
US7019835B2 (en) 2004-02-19 2006-03-28 Molecular Imprints, Inc. Method and system to measure characteristics of a film disposed on a substrate
US7168936B2 (en) * 2004-03-19 2007-01-30 Intel Corporation Light transparent substrate imprint tool with light blocking distal end
US20050227497A1 (en) * 2004-03-19 2005-10-13 Padovani Agnes M Light transparent substrate imprint tool with light blocking distal end
US20050270516A1 (en) * 2004-06-03 2005-12-08 Molecular Imprints, Inc. System for magnification and distortion correction during nano-scale manufacturing
US8366434B2 (en) * 2004-07-20 2013-02-05 Molecular Imprints, Inc. Imprint alignment method, system and template
US20100278955A1 (en) * 2004-07-20 2010-11-04 Molecular Imprints, Inc. Imprint Alignment Method, System and Template
US7785526B2 (en) 2004-07-20 2010-08-31 Molecular Imprints, Inc. Imprint alignment method, system, and template
US7981481B2 (en) 2004-09-23 2011-07-19 Molecular Imprints, Inc. Method for controlling distribution of fluid components on a body
US7785096B2 (en) 2004-11-30 2010-08-31 Molecular Imprints, Inc. Enhanced multi channel alignment
US20090169662A1 (en) * 2004-11-30 2009-07-02 Molecular Imprints, Inc. Enhanced Multi Channel Alignment
US7880872B2 (en) 2004-11-30 2011-02-01 Molecular Imprints, Inc. Interferometric analysis method for the manufacture of nano-scale devices
US7906058B2 (en) 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
US7670529B2 (en) 2005-12-08 2010-03-02 Molecular Imprints, Inc. Method and system for double-sided patterning of substrates
US20070132152A1 (en) * 2005-12-08 2007-06-14 Molecular Imprints, Inc. Method and System for Double-Sided Patterning of Substrates
US7670530B2 (en) 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
US20070170617A1 (en) * 2006-01-20 2007-07-26 Molecular Imprints, Inc. Patterning Substrates Employing Multiple Chucks
US8012395B2 (en) 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
US20090250840A1 (en) * 2006-04-18 2009-10-08 Molecular Imprints, Inc. Template Having Alignment Marks Formed of Contrast Material
US20070246850A1 (en) * 2006-04-21 2007-10-25 Molecular Imprints, Inc. Method for Detecting a Particle in a Nanoimprint Lithography System
US7854867B2 (en) 2006-04-21 2010-12-21 Molecular Imprints, Inc. Method for detecting a particle in a nanoimprint lithography system
US8215946B2 (en) 2006-05-18 2012-07-10 Molecular Imprints, Inc. Imprint lithography system and method
US20080303187A1 (en) * 2006-12-29 2008-12-11 Molecular Imprints, Inc. Imprint Fluid Control
US20090014917A1 (en) * 2007-07-10 2009-01-15 Molecular Imprints, Inc. Drop Pattern Generation for Imprint Lithography
US20090115110A1 (en) * 2007-11-02 2009-05-07 Molecular Imprints, Inc. Drop Pattern Generation for Imprint Lithography
US8119052B2 (en) 2007-11-02 2012-02-21 Molecular Imprints, Inc. Drop pattern generation for imprint lithography
US8945444B2 (en) 2007-12-04 2015-02-03 Canon Nanotechnologies, Inc. High throughput imprint based on contact line motion tracking control
US20090140445A1 (en) * 2007-12-04 2009-06-04 Molecular Imprints High Throughput Imprint Based on Contact Line Motion Tracking Control
US8361371B2 (en) 2008-02-08 2013-01-29 Molecular Imprints, Inc. Extrusion reduction in imprint lithography
US20090200710A1 (en) * 2008-02-08 2009-08-13 Molecular Imprints, Inc. Extrusion reduction in imprint lithography
US20090243153A1 (en) * 2008-04-01 2009-10-01 Molecular Imprints, Inc. Large Area Roll-To-Roll Imprint Lithography
US8187515B2 (en) 2008-04-01 2012-05-29 Molecular Imprints, Inc. Large area roll-to-roll imprint lithography
US8512797B2 (en) 2008-10-21 2013-08-20 Molecular Imprints, Inc. Drop pattern generation with edge weighting
US8586126B2 (en) 2008-10-21 2013-11-19 Molecular Imprints, Inc. Robust optimization to generate drop patterns in imprint lithography which are tolerant of variations in drop volume and drop placement
US20100098859A1 (en) * 2008-10-21 2010-04-22 Molecular Imprints, Inc. Drop Pattern Generation with Edge Weighting
US20100112220A1 (en) * 2008-11-03 2010-05-06 Molecular Imprints, Inc. Dispense system set-up and characterization
US8715515B2 (en) 2009-03-23 2014-05-06 Intevac, Inc. Process for optimization of island to trench ratio in patterned media
US20100237042A1 (en) * 2009-03-23 2010-09-23 Intevac, Inc. Process for optimization of island to trench ratio in patterned media
US10514599B2 (en) 2014-08-14 2019-12-24 Canon Kabushiki Kaisha Imprint apparatus and method of manufacturing article

Also Published As

Publication number Publication date
US20040223883A1 (en) 2004-11-11
US20040112153A1 (en) 2004-06-17
EP1570249A2 (en) 2005-09-07
EP1570249B1 (en) 2012-10-03
WO2004055594A3 (en) 2004-12-23
CN1739015A (en) 2006-02-22
WO2004055594A2 (en) 2004-07-01
AU2003302248A1 (en) 2004-07-09
US20050028618A1 (en) 2005-02-10
JP4563182B2 (en) 2010-10-13
AU2003302248A8 (en) 2004-07-09
US7036389B2 (en) 2006-05-02
EP2418544A3 (en) 2012-04-04
CN100485350C (en) 2009-05-06
JP2006514428A (en) 2006-04-27
EP2418544A2 (en) 2012-02-15
KR20050085630A (en) 2005-08-29
US6990870B2 (en) 2006-01-31
KR101141560B1 (en) 2012-05-03
EP2418544B1 (en) 2016-11-02
EP1570249A4 (en) 2009-03-25

Similar Documents

Publication Publication Date Title
US6871558B2 (en) Method for determining characteristics of substrate employing fluid geometries
EP2227720B1 (en) High throughput imprint based on contact line motion tracking control
US7136150B2 (en) Imprint lithography template having opaque alignment marks
US7708542B2 (en) Device for holding a template for use in imprint lithography
US6954275B2 (en) Methods for high-precision gap and orientation sensing between a transparent template and substrate for imprint lithography
US7186483B2 (en) Method of determining alignment of a template and a substrate having a liquid disposed therebetween
US6929762B2 (en) Method of reducing pattern distortions during imprint lithography processes
US8016277B2 (en) Flexure based macro motion translation stage
US7854867B2 (en) Method for detecting a particle in a nanoimprint lithography system
US8202075B2 (en) Imprint apparatus and imprint method
US20080199816A1 (en) Method of Automatic Fluid Dispensing for Imprint Lithography Processes
US7019835B2 (en) Method and system to measure characteristics of a film disposed on a substrate
US8628712B2 (en) Misalignment management

Legal Events

Date Code Title Description
AS Assignment

Owner name: VENTURE LENDING & LEASING III, INC., CALIFORNIA

Free format text: SECURITY INTEREST;ASSIGNOR:MOLECULAR IMPRINTS, INC.;REEL/FRAME:013760/0150

Effective date: 20030131

AS Assignment

Owner name: MOLECULAR IMPRINTS, INC., TEXAS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHOI, BYUNG J.;SREENIVASAN, SIDLGATA V.;REEL/FRAME:013994/0252

Effective date: 20030417

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

AS Assignment

Owner name: VENTURE LENDING & LEASING IV, INC., CALIFORNIA

Free format text: SECURITY INTEREST;ASSIGNOR:MOLECULAR IMPRINTS, INC.;REEL/FRAME:016133/0369

Effective date: 20040928

Owner name: VENTURE LENDING & LEASING IV, INC.,CALIFORNIA

Free format text: SECURITY INTEREST;ASSIGNOR:MOLECULAR IMPRINTS, INC.;REEL/FRAME:016133/0369

Effective date: 20040928

STCF Information on status: patent grant

Free format text: PATENTED CASE

AS Assignment

Owner name: MOLECULAR IMPRINTS, INC.,TEXAS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:VENTURE LENDING & LEASING IV, INC.;REEL/FRAME:019072/0882

Effective date: 20070326

Owner name: MOLECULAR IMPRINTS, INC., TEXAS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:VENTURE LENDING & LEASING IV, INC.;REEL/FRAME:019072/0882

Effective date: 20070326

FPAY Fee payment

Year of fee payment: 4

AS Assignment

Owner name: MOLECULAR IMPRINTS, INC., TEXAS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:VENTURE LENDING & LEASING III, INC.;REEL/FRAME:026821/0159

Effective date: 20110829

AS Assignment

Owner name: CANON INC., JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:MOLECULAR IMPRINTS, INC.;REEL/FRAME:026842/0929

Effective date: 20110901

FPAY Fee payment

Year of fee payment: 8

AS Assignment

Owner name: CANON INC., JAPAN

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE NATURE OF CONVEYANCE FROM AN "ASSIGNMENT" TO "SECURITY AGREEMENT" PREVIOUSLY RECORDED ON REEL 026842 FRAME 0929. ASSIGNOR(S) HEREBY CONFIRMS THE THE ORIGINAL DOCUMENT SUBMITTED WAS A "SECURITY AGREEMENT";ASSIGNOR:MOLECULAR IMPRINTS, INC.;REEL/FRAME:031003/0031

Effective date: 20110901

AS Assignment

Owner name: CANON INC., JAPAN

Free format text: RELEASE OF SECURITY INTEREST;ASSIGNOR:MOLECULAR IMPRINTS, INC.;REEL/FRAME:033161/0705

Effective date: 20140613

AS Assignment

Owner name: MOLECULAR IMPRINTS, INC., TEXAS

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE ASSIGNOR AND ASSIGNEE PREVIOUSLY RECORDED ON REEL 033161 FRAME 0705. ASSIGNOR(S) HEREBY CONFIRMS THE ASSIGNMENT;ASSIGNOR:CANON INC.;REEL/FRAME:033227/0398

Effective date: 20140613

AS Assignment

Owner name: MII NEWCO, INC., TEXAS

Free format text: ASSIGNMENT OF JOINT OWNERSHIP;ASSIGNOR:MOLECULAR IMPRINTS, INC.;REEL/FRAME:033329/0280

Effective date: 20140710

AS Assignment

Owner name: CANON NANOTECHNOLOGIES, INC., TEXAS

Free format text: CHANGE OF NAME;ASSIGNOR:MOLECULAR IMPRINTS, INC.;REEL/FRAME:033400/0184

Effective date: 20140417

AS Assignment

Owner name: MOLECULAR IMPRINTS, INC., TEXAS

Free format text: CHANGE OF NAME;ASSIGNOR:MII NEWCO, INC.;REEL/FRAME:033449/0684

Effective date: 20140423

AS Assignment

Owner name: MOLECULAR IMPRINTS, INC., TEXAS

Free format text: CONFIRMATORY ASSIGNMENT OF JOINT PATENT OWNERSHIP;ASSIGNOR:CANON NANOTECHNOLOGIES, INC.;REEL/FRAME:035507/0559

Effective date: 20150427

FPAY Fee payment

Year of fee payment: 12

AS Assignment

Owner name: JP MORGAN CHASE BANK, N.A., NEW YORK

Free format text: PATENT SECURITY AGREEMENT;ASSIGNORS:MAGIC LEAP, INC.;MOLECULAR IMPRINTS, INC.;MENTOR ACQUISITION ONE, LLC;REEL/FRAME:050138/0287

Effective date: 20190820

AS Assignment

Owner name: CITIBANK, N.A., NEW YORK

Free format text: ASSIGNMENT OF SECURITY INTEREST IN PATENTS;ASSIGNOR:JPMORGAN CHASE BANK, N.A.;REEL/FRAME:050967/0138

Effective date: 20191106