US6638810B2 - Tantalum nitride CVD deposition by tantalum oxide densification - Google Patents

Tantalum nitride CVD deposition by tantalum oxide densification Download PDF

Info

Publication number
US6638810B2
US6638810B2 US10/015,203 US1520301A US6638810B2 US 6638810 B2 US6638810 B2 US 6638810B2 US 1520301 A US1520301 A US 1520301A US 6638810 B2 US6638810 B2 US 6638810B2
Authority
US
United States
Prior art keywords
metal
layer
metal oxide
gas
nitrating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
US10/015,203
Other versions
US20030008501A1 (en
Inventor
Mouloud Bakli
Steve G. Ghanayem
Huyen T. Tran
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US10/015,203 priority Critical patent/US6638810B2/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: TRAN, HUYEN T., GHANAYEM, STEVE G., BAKLI, MOULOUD
Publication of US20030008501A1 publication Critical patent/US20030008501A1/en
Application granted granted Critical
Publication of US6638810B2 publication Critical patent/US6638810B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/038Making the capacitor or connections thereto the capacitor being in a trench in the substrate
    • H10B12/0387Making the trench
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/038Making the capacitor or connections thereto the capacitor being in a trench in the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66083Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices
    • H01L29/66181Conductor-insulator-semiconductor capacitors, e.g. trench capacitors

Definitions

  • the present invention generally relates to the fabrication of integrated circuits and other electronic devices. More particularly, the invention relates to a method for depositing a metal nitride film.
  • VLSI very large scale integration
  • ULSI ultra large scale integration
  • the multilevel interconnect features that lie at the heart of this technology require careful processing of high aspect ratio features, such as vias, lines, contacts, and other interconnects. Reliable formation of these interconnect features is very important to the VLSI and ULSI success and to the continued effort to increase circuit density and quality of individual substrates and die.
  • the widths of vias, contacts and other features, as well as the dielectric materials between them decrease to sub-micron dimensions, i.e., 0.5 ⁇ m or less, whereas the thickness of the dielectric layers remains substantially constant, with the result that the aspect ratios for the features, i.e., their height divided by width, increases.
  • Many traditional deposition processes have difficulty filling sub-micron structures where the aspect ratio exceed 4:1, and particularly where it exceeds 10:1. Therefore, there is a great amount of ongoing effort being directed at the formation of void-free, sub-micron features having high aspect ratios.
  • DRAM Dynamic random-access memory
  • CMOS complementary metal-oxide-semiconductor
  • CMOS complementary metal-oxide-semiconductor
  • the access transistor is typically disposed above a trench capacitor to minimize the chip space occupied by the DRAM device.
  • the trench capacitor is typically defined by a high aspect ratio trench structure etched in the substrate.
  • the substrate typically a doped P+ type, serves as the first electrode of the trench capacitor and is typically connected to a ground connection.
  • the interior surfaces of the trench structure are covered by a composite dielectric film, such as a composite film of SiO 2 /Si 3 N 4 /SiO 2 , which serves as the dielectric for the capacitor.
  • the trench structure is typically filled with a doped N+ polysilicon that serves as the second electrode of the capacitor.
  • the access transistor is typically connected to the second electrode of the trench capacitor.
  • High dielectric constant (HDC) materials (defined herein as having a dielectric constant greater than about 40) have been used successfully in high density trench capacitor structures in DRAMs to store charges.
  • HDC material tantalum oxide, Ta 2 O 5
  • Ta 2 O 5 tantalum oxide
  • a Ta 2 O 5 layer is deposited and then annealed to enhance crystallization, thereby increasing the film's dielectric constant.
  • An adhesion/encapsulation layer is deposited between an electrode surface and the Ta 2 O 5 dielectric layer to improve interlayer adhesion of the Ta 2 O 5 dielectric layer to adjacent materials during the anneal process.
  • the adhesion/encapsulation layer also acts as a barrier layer to minimize interlayer diffusion that may cause degradation of the material properties of the device.
  • TiN titanium nitride
  • liner/barrier layers generally and are currently being used as the adhesion/encapsulation material for the Ta 2 O 5 dielectric layer in DRAMs.
  • TiN titanium nitride
  • the use of TiN as the adhesion/encapsulation material for the Ta 2 O 5 dielectric layer has been problematic.
  • One problem with TiN is that TiN has poor diffusion resistance properties at high temperatures, i.e., greater than about 350° C. In particular, it has been observed that TiN fails to prevent diffusion of adjacent materials into the Ta 2 O 5 dielectric layer at temperatures greater than about 600° C. Temperatures greater than about 600° C.
  • TiN has not been a completely satisfactory adhesion/encapsulation material for use with Ta 2 O 5 .
  • Other traditional materials, such as silicon nitride (SiN) have also been used as adhesion/encapsulation layers in DRAM manufacturing, however, SiN material has had similar material challenges as the TiN material.
  • TaN tantalum nitride
  • PVD reactive physical vapor deposition
  • gaps may form in the TaN adhesion/encapsulation layer, and the TaN adhesion/encapsulation layer may have uneven thickness, resulting in some regions having insufficient thickness to adequately block diffusion between adjacent layers.
  • TaN adhesion/encapsulation layer One proposed alternative to the PVD deposition of TaN adhesion/encapsulation layer is to deposit the barrier layer by a chemical vapor deposition (CVD) technique to provide good conformal coverage of substrate features.
  • CVD chemical vapor deposition
  • the TaN precursors that are available produce films which have unacceptable levels of contaminants such as carbon and oxygen, and have poor diffusion resistance, low thermal stability, and undesirable film characteristics.
  • films deposited from the commercially available TaN precursors may suffer from poor adhesion to adjacent metal and dielectric layers which can cause interlayer defects, such as film delamination.
  • an adhesion/encapsulation material with good barrier properties that is useful for forming devices in sub-micron, high aspect ratio features.
  • a process for depositing an adhesion/encapsulation material conformally in sub-micron, high aspect ratio features where the deposited adhesion/encapsulation material has low levels of contamination, and can withstand high temperatures during processing, particularly during annealing treatments of microelectronic devices having high dielectric constant materials.
  • the present invention provides a method of processing a substrate by forming a metal nitride film on a substrate in a processing chamber by the densification of an oxide film.
  • a metal nitride film is formed by depositing a metal oxide film on the substrate and exposing the metal oxide film to a nitrating gas to form a metal nitride film.
  • the metal nitride film is preferably tantalum nitride formed by nitrating a tantalum oxide film (Ta 2 O 5 ) deposited by thermal or plasma enhanced decomposition of a chemical precursor, preferably selected from the group of pentaethoxytantalum cyclopentane tantalum azide, tantalum pentacloride, and combinations thereof.
  • the nitrating gas may comprise nitrogen, ammonia, nitrous oxide, and combinations thereof, wherein the nitrating gas may further comprise reactive gases such as oxygen and hydrogen.
  • Another aspect of the invention provides for a method of forming a feature on a substrate by forming a metal nitride barrier layer in a metallization stack on the substrate by the densification of a CVD deposited metal oxide layer.
  • a dielectric layer is deposited on a substrate, the dielectric layer etched to form apertures in the dielectric layer to expose the substrate, and then a metal oxide layer is deposited on the metal layer and exposed to a nitrating gas to densify the metal oxide layer and nitrate a portion of the underlying metal layer to form a metal nitride layer.
  • the densification of the metal oxide layer may be performed by a thermal annealing process or by a plasma treatment in a nitrogen containing atmosphere.
  • a conductive metal such as copper, may then be deposited on the metal nitride layer.
  • a metal layer of tantalum is deposited by either a physical vapor deposition (PVD) technique or a chemical vapor deposition technique, on the substrate prior to depositing the metal nitride layer to provide a liner/barrier layer scheme for the metallization stack.
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • Another aspect of the invention provides a method for forming a microelectronic device comprising forming a first electrode, depositing an adhesion or encapsulation layer comprising a metal nitride over the first electrode by the densification of a CVD deposited metal oxide layer, depositing a dielectric layer over the adhesion or encapsulation layer, and forming a second electrode over the dielectric layer.
  • the method may further comprise depositing a second adhesion or encapsulation layer comprising a metal nitride between the dielectric layer and the second electrode, wherein the metal nitride of the encapsulation layer is formed by the densification of a CVD deposited metal oxide layer.
  • the dielectric layer is a high dielectric constant material, such as a metal oxide, deposited by the decomposition of a chemical precursor.
  • the adhesion layer and the dielectric layer are deposited sequentially in the same chamber from the same precursor with the adhesion layer comprising a metal nitride formed by the densification of a CVD deposited metal oxide layer.
  • the metal nitride layer may comprise the first and second electrodes.
  • FIG. 1 is a schematic top view of an integrated multi-chamber apparatus suitable for performing CVD and PVD processes on a substrate;
  • FIG. 2 is a high density plasma physical vapor deposition chamber useful for forming the a metal layer of the present invention
  • FIG. 3 is a schematic cross-sectional view of a CVD chamber suitable for performing the CVD deposition processes of the present invention chamber from a co-pending patent application assigned of the subject patent application;
  • FIG. 4 is a simplified block diagram showing the hierarchical control structure of a computer program of the present invention.
  • FIG. 5 is cross sectional views of an interconnect in a dielectric layer illustrating a metallization technique for forming such interconnects according to the invention
  • FIG. 6 is a cross sectional view of a DRAM device having a trench capacitor according to the invention.
  • the invention generally provides a process and apparatus for depositing a metal nitride film, forming a feature on a substrate, and forming a microelectronic device with a metal nitride film by the densification of a CVD deposited metal oxide film by a nitrating gas.
  • a metal nitride film is formed on a substrate in a processing chamber by depositing a metal oxide film on the substrate and exposing the metal oxide film to a nitrating gas to form a metal nitride film.
  • the metal oxide film is deposited by the chemical vapor deposition of a metal containing precursor.
  • the metal nitride is tantalum nitride (Ta X N Y ) formed by exposing a tantalum oxide (Ta 2 O 5 ) layer to a nitrating gas composed of nitrogen, ammonia, nitrous oxide, and combinations thereof, which may further include reactant gases such as hydrogen and oxygen.
  • the nitrating step may be a thermal or a plasma enhanced process.
  • Another aspect of the invention provides for a method of forming a feature on a substrate by forming a metal nitride barrier layer in a metallization stack on the substrate by the densification of a CVD deposited metal oxide layer.
  • a further aspect of the invention provides a method for forming a microelectronic device comprising forming a first electrode, depositing an adhesion layer comprising a metal nitride over the first electrode by the densification of a CVD deposited metal oxide layer, depositing a dielectric layer over the adhesion layer, and forming a second electrode over the dielectric layer.
  • the metal nitride layer may comprise the first and second electrodes.
  • FIG. 1 A schematic of a multichamber processing apparatus 100 suitable for performing the CVD and PVD processes of the present invention is illustrated in FIG. 1 .
  • the apparatus shown in FIG. 1 is an ENDURATM system commercially available from Applied Materials, Inc., Santa Clara, Calif.
  • a similar staged-vacuum wafer processing system is disclosed in U.S. Pat. No. 5,186,718, entitled Staged-Vacuum Wafer Processing System and Method, Tepman et al., issued on Feb. 16, 1993, which is hereby incorporated herein by reference.
  • the particular embodiment of the apparatus 100 shown herein is suitable for processing planar substrates, such as semiconductor substrates, and is provided to illustrate the invention, and should not be used to limit the scope of the invention.
  • the apparatus 100 typically comprises a cluster of interconnected process chambers, for example, a CVD chamber 300 , and a PVD chamber 200 .
  • the apparatus 100 preferably includes at least one PVD chamber 200 for performing PVD processes.
  • the metal oxide dielectric layer of the invention may be deposited by sputtering a target of metal in an oxidizing atmosphere in the PVD chamber.
  • the target is electrically isolated from the chamber and serves as a process electrode for generating a plasma.
  • a sputtering gas such as argon or xenon, is introduced into the chamber 200 .
  • Power applied to the sputtering target ionizes the gases within the chamber to form a plasma.
  • the power provided to the target may be DC or RF power, with the substrate support electrically grounded.
  • the plasma is typically generated by applying a DC or RF voltage at a power level from between about 100 and about 20,000 Watts, and more typically from between about 100 and about 10,000 Watts, to the sputtering target.
  • FIG. 2 is a schematic view of an exemplary physical vapor deposition (PVD) chamber for use with the invention.
  • the exemplary PVD chamber is a high density plasma PVD (HDP-PVD) chamber, such as the IMP® PVD chamber available from Applied Materials, Inc., Santa Clara, Calif.
  • the HDP-PVD chamber 200 generally includes a chamber enclosure 202 , a substrate support member 204 , a target 206 , a shield 208 and a coil 210 .
  • the target 206 is disposed opposite the substrate support member 204 and is electrically connected to a DC power supply 212 .
  • the shield 208 generally surrounds the region between the target 206 and the substrate support member 204 and is typically grounded.
  • the coil 210 is disposed interior of the shield 208 and is connected to an RF power supply 214 .
  • a gas inlet 216 disposed through the enclosure 202 introduces one or more processing gases into the chamber during processing.
  • the substrate support member 204 is attached to an actuator shaft 218 disposed through the bottom of the enclosure 202 .
  • the actuator shaft 218 is connected to an actuator 220 which facilitates movement of the substrate support member 204 to various positions in the chamber.
  • a slit valve 226 disposed on a sidewall of the enclosure 202 facilitates transfer of substrates into and out of the chamber.
  • a substrate lift assembly 222 disposed relative to the substrate support member 204 facilitates positioning of a substrate onto and off of the substrate support member 204 .
  • the substrate support member 204 positions a substrate below a cover ring 224 disposed on a lower portion of the shield 208 to shield the perimeter edge of the substrate from deposition.
  • FIG. 3 is a schematic cross-sectional view of an exemplary CVD chamber useful for performing the processes of the invention.
  • the CVD chamber shown is a CVD TxZTM chamber, commercially available from Applied Materials, Inc., of Santa Clara, Calif.
  • the TxZTM chamber is suitable for the deposition of a metal containing precursor of the present invention in the presence of a reactant gas for depositing a metal oxide and/or metal nitride film by either a thermal or plasma enhanced decomposition process.
  • a precursor gas is injected into the chamber through the showerhead 340 at a pressure of between about 100 milliTorr and about 10 Torr while the pedestal 332 supports and heats the substrate 336 to an elevated temperature above the decomposition temperature of the precursor of about 100° C. or higher, and preferably from between about 250° C. and about 450° C.
  • the reactant gas is introduced to the precursor gas in the volume located directly above the substrate.
  • a conductive and conformal metal and/or metal nitride layer is conformally deposited on the substrate 336 .
  • an RF power source 394 is applied by an RF power source 394 to cause the process gas in the processing region 356 between the showerhead 340 and the pedestal 332 to discharge and to form a plasma.
  • the CVD chamber 300 includes a pedestal 332 to support a substrate 336 on a supporting surface 334 .
  • Lift pins 338 are slidable within the pedestal 332 but are kept from falling out by conical heads on their upper ends. The lower ends of the lift pins 338 are engageable with vertically movable lifting ring 339 and thus can be lifted above the surface 334 of the pedestal 332 .
  • a robot blade in cooperation with the lift pins 338 and the lifting ring 339 transfers the substrate 336 in and out of the chamber 300 through the slit valve 406 , which can be vacuum-sealed to prevent the flow of gas into or out of the chamber through slit valve 406 .
  • Lift pins 338 raise an inserted substrate 336 off the robot blade, and then the pedestal 332 rises to transfer the substrate 336 the lift pins 338 onto the supporting surface 334 of the pedestal 332 .
  • a suitable robotics transfer assembly is described in commonly-assigned U.S. Pat. No. 4,951,601, issued to Maydan, the complete disclosure of which is incorporated herein by reference.
  • the pedestal 332 then further raises the substrate 336 into close opposition to a gas distribution faceplate 340 , often referred to as a showerhead, which includes a larger number of passageways 342 for jetting the process gas to the opposed substrate 336 .
  • the process gas is injected into the reactor 300 through a central gas inlet 344 in a gas-feed cover plate 346 to a first disk-shaped space or void 348 and from thence through passageways 350 in a baffle plate (or a gas blocker plate) 352 to a second disk-shaped space or void 354 in back of the showerhead 340 .
  • the showerhead 340 includes a large number of holes or passageways 342 for jetting the process gas into a processing space or zone 356 . More specifically, process gas passes from the space or void 354 , through the passageways 342 and into the processing space or zone 356 and towards the substrate 336 .
  • the process gas byproducts subsequently flow radially outwardly with respect to a central axis 400 across the edge of the substrate 336 , and across a peripheral centering right 402 which is disposed in an annular ledge 404 recessed in the upper periphery of a pedestal 332 .
  • an annular pumping channel 360 surrounding the upper periphery of the pedestal 332 when pedestal 332 is in the processing position.
  • the pumping channel 360 is connected through a constricted exhaust aperture 362 to a pumping plenum 376 , and a valve 378 gates the exhaust through an exhaust vent 380 to a vacuum pump 382 .
  • the restricted choke aperture 362 creates a nearly uniform pressure around the circumferential pumping channel 360 .
  • the process gas and its reaction byproducts flow from the center of the showerhead 340 across the substrate 336 and the periphery of the pedestal 332 and then through the choke aperture 362 to the pumping channel 360 .
  • the gas then flows circumferentially in the pumping channel 360 to the exhaust aperture 374 and then through the exhaust plenum 376 and the exhaust vent 380 to the vacuum pump 382 . Because of the restriction 362 the gas flow across the substrate 336 is nearly uniform in the azimuthal direction.
  • the ledge 370 in the chamber body 372 supports an insulating annular chamber insert 408 composed of a insulating chamber ring 410 and a band shield 412 , which forms the bottom of the pumping channel 360 .
  • the chamber lid rim 366 forms the top and part of the outside wall of the pumping channel 360 along with the part of the chamber body 372 .
  • the inside upper edge of the pumping channel 360 is formed by the isolator ring 364 , which is made of a ceramic or other electrically insulating material which insulates the metallic showerhead 340 from the chamber body 372 .
  • the CVD reactor 300 of FIG. 3 can be operated in a thermal and a plasma assisted mode.
  • an electrical power source 390 supplies power to a resistive heater 392 at the top of the pedestal 332 to thereby heat the pedestal 332 and thus the substrate 336 to an elevated temperature sufficient to thermally activate the CVD deposition reaction.
  • an RF electrical source 394 is passed by a switch 396 to the metallic showerhead 340 , which thus acts as an electrode.
  • the showerhead 340 is electrically insulated from the lid rim 366 and the main chamber body 372 by the annular isolator ring 364 , typically formed of an electrically non-conductive ceramic.
  • the pedestal 332 is connected to a biasing element 398 associated with the RF source 394 so that RF power is split between the showerhead 340 and the pedestal 332 . Sufficient voltage and power is applied by the RF source 394 to cause the process gas in the processing region 356 between the showerhead 340 and the pedestal 332 to discharge and to form a plasma.
  • FIG. 4 shows an illustrative block diagram of the hierarchical control structure of the computer program 441 .
  • a user enters a process set number and process chamber number into a process selector subroutine 442 in response to menus or screens displayed on the CRT monitor by using the light pen interface.
  • Tile process sets are predetermined sets of process parameters necessary to carry out specified processes, and are identified by predefined set numbers.
  • Process selector subroutine 442 identifies (i) the desired process chamber in a multi-chamber system, and (ii) the desired set of process parameters needed to operate the process chamber for performing the desired process.
  • the process parameters for performing a specific process relate to process conditions such as, for example, process gas composition and flow rates, temperature, pressure, plasma conditions such as RF power levels, and chamber dome temperature, and are provided to the user in the form of a recipe.
  • process conditions such as, for example, process gas composition and flow rates, temperature, pressure, plasma conditions such as RF power levels, and chamber dome temperature.
  • the parameters specified by the recipe are entered utilizing a light pen/CRT monitor interface (not shown).
  • a process sequencer subroutine 443 comprises program code for accepting the identified process chamber and set of process parameters from the process selector subroutine 442 , and for controlling operation of the various process chambers. Multiple users can enter process set numbers and process chamber numbers, or a user can enter multiple process set numbers and process chamber numbers, so the sequencer subroutine 443 operates to schedule the selected processes in the desired sequence.
  • the sequencer subroutine 443 includes a program code to perform the steps of (i) monitoring the operation of the process chambers to determine if the chambers are being used, (ii) determining what processes are being carried out in the chambers being used, and (iii) executing the desired process based on availability of a process chamber and type of process to be carried out.
  • sequencer subroutine 443 can be designed to take into consideration the present condition of the process chamber being used in comparison with the desired process conditions for a selected process, or the “age” of each particular user entered request, or any other relevant factor a system programmer desires to include for determining scheduling priorities.
  • sequencer subroutine 443 determines which process chamber and process set combination is going to be executed next, sequencer subroutine 443 causes execution of the process set by passing the particular process set parameters to a chamber manager subroutine 444 A-C, which controls multiple processing tasks in PVD chamber 300 and possibly other chambers (not shown) according to tile process set determined by sequencer subroutine 443 .
  • the sequencer subroutine 443 determines which process chamber and process set combination is going to be executed next, the sequencer subroutine 443 causes execution of the process set by passing the particular process set parameters to the chamber manager subroutines 444 A-C which control multiple processing tasks in different process chambers according to the process set determined by the sequencer subroutine 443 .
  • the chamber manager subroutine 444 A comprises program code for controlling PVD process operations, within the described process chamber 300 of FIG. 3 .
  • the chamber manager subroutine 444 also controls execution of various chamber component subroutines or program code modules, which control operation of the chamber components necessary to carry out the selected process set.
  • chamber component subroutines are substrate positioning subroutine 445 , process gas control subroutine 446 , pressure control subroutine 447 , heater control subroutine 448 , and plasma control subroutine 449 .
  • Those having ordinary skill in the art will recognize that other chamber control subroutines can be included depending on what processes are desired to be performed in chamber 300 (shown in FIG. 3 ).
  • chamber manager subroutine 444 A selectively schedules or calls the process component subroutines in accordance with the particular process set being executed.
  • Chamber manager subroutine 444 A Scheduling by chamber manager subroutine 444 A is performed in a manner similar to that used by sequencer subroutine 443 in scheduling which process chamber and process set to execute.
  • chamber manager subroutine 444 A includes steps of monitoring the various chamber components, determining which components need to be operated based on the process parameters for the process set to be executed, and causing execution of a chamber component subroutine responsive to the monitoring and determining steps.
  • the metal nitride film is formed by a nitrating densification of a metal oxide film deposited, preferably conformally, by a thermal or a plasma enhanced decomposition of a metal containing precursor.
  • a tantalum oxide Ti 2 O 5
  • a tantalum containing precursor selected from the group of pentaethoxytantalum (Ta(OC 2 H 5 ) 5 ), cyclopentane tantalum azide, tantalum pentachloride (TaCl 5 ),and combinations thereof is preferably used.
  • Other tantalum oxide precursors or processes which may be used to deposit a tantalum oxide or metal oxide film are contemplated by the invention.
  • an oxidizing gas such as oxygen
  • a metal oxide precursor that does not contain an oxygen component, such as tantalum pentacloride, or with an oxygen containing precursors, such as pentaethoxytantalum, to enhance deposition of the metal oxide film.
  • the substrate is heated and maintained at a temperature sufficiently high to cause decomposition of the metal oxide precursor and deposition of the film.
  • the exact temperature will depend upon the identity and chemical, thermal, and stability makeup of the compound or compounds used under the reaction conditions. However, a temperature from about room temperature to about 1000° C., preferably from between about 100° C. and about 800° C., and most preferably between about 250° C. and about 500° C. is used to ensure a complete deposition of the precursor on the substrate surface.
  • the processing chamber is maintained at a pressure between about 1 milliTorr and about 25 Torr, but preferably from between about 100 milliTorr and about 10 Torr during the deposition process.
  • the metal oxide precursor For plasma-enhanced CVD deposition of the metal oxide precursor, power to generate a plasma is then either capacitively or inductively coupled into the chamber to excite the gases into a plasma state to form excited species which react with the film deposited on the substrate. Power is provided to the chamber at between about 200 and about 1000 Watts.
  • the substrate temperature is maintained between about 100° C. and about 800° C., preferably between about 250° C. and about 500° C., with chamber pressure maintained at between about 100 milliTorr and about 10 Torr during the plasma enhanced deposition process.
  • the plasma enhanced decomposition of the precursor allows for an increased deposition rate, particularly in combination with a reactant gas, at a lower processing temperature than the thermal decomposition.
  • Metal oxide films deposited by chemical vapor deposition techniques may contain organic contaminants, such as carbon and hydrogen.
  • the carbon and hydrogen contaminants may have concentrations in the film of up to about 20% by weight in the deposited films.
  • Nitrogen containing gases preferably nitrogen, nitrous oxide, and/or ammonia may be introduced during the metal oxide precursor decomposition to reduce contaminant concentrations and form metal oxynitride films.
  • the metal oxide (or metal oxynitride) film is then converted into a metal nitride by exposing the film to a nitrating gas, and densifying the film by supplanting oxygen and other components with nitrogen.
  • the nitrating gases which may be used to perform the densification include oxygen (O 2 ), nitrogen (N 2 ), nitrous oxide, and ammonia (NH 3 ).
  • the nitrating gas comprises at least nitrogen and ammonia, but preferably comprises a mixture of oxygen, nitrogen, and ammonia. If nitrogen is used as the nitrating gas without ammonia, the nitrating gas also may include hydrogen.
  • the nitrating process can be enhanced either thermally or by a plasma process.
  • a thermally enhanced nitrating process the deposited metal oxide film is exposed to the nitrating gas with the processing chamber having a temperature between about 200° C. and about 800° C., at a chamber pressure of between about 1 milliTorr and about 25 Torr.
  • the thermal process may be performed in the same processing chamber used for the metal oxide deposition, or may be performed by annealing the metal oxide film in a nitrating gas at a temperature of between about 200° C. and about 800° C. for a period of between about 30 seconds and about 180 seconds in a rapid thermal annealing process (RTP).
  • RTP rapid thermal annealing process
  • an anneal chamber is the RTP XEplus Centura® available from Applied Materials, Inc., Santa Clara, Calif.
  • the film may also be annealed at a temperature between about 300° C. and about 600° C. for a period of time between about 5 minutes and about 50 minutes in a conventional annealing process chamber.
  • the metal oxide film can also be nitrated by a plasma process.
  • the nitrating gases are introduced into the processing chamber to establish a pressure between about 1 milliTorr and about 25 Torr, but preferably between about 1 Torr and about 10 Torr, and more preferably the chamber pressure is between about 1.5 Torr and about 6 Torr.
  • the substrate is maintained at a temperature of between about 200° C. and about 800° C., preferably between about 250° C. and about 500° C.
  • Power to generate a plasma is imparted to the processing gases at between about 25 Watts and about 2000 Watts, preferably between about 500 Watts and about 1000 Watts, and more preferably at about 750 Watts. While the above described processes are considered exemplary for the densification of metal oxide films, other techniques, such as remote microwave dissociation of the nitrating gases to produce reactive species for the nitrating process are also contemplated.
  • Metal nitride films have been shown to have superior barrier properties to both metal and metal oxide films and can exist in conductive and insulative phases depending upon the amount of nitration. Nitrating the film also reduces the concentration of contaminants in the film as nitrogen removes hydrogen and carbon from the layer as volatile hydrocarbons and oxygen are desorbed from the surface during the thermal or plasma process. The nitration/densification process will densify the film, thereby improving barrier characteristics and removing layering defects. Nitrating the film will also impart a crystalline structure to the amorphous layer, thereby enhancing interfacial bonding and adhesion while reducing interlayer defects with other crystalline layers, such as metal and metal oxide layers in metallization schemes. Additionally, the CVD process deposition of the metal nitride layer is generally observed to have better conformal coverage in the high aspect ratio features and superior step coverage than metal nitride films deposited by standard physical vapor deposition (PVD) processes.
  • PVD physical vapor deposition
  • the densification of a metal oxide film to form a metal nitride film may be used to advantage in a liner/barrier deposition process to form a metal nitride or metal/metal nitride barrier/liner scheme, such as a TaN or Ta/TaN scheme used in a copper metallization scheme.
  • a metal layer is conformally deposited by a chemical vapor deposition (CVD) technique from the decomposition of a precursor. The metal layer is deposited as a liner layer to promote adhesion to the underlying material and reduce contact/via resistance.
  • CVD chemical vapor deposition
  • the metal layer may be deposited by a physical vapor deposition (PVD) technique, such as ionized metal plasma (IMP) or collimated PVD.
  • PVD physical vapor deposition
  • IMP ionized metal plasma
  • tantalum nitride films have been observed to have good adhesive properties, and such a metal adhesion layer may not be used in the metallization scheme.
  • a metal nitride is then formed by depositing a metal oxide film on the substrate by a chemical vapor deposition technique, exposing the metal oxide film to a nitrating gas, and nitrating the exposed metal oxide film to form a metal nitride film.
  • FIG. 5 is a schematic cross-sectional view of one embodiment of a metallized film stack 500 of the present invention having a liner layer 518 , a barrier layer 519 , and a conductive metal layer 520 deposited in a feature 516 formed on a substrate 512 .
  • the feature 516 is formed by depositing and etching a dielectric layer 514 on the substrate 512 to form the desired feature 516 , such as a via, contact, trench or line.
  • the substrate 512 may take the form of a silicon, thermal oxide, ceramic, or doped silicon substrate or it may be a first or subsequent electrically conducting layer or patterned lines formed on a substrate.
  • the dielectric layer 514 may be a pre-metal dielectric layer deposited over a silicon wafer or an inter-level dielectric layer, and is formed in accordance with procedures conventionally known in the art to form a part of the overall integrated circuit.
  • the dielectric layer 514 can be etched with any dielectric etching or patterning process known in the art, such as reactive ion etching.
  • the liner layer 518 preferably comprises tantalum conformally deposited on the dielectric layer 514 and on the floor and walls of the feature 516 .
  • the liner layer 518 may be deposited by chemical vapor deposition or physical vapor deposition techniques, preferably by CVD deposition of an tantalum containing precursor.
  • the liner layer 518 improves adhesion between the substrate or dielectric layer 514 and the subsequently deposited conducting metal layer 520 .
  • the CVD tantalum is deposited on a substrate heated between about 100 C. and about 450 C., and at a chamber pressure of between about 100 milliTorr and about 10 Torr.
  • the tantalum liner layer 5 18 may also be deposited by a plasma enhanced CVD process where a plasma is generated by applying a power of between about 200 watts and about 1000 watts.
  • the liner layer 518 is preferably exposed to a plasma of hydrogen and an inert gas, such as argon, to remove a carbon and oxygen impurities and to reduce the resistivity of the tantalum layer 518 .
  • the liner layer 5 18 may be deposited by a PVD technique using the following processing parameters in a high density plasma physical vapor deposition (HDP-PVD) chamber used for IMP-PVD deposition techniques.
  • the chamber pressure during the deposition process is preferably maintained between about 5 milliTorr and about 100 milliTorr, even more preferably between about 10 milliTorr and about 30 milliTorr.
  • the target is preferably DC-biased at between about 1 kW and about 3 kW and between about 100 V and about 300 V.
  • the coil is preferably RF-biased at between about 1 kW and about 3 kW.
  • the substrate support member may be bias at between about 0 W and about 500 W and between about 50 V and about 300 V.
  • the liner layer 5 18 is not deposited and the barrier layer 519 performs as the liner layer to promote interlayer adhesion.
  • a metal nitride barrier layer 519 formed from the densification of a metal nitride layer is conformally deposited on the liner layer 5 18 .
  • the barrier layer 519 is deposited prior to the conductive metal layer 520 to prevent diffusion of the conductive metal layer 520 to the underlying substrate 512 or dielectric layer 514 .
  • the barrier layer 519 comprising TaN may be formed by first depositing a metal oxide layer by CVD deposition of a tantalum precursor to form a tantalum oxide layer.
  • the tantalum oxide precursors may be selected from the group of pentaethoxytantalum (Ta(OC 2 H 5 ) 5 ), cyclopentane tantalum azide, tantalum pentacloride, Ta(OCH 3 ), and combinations thereof.
  • the tantalum oxide layer is then exposed to a nitrating reactant gas, such as nitrogen, nitrous oxide, or ammonia which may additionally include hydrogen, oxygen, or another reactive gases.
  • the process may be thermally enhanced or plasma enhanced, to densify the tantalum oxide layer to form a tantalum nitride barrier layer 519 .
  • a nitrating processing gas preferably a mixture of ammonia, nitrogen, and oxygen
  • a substrate maintained at a temperature between about 200° C. and about 800° C., and a chamber pressure of between about 1 milliTorr and about 25 Torr.
  • the plasma nitrating process may be further enhanced by generating a plasma by applying between about 25 watts and about 2000 watts to the processing gases described above.
  • the nitrating gas may also nitrate the surface of the underlying tantalum liner layer 518 . It is believed that nitrating the surface of the underlying tantalum liner layer 518 forms an improved atomic lattice bridge between the tantalum metal 518 and the tantalum nitride layer 519 , resulting in stronger adhesive properties, improved resistance to chemical attack, improved resistance to inter-metal diffusion in the metallization stack at higher temperatures, and reduced particle formation at lower temperatures.
  • a layer of conductive metal 520 preferably aluminum, tungsten or copper, and most preferably copper, is deposited on the barrier layer 519 .
  • the conductive metal layer 520 is deposited to completely fill the interconnect 516 .
  • the copper layer 520 can be deposited by physical vapor deposition (PVD), ionized metal plasma (IMP) PVD, chemical vapor deposition (CVD), electroplating, electroless deposition, or any other known methods in the art.
  • the feature may be further processed by planarizing the top portion of the metallized layer stack 500 , preferably by chemical mechanical polishing (CMP). During the planarization process, portions of the copper layer 518 and the dielectric layer 514 are removed from the top of the structure leaving a fully planar surface with a conductive feature formed in the via 516 . Other subsequent processing can include annealing if desired, additional deposition of dielectric or metal layers, etching, and other processes known to IC manufacturing.
  • CMP chemical mechanical polishing
  • the present invention may also be used to advantage in forming microelectronic devices by forming a first electrode, depositing an adhesion or encapsulation layer comprising a metal nitride over the first electrode by the nitrating densification of a CVD deposited metal oxide layer, depositing a dielectric layer over the adhesion or encapsulation layer, and forming a second electrode over the dielectric layer.
  • the method may further comprise depositing an adhesion or encapsulation layer comprising a metal nitride between the dielectric layer and the second electrode by nitrogen densification of a CVD deposited metal oxide layer.
  • the dielectric layer being composed of a high dielectric constant material, preferably tantalum oxide.
  • FIG. 6 is a cross sectional view of a DRAM device having a trench capacitor formed using the methods of the invention.
  • the DRAM device 610 is formed on a silicon substrate and generally comprises an access transistor 612 and a trench capacitor 618 .
  • the access transistor 612 for the DRAM device 610 is positioned adjacent a top portion of the trench capacitor 618 .
  • the access transistor 612 comprises an n-p-n transistor having a source region 615 , a gate region 614 and a drain region 616 .
  • the gate region 614 comprises a P-doped silicon epi-layer disposed over the P+ substrate.
  • the source region 615 of the access transistor 612 comprises an N+ doped material disposed on a first side of the gate region 614
  • the drain region 616 comprises an N+ doped material disposed on a second side of the gate region 614 , opposite the source region 615 .
  • the source region 615 is connected to an electrode of the trench capacitor.
  • the trench capacitor 618 generally comprises a first electrode 619 , a second electrode 621 and a dielectric material 622 disposed therebetween.
  • the P+ substrate serves as a first electrode 619 of the trench capacitor 618 and is connected to a ground connection.
  • a trench 623 is formed in the P+ substrate and filled with a doped N+ polysilicon which serves as the second electrode 621 of the trench capacitor 618 .
  • the dielectric material 622 is disposed between the first electrode 619 (i.e., P+ substrate) and the second electrode 621 (i.e., N+ polysilicon).
  • DRAM device utilizes an n-p-n transistor, a P+ substrate as a first electrode and an N+ polysilicon as a second electrode of the capacitor, other transistor designs and electrode materials are contemplated by the invention to form DRAM devices.
  • the trench capacitor 618 includes an first adhesion/encapsulation layer 624 disposed between the dielectric material 622 and the first electrode 619 .
  • Adhesion/encapsulation layers are defined herein to refer to layers that provide interlayer adhesion, encapsulation of materials to prevent interlayer diffusion, or layers that perform both functions.
  • a second adhesion/encapsulation layer 225 is also disposed between the dielectric material 622 and the second electrode 621 .
  • the trench capacitor 618 is formed in a high aspect ratio trench structure.
  • the first electrode 619 of the trench capacitor comprises a doped substrate in which the high aspect ratio trench structure is etched.
  • the first adhesion/encapsulation layer 624 is deposited over the surfaces of the trench structure to improve the adhesion of the dielectric material 622 to the first electrode 619 (i.e., P+ substrate).
  • the first and second electrodes 619 , 621 may also comprise a conductive materials such as tantalum (Ta), tungsten (W), nitrated formed thereof (Ta X N Y , WN x ) and compositions of conductive material and nitrated derivative thereof (Ta/Ta X N Y , W/WN x ).
  • the first and second adhesion/encapsulation layers 624 , 625 are deposited utilizing chemical vapor deposition techniques, such as by a metal organic chemical vapor deposition (MOCVD) technique, to form thin, conformal layers on the surfaces within high aspect ratio features.
  • the first adhesion/encapsulation layer 624 comprises a metal nitride formed from the densification of a metal oxide layer by a nitrating reactant gas composed of ammonia, nitrogen, oxygen, and combinations thereof.
  • the metal oxide may be deposited by the chemical vapor deposition of a metal containing precursor selected from the group of pentaethoxytantalum (Ta(OC 2 H 5 ) 5 ), cyclopentane tantalum azide, tantalum pentacloride, Ta(OCH 3 ), and combinations thereof.
  • the metal nitride is a nitride of a heavy metal, preferably tantalum, that can be deposited as an metal oxide, such as tantalum oxide (Ta 2 O 5 ) or metal oxynitride, such as tantalum oxynitride Ta X O Y N Z .
  • the metal oxide layer may be nitrated by heating the layer to a temperature of between about 200° C.
  • the plasma can be generated by capacitively or inductively coupling energy into a processing chamber at a power between about 25 and about 2000 Watts.
  • the first adhesion/encapsulation layer 624 is deposited to a thickness of between about 50 ⁇ and about 500 ⁇ for a feature having an aperture width less than about 0.25 ⁇ m and an aspect ratio greater than about 5:1.
  • the first adhesion/encapsulation layer 624 is deposited to about 300 ⁇ for a feature having dimensions of about 0.25 ⁇ m wide and about 2.5 ⁇ m deep.
  • the adhesion/encapsulation layer 624 is also useful as a bottom electrode in a microelectronic device because the adhesion/encapsulation layer 624 provides sufficient electrical conductivity.
  • the electrode gate material and the adhesion/encapsulation layer 624 for the dielectric layer are deposited with one process to improve efficiency and throughput of the system.
  • the bottom electrode having the material according to the invention has a resistivity, ⁇ , less than about 500 ⁇ -cm, and even more preferably, less than about 250 ⁇ -cm.
  • the dielectric layer 622 is deposited over the adhesion layer 624 .
  • the dielectric layer 622 comprises a high dielectric metal oxide (i.e., k>40), such as tantalum oxide (Ta 2 O 5 ), which can be deposited by chemical vapor deposition.
  • the high dielectric metal oxide is deposited by the same precursor as the metal oxide layer that is densified into the metal nitride layer.
  • the densification process of the invention allows the same precursor to deposit the metal nitride adhesion layer 624 and the metal oxide dielectric layer 622 sequentially in the same chamber without the need to transfer the substrate to another chamber or processing tool.
  • the metal oxide dielectric layer 622 may be deposited using reactive sputtering techniques in a HDP-PVD chamber.
  • the dielectric layer 622 is preferably deposited to a thickness of between about 20 ⁇ and about 200 ⁇ , even more preferably between about 80 ⁇ and about 100 ⁇ .
  • the second adhesion/encapsulation layer 625 may optionally be deposited over the dielectric layer 622 .
  • the second adhesion/encapsulation layer 625 comprises the same material as the first adhesion/encapsulation layer 624 (discussed above) and is deposited using the CVD deposition techniques and precursors as described above for the first adhesion/encapsulation layer 624 . It is believed that the materials listed above provide improved adhesion of the electrode material to the dielectric material because the adhesion/encapsulation layer comprises elements from the same or similar family of elements as the dielectric material. For example, a TaN based adhesion/encapsulation layer converted from Ta 2 O 5 provides better adhesion to a Ta 2 O 5 dielectric material.
  • the second electrode 621 is formed over the second adhesion/encapsulation layer 625 in the trench structure.
  • the material of the second electrode fills the trench structure and is connected to the source region 615 of the access transistor of the DRAM device.
  • the access transistor 612 of the DRAM device is formed above or adjacent a top portion of the trench capacitor using techniques generally known in the art.
  • the first and second adhesion/encapsulation layers 624 and 625 protect the dielectric layer 622 during a subsequent anneal process used to increase the dielectric constant of the dielectric layer.
  • the anneal process can be performed in a variety of anneal chambers, including conventional furnace anneal chambers and rapid thermal anneal chambers. Anneal chambers in general are well known and commercially available.
  • An example of an anneal chamber is the RTP XEplus Centura® thermal processor available from Applied Materials, Inc., Santa Clara, Calif.
  • the inventors also contemplate using other commercially available thermal processors from manufacturers such as Eaton Corporation Thermal Processing Systems, located in Peabody, Mass.
  • the dielectric layer 622 is annealed at a temperature of between about 500° C.
  • the dielectric layer 622 is preferably annealed at a temperature of between about 300° C. and about 600° C. for between about 5 minutes and 50 minutes.
  • the present invention is useful in applications that benefit from an adhesion/encapsulation layer having a relatively higher dielectric constant than currently practiced barrier/adhesion layers.
  • the layer composition and properties of the TaN x layers according to the invention provide a dielectric constant which can be about the same dielectric constant as the HDC materials, such as Ta 2 O 5 .
  • a high dielectric constant barrier/adhesion layer can provides an overall effective interlayer dielectric constant of the adhesion layer, dielectric layer and encapsulation layer similar to the HDC material alone.
  • TaN layers of variable dielectric constants can be produced by varying the nitrating conditions to provide dielectric constants similar to the HDC materials.
  • nitrogen rich Ta 3 N 5 is an insulative phase having a dielectric constant of 40 or higher, similar to the Ta 2 O 5 dielectric constant of about 50 and greater.
  • the inventors contemplate application of the trench capacitor according to the invention in a variety of DRAM designs in addition to the DRAM design shown for illustrative purposes in FIG. 6 .
  • the access transistor can be disposed at a location directly above the trench capacitor.

Abstract

The invention provides a method for forming a metal nitride film by depositing a metal oxide film on the substrate and exposing the metal oxide film to a nitrating gas to densify the metal oxide and form a metal nitride film. The metal oxide film is deposited by the decomposition of a chemical vapor deposition precursor. The nitrating step comprises exposing the metal oxide film to a thermally or plasma enhanced nitrating gas preferably comprising nitrogen, oxygen, and ammonia. The invention also provides a process for forming a liner/barrier scheme for a metallization stack by forming a metal nitride layer over the substrate by the densification of a metal oxide layer by a nitrating gas depositing a metal liner layer. Optionally, a metal liner layer may be deposited over substrate prior to the metal nitride layer to forma metal/metal nitride liner/barrier scheme. The invention further provides a process to form a microelectronic device comprising forming a first electrode, forming a metal nitride layer over the first electrode by densifying a metal oxide layer by a nitrating gas to form a metal nitride layer, depositing a dielectric layer over the metal nitride layer, and forming a second electrode over the dielectric layer. Alternatively, the metal nitride film may comprise the first and second electrodes.

Description

This is a continuation of application Ser. No. 09/510,582 filed on Feb. 22, 2000 U.S. Pat. No. 6,319,766.
BACKGROUND OF THE INVENTION
1. Field of the Invention
The present invention generally relates to the fabrication of integrated circuits and other electronic devices. More particularly, the invention relates to a method for depositing a metal nitride film.
2. Background of the Related Art
Reliably producing sub-half micron and smaller features is one of the key technologies for the next generation of very large scale integration (VLSI) and ultra large scale integration (ULSI) integrated circuits. However, the shrinking dimensions of interconnects in VLSI and ULSI technology has placed additional demands on processing capabilities. The multilevel interconnect features that lie at the heart of this technology require careful processing of high aspect ratio features, such as vias, lines, contacts, and other interconnects. Reliable formation of these interconnect features is very important to the VLSI and ULSI success and to the continued effort to increase circuit density and quality of individual substrates and die.
As circuit densities increase, the widths of vias, contacts and other features, as well as the dielectric materials between them, decrease to sub-micron dimensions, i.e., 0.5 μm or less, whereas the thickness of the dielectric layers remains substantially constant, with the result that the aspect ratios for the features, i.e., their height divided by width, increases. Many traditional deposition processes have difficulty filling sub-micron structures where the aspect ratio exceed 4:1, and particularly where it exceeds 10:1. Therefore, there is a great amount of ongoing effort being directed at the formation of void-free, sub-micron features having high aspect ratios.
One such component that faces the difficulties of forming high aspect ratio features are Dynamic random-access memory (DRAM) integrated circuits which are commonly used for storing data in a digital computer. Currently available DRAMs may contain over 16 million cells fabricated on a single crystal silicon chip, where each memory cell generally comprises a single access transistor connected to a trench capacitor. The access transistor is typically disposed above a trench capacitor to minimize the chip space occupied by the DRAM device. The trench capacitor is typically defined by a high aspect ratio trench structure etched in the substrate. The substrate, typically a doped P+ type, serves as the first electrode of the trench capacitor and is typically connected to a ground connection. The interior surfaces of the trench structure are covered by a composite dielectric film, such as a composite film of SiO2/Si3N4/SiO2, which serves as the dielectric for the capacitor. The trench structure is typically filled with a doped N+ polysilicon that serves as the second electrode of the capacitor. The access transistor is typically connected to the second electrode of the trench capacitor.
To facilitate construction of increasingly higher density DRAMs with correspondingly smaller-sized memory cells, capacitor structures and materials that can store the charge in smaller chip space are needed. High dielectric constant (HDC) materials (defined herein as having a dielectric constant greater than about 40) have been used successfully in high density trench capacitor structures in DRAMs to store charges. One such HDC material, tantalum oxide, Ta2O5, has become a promising choice for the next generation of high density memory cells. To form Ta2O5 films with high dielectric constants, a Ta2O5 layer is deposited and then annealed to enhance crystallization, thereby increasing the film's dielectric constant. An adhesion/encapsulation layer is deposited between an electrode surface and the Ta2O5 dielectric layer to improve interlayer adhesion of the Ta2O5 dielectric layer to adjacent materials during the anneal process. The adhesion/encapsulation layer also acts as a barrier layer to minimize interlayer diffusion that may cause degradation of the material properties of the device.
Traditional diffusion resistant materials with good adhesion properties, such as titanium nitride (TiN), have been used in integrated circuit manufacturing as liner/barrier layers generally and are currently being used as the adhesion/encapsulation material for the Ta2O5 dielectric layer in DRAMs. However, the use of TiN as the adhesion/encapsulation material for the Ta2O5 dielectric layer has been problematic. One problem with TiN is that TiN has poor diffusion resistance properties at high temperatures, i.e., greater than about 350° C. In particular, it has been observed that TiN fails to prevent diffusion of adjacent materials into the Ta2O5 dielectric layer at temperatures greater than about 600° C. Temperatures greater than about 600° C. are required during the Ta2O5 layer thermal annealing process to generate higher dielectric constants. As such, TiN has not been a completely satisfactory adhesion/encapsulation material for use with Ta2O5. Other traditional materials, such as silicon nitride (SiN) have also been used as adhesion/encapsulation layers in DRAM manufacturing, however, SiN material has had similar material challenges as the TiN material.
Materials such as tantalum nitride (TaN) that have been observed to have greater diffusion resistance and higher thermal stability than TiN have been proposed for use as the adhesion/encapsulation material in DRAM manufacturing. However, TaN is conventionally deposited by reactive physical vapor deposition (PVD) techniques which are not well suited for covering the sides and bottom surfaces of high aspect ratio (>5:1) features, and may require more than one deposition regime to provide adequate coverage. Additionally, gaps may form in the TaN adhesion/encapsulation layer, and the TaN adhesion/encapsulation layer may have uneven thickness, resulting in some regions having insufficient thickness to adequately block diffusion between adjacent layers.
One proposed alternative to the PVD deposition of TaN adhesion/encapsulation layer is to deposit the barrier layer by a chemical vapor deposition (CVD) technique to provide good conformal coverage of substrate features. However, there are few commercially available TaN precursors, and the TaN precursors that are available produce films which have unacceptable levels of contaminants such as carbon and oxygen, and have poor diffusion resistance, low thermal stability, and undesirable film characteristics. Additionally, films deposited from the commercially available TaN precursors may suffer from poor adhesion to adjacent metal and dielectric layers which can cause interlayer defects, such as film delamination.
Therefore, there is a need for an adhesion/encapsulation material with good barrier properties that is useful for forming devices in sub-micron, high aspect ratio features. Particularly, there is a need for a process for depositing an adhesion/encapsulation material conformally in sub-micron, high aspect ratio features, where the deposited adhesion/encapsulation material has low levels of contamination, and can withstand high temperatures during processing, particularly during annealing treatments of microelectronic devices having high dielectric constant materials.
SUMMARY OF THE INVENTION
The present invention provides a method of processing a substrate by forming a metal nitride film on a substrate in a processing chamber by the densification of an oxide film. In one aspect of the invention, a metal nitride film is formed by depositing a metal oxide film on the substrate and exposing the metal oxide film to a nitrating gas to form a metal nitride film. The metal nitride film is preferably tantalum nitride formed by nitrating a tantalum oxide film (Ta2O5) deposited by thermal or plasma enhanced decomposition of a chemical precursor, preferably selected from the group of pentaethoxytantalum cyclopentane tantalum azide, tantalum pentacloride, and combinations thereof. The nitrating gas may comprise nitrogen, ammonia, nitrous oxide, and combinations thereof, wherein the nitrating gas may further comprise reactive gases such as oxygen and hydrogen.
Another aspect of the invention provides for a method of forming a feature on a substrate by forming a metal nitride barrier layer in a metallization stack on the substrate by the densification of a CVD deposited metal oxide layer. In one embodiment, a dielectric layer is deposited on a substrate, the dielectric layer etched to form apertures in the dielectric layer to expose the substrate, and then a metal oxide layer is deposited on the metal layer and exposed to a nitrating gas to densify the metal oxide layer and nitrate a portion of the underlying metal layer to form a metal nitride layer. The densification of the metal oxide layer may be performed by a thermal annealing process or by a plasma treatment in a nitrogen containing atmosphere. A conductive metal, such as copper, may then be deposited on the metal nitride layer. Further, a metal layer of tantalum is deposited by either a physical vapor deposition (PVD) technique or a chemical vapor deposition technique, on the substrate prior to depositing the metal nitride layer to provide a liner/barrier layer scheme for the metallization stack.
Another aspect of the invention provides a method for forming a microelectronic device comprising forming a first electrode, depositing an adhesion or encapsulation layer comprising a metal nitride over the first electrode by the densification of a CVD deposited metal oxide layer, depositing a dielectric layer over the adhesion or encapsulation layer, and forming a second electrode over the dielectric layer. The method may further comprise depositing a second adhesion or encapsulation layer comprising a metal nitride between the dielectric layer and the second electrode, wherein the metal nitride of the encapsulation layer is formed by the densification of a CVD deposited metal oxide layer. Preferably, the dielectric layer is a high dielectric constant material, such as a metal oxide, deposited by the decomposition of a chemical precursor. Preferably, the adhesion layer and the dielectric layer are deposited sequentially in the same chamber from the same precursor with the adhesion layer comprising a metal nitride formed by the densification of a CVD deposited metal oxide layer. Alternatively, the metal nitride layer may comprise the first and second electrodes.
BRIEF DESCRIPTION OF THE DRAWINGS
So that the manner in which the above recited features, advantages and objects of the present invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings.
It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
FIG. 1 is a schematic top view of an integrated multi-chamber apparatus suitable for performing CVD and PVD processes on a substrate;
FIG. 2 is a high density plasma physical vapor deposition chamber useful for forming the a metal layer of the present invention;
FIG. 3 is a schematic cross-sectional view of a CVD chamber suitable for performing the CVD deposition processes of the present invention chamber from a co-pending patent application assigned of the subject patent application;
FIG. 4 is a simplified block diagram showing the hierarchical control structure of a computer program of the present invention;
FIG. 5 is cross sectional views of an interconnect in a dielectric layer illustrating a metallization technique for forming such interconnects according to the invention;
FIG. 6 is a cross sectional view of a DRAM device having a trench capacitor according to the invention.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
The invention generally provides a process and apparatus for depositing a metal nitride film, forming a feature on a substrate, and forming a microelectronic device with a metal nitride film by the densification of a CVD deposited metal oxide film by a nitrating gas. In one aspect, a metal nitride film is formed on a substrate in a processing chamber by depositing a metal oxide film on the substrate and exposing the metal oxide film to a nitrating gas to form a metal nitride film. The metal oxide film is deposited by the chemical vapor deposition of a metal containing precursor. In one preferred embodiment of the invention, the metal nitride is tantalum nitride (TaXNY) formed by exposing a tantalum oxide (Ta2O5) layer to a nitrating gas composed of nitrogen, ammonia, nitrous oxide, and combinations thereof, which may further include reactant gases such as hydrogen and oxygen. The nitrating step may be a thermal or a plasma enhanced process.
Another aspect of the invention provides for a method of forming a feature on a substrate by forming a metal nitride barrier layer in a metallization stack on the substrate by the densification of a CVD deposited metal oxide layer. A further aspect of the invention provides a method for forming a microelectronic device comprising forming a first electrode, depositing an adhesion layer comprising a metal nitride over the first electrode by the densification of a CVD deposited metal oxide layer, depositing a dielectric layer over the adhesion layer, and forming a second electrode over the dielectric layer. Alternatively, the metal nitride layer may comprise the first and second electrodes.
The Apparatus
The processes of the invention described above may be performed in a multichamber processing apparatus or cluster tool having both PVD and CVD chambers. A schematic of a multichamber processing apparatus 100 suitable for performing the CVD and PVD processes of the present invention is illustrated in FIG. 1. The apparatus shown in FIG. 1 is an ENDURA™ system commercially available from Applied Materials, Inc., Santa Clara, Calif. A similar staged-vacuum wafer processing system is disclosed in U.S. Pat. No. 5,186,718, entitled Staged-Vacuum Wafer Processing System and Method, Tepman et al., issued on Feb. 16, 1993, which is hereby incorporated herein by reference. The particular embodiment of the apparatus 100 shown herein is suitable for processing planar substrates, such as semiconductor substrates, and is provided to illustrate the invention, and should not be used to limit the scope of the invention. The apparatus 100 typically comprises a cluster of interconnected process chambers, for example, a CVD chamber 300, and a PVD chamber 200.
The apparatus 100 preferably includes at least one PVD chamber 200 for performing PVD processes. For example, the metal oxide dielectric layer of the invention may be deposited by sputtering a target of metal in an oxidizing atmosphere in the PVD chamber. The target is electrically isolated from the chamber and serves as a process electrode for generating a plasma. During the sputtering process, a sputtering gas, such as argon or xenon, is introduced into the chamber 200. Power applied to the sputtering target ionizes the gases within the chamber to form a plasma. The power provided to the target may be DC or RF power, with the substrate support electrically grounded. The plasma is typically generated by applying a DC or RF voltage at a power level from between about 100 and about 20,000 Watts, and more typically from between about 100 and about 10,000 Watts, to the sputtering target.
FIG. 2 is a schematic view of an exemplary physical vapor deposition (PVD) chamber for use with the invention. The exemplary PVD chamber is a high density plasma PVD (HDP-PVD) chamber, such as the IMP® PVD chamber available from Applied Materials, Inc., Santa Clara, Calif. The HDP-PVD chamber 200 generally includes a chamber enclosure 202, a substrate support member 204, a target 206, a shield 208 and a coil 210. The target 206 is disposed opposite the substrate support member 204 and is electrically connected to a DC power supply 212. The shield 208 generally surrounds the region between the target 206 and the substrate support member 204 and is typically grounded. The coil 210 is disposed interior of the shield 208 and is connected to an RF power supply 214. A gas inlet 216 disposed through the enclosure 202 introduces one or more processing gases into the chamber during processing.
The substrate support member 204 is attached to an actuator shaft 218 disposed through the bottom of the enclosure 202. The actuator shaft 218 is connected to an actuator 220 which facilitates movement of the substrate support member 204 to various positions in the chamber. A slit valve 226 disposed on a sidewall of the enclosure 202 facilitates transfer of substrates into and out of the chamber. A substrate lift assembly 222 disposed relative to the substrate support member 204 facilitates positioning of a substrate onto and off of the substrate support member 204. During processing, the substrate support member 204 positions a substrate below a cover ring 224 disposed on a lower portion of the shield 208 to shield the perimeter edge of the substrate from deposition.
FIG. 3 is a schematic cross-sectional view of an exemplary CVD chamber useful for performing the processes of the invention. The CVD chamber shown is a CVD TxZ™ chamber, commercially available from Applied Materials, Inc., of Santa Clara, Calif. The TxZ™ chamber is suitable for the deposition of a metal containing precursor of the present invention in the presence of a reactant gas for depositing a metal oxide and/or metal nitride film by either a thermal or plasma enhanced decomposition process.
In the thermal deposition process, a precursor gas is injected into the chamber through the showerhead 340 at a pressure of between about 100 milliTorr and about 10 Torr while the pedestal 332 supports and heats the substrate 336 to an elevated temperature above the decomposition temperature of the precursor of about 100° C. or higher, and preferably from between about 250° C. and about 450° C. The reactant gas is introduced to the precursor gas in the volume located directly above the substrate. A conductive and conformal metal and/or metal nitride layer is conformally deposited on the substrate 336.
In case where a plasma is desired during the deposition process or as a post deposition plasma treatment to remove impurities and densify the film, sufficient voltage and power is applied by an RF power source 394 to cause the process gas in the processing region 356 between the showerhead 340 and the pedestal 332 to discharge and to form a plasma.
The CVD chamber 300 includes a pedestal 332 to support a substrate 336 on a supporting surface 334. Lift pins 338 are slidable within the pedestal 332 but are kept from falling out by conical heads on their upper ends. The lower ends of the lift pins 338 are engageable with vertically movable lifting ring 339 and thus can be lifted above the surface 334 of the pedestal 332. With pedestal 332 in a lower loading position (slightly lower than a slit valve identified as “406” below), a robot blade (not shown) in cooperation with the lift pins 338 and the lifting ring 339 transfers the substrate 336 in and out of the chamber 300 through the slit valve 406, which can be vacuum-sealed to prevent the flow of gas into or out of the chamber through slit valve 406. Lift pins 338 raise an inserted substrate 336 off the robot blade, and then the pedestal 332 rises to transfer the substrate 336 the lift pins 338 onto the supporting surface 334 of the pedestal 332. A suitable robotics transfer assembly is described in commonly-assigned U.S. Pat. No. 4,951,601, issued to Maydan, the complete disclosure of which is incorporated herein by reference.
Through the use of a self-aligning lift mechanism, the pedestal 332 then further raises the substrate 336 into close opposition to a gas distribution faceplate 340, often referred to as a showerhead, which includes a larger number of passageways 342 for jetting the process gas to the opposed substrate 336. The process gas is injected into the reactor 300 through a central gas inlet 344 in a gas-feed cover plate 346 to a first disk-shaped space or void 348 and from thence through passageways 350 in a baffle plate (or a gas blocker plate) 352 to a second disk-shaped space or void 354 in back of the showerhead 340. The showerhead 340 includes a large number of holes or passageways 342 for jetting the process gas into a processing space or zone 356. More specifically, process gas passes from the space or void 354, through the passageways 342 and into the processing space or zone 356 and towards the substrate 336.
The process gas jets from the holes 342 in the showerhead 340 into the processing space or zone 356 between the showerhead 340 and pedestal 332 so as to react at the surface of the substrate 336. The process gas byproducts subsequently flow radially outwardly with respect to a central axis 400 across the edge of the substrate 336, and across a peripheral centering right 402 which is disposed in an annular ledge 404 recessed in the upper periphery of a pedestal 332. Then into an annular pumping channel 360 surrounding the upper periphery of the pedestal 332 when pedestal 332 is in the processing position.
The pumping channel 360 is connected through a constricted exhaust aperture 362 to a pumping plenum 376, and a valve 378 gates the exhaust through an exhaust vent 380 to a vacuum pump 382. The restricted choke aperture 362 creates a nearly uniform pressure around the circumferential pumping channel 360. The process gas and its reaction byproducts flow from the center of the showerhead 340 across the substrate 336 and the periphery of the pedestal 332 and then through the choke aperture 362 to the pumping channel 360. The gas then flows circumferentially in the pumping channel 360 to the exhaust aperture 374 and then through the exhaust plenum 376 and the exhaust vent 380 to the vacuum pump 382. Because of the restriction 362 the gas flow across the substrate 336 is nearly uniform in the azimuthal direction.
As shown in FIG. 3, the ledge 370 in the chamber body 372 supports an insulating annular chamber insert 408 composed of a insulating chamber ring 410 and a band shield 412, which forms the bottom of the pumping channel 360. The chamber lid rim 366 forms the top and part of the outside wall of the pumping channel 360 along with the part of the chamber body 372. The inside upper edge of the pumping channel 360 is formed by the isolator ring 364, which is made of a ceramic or other electrically insulating material which insulates the metallic showerhead 340 from the chamber body 372.
The CVD reactor 300 of FIG. 3 can be operated in a thermal and a plasma assisted mode. In the thermal mode, an electrical power source 390 supplies power to a resistive heater 392 at the top of the pedestal 332 to thereby heat the pedestal 332 and thus the substrate 336 to an elevated temperature sufficient to thermally activate the CVD deposition reaction. In the plasma-enhanced mode, an RF electrical source 394 is passed by a switch 396 to the metallic showerhead 340, which thus acts as an electrode. The showerhead 340 is electrically insulated from the lid rim 366 and the main chamber body 372 by the annular isolator ring 364, typically formed of an electrically non-conductive ceramic. The pedestal 332 is connected to a biasing element 398 associated with the RF source 394 so that RF power is split between the showerhead 340 and the pedestal 332. Sufficient voltage and power is applied by the RF source 394 to cause the process gas in the processing region 356 between the showerhead 340 and the pedestal 332 to discharge and to form a plasma.
FIG. 4 shows an illustrative block diagram of the hierarchical control structure of the computer program 441. A user enters a process set number and process chamber number into a process selector subroutine 442 in response to menus or screens displayed on the CRT monitor by using the light pen interface. Tile process sets are predetermined sets of process parameters necessary to carry out specified processes, and are identified by predefined set numbers. Process selector subroutine 442 identifies (i) the desired process chamber in a multi-chamber system, and (ii) the desired set of process parameters needed to operate the process chamber for performing the desired process. The process parameters for performing a specific process relate to process conditions such as, for example, process gas composition and flow rates, temperature, pressure, plasma conditions such as RF power levels, and chamber dome temperature, and are provided to the user in the form of a recipe. The parameters specified by the recipe are entered utilizing a light pen/CRT monitor interface (not shown).
A process sequencer subroutine 443 comprises program code for accepting the identified process chamber and set of process parameters from the process selector subroutine 442, and for controlling operation of the various process chambers. Multiple users can enter process set numbers and process chamber numbers, or a user can enter multiple process set numbers and process chamber numbers, so the sequencer subroutine 443 operates to schedule the selected processes in the desired sequence. Preferably the sequencer subroutine 443 includes a program code to perform the steps of (i) monitoring the operation of the process chambers to determine if the chambers are being used, (ii) determining what processes are being carried out in the chambers being used, and (iii) executing the desired process based on availability of a process chamber and type of process to be carried out. Conventional methods of monitoring the process chambers can be used, such as polling. When scheduling which process is to be executed, the sequencer subroutine 443 can be designed to take into consideration the present condition of the process chamber being used in comparison with the desired process conditions for a selected process, or the “age” of each particular user entered request, or any other relevant factor a system programmer desires to include for determining scheduling priorities.
After sequencer subroutine 443 determines which process chamber and process set combination is going to be executed next, sequencer subroutine 443 causes execution of the process set by passing the particular process set parameters to a chamber manager subroutine 444A-C, which controls multiple processing tasks in PVD chamber 300 and possibly other chambers (not shown) according to tile process set determined by sequencer subroutine 443.
Once the sequencer subroutine 443 determines which process chamber and process set combination is going to be executed next, the sequencer subroutine 443 causes execution of the process set by passing the particular process set parameters to the chamber manager subroutines 444A-C which control multiple processing tasks in different process chambers according to the process set determined by the sequencer subroutine 443. For example, the chamber manager subroutine 444A comprises program code for controlling PVD process operations, within the described process chamber 300 of FIG. 3.
The chamber manager subroutine 444 also controls execution of various chamber component subroutines or program code modules, which control operation of the chamber components necessary to carry out the selected process set. Examples of chamber component subroutines are substrate positioning subroutine 445, process gas control subroutine 446, pressure control subroutine 447, heater control subroutine 448, and plasma control subroutine 449. Those having ordinary skill in the art will recognize that other chamber control subroutines can be included depending on what processes are desired to be performed in chamber 300 (shown in FIG. 3). In operation, chamber manager subroutine 444A selectively schedules or calls the process component subroutines in accordance with the particular process set being executed. Scheduling by chamber manager subroutine 444A is performed in a manner similar to that used by sequencer subroutine 443 in scheduling which process chamber and process set to execute. Typically, chamber manager subroutine 444A includes steps of monitoring the various chamber components, determining which components need to be operated based on the process parameters for the process set to be executed, and causing execution of a chamber component subroutine responsive to the monitoring and determining steps.
The Densification Process
The metal nitride film is formed by a nitrating densification of a metal oxide film deposited, preferably conformally, by a thermal or a plasma enhanced decomposition of a metal containing precursor. For depositing a tantalum oxide (Ta2O5), a tantalum containing precursor selected from the group of pentaethoxytantalum (Ta(OC2H5)5), cyclopentane tantalum azide, tantalum pentachloride (TaCl5),and combinations thereof is preferably used. Other tantalum oxide precursors or processes which may be used to deposit a tantalum oxide or metal oxide film are contemplated by the invention. For example, an oxidizing gas, such as oxygen, can be used with a metal oxide precursor that does not contain an oxygen component, such as tantalum pentacloride, or with an oxygen containing precursors, such as pentaethoxytantalum, to enhance deposition of the metal oxide film.
During the metal oxide deposition process, the substrate is heated and maintained at a temperature sufficiently high to cause decomposition of the metal oxide precursor and deposition of the film. The exact temperature will depend upon the identity and chemical, thermal, and stability makeup of the compound or compounds used under the reaction conditions. However, a temperature from about room temperature to about 1000° C., preferably from between about 100° C. and about 800° C., and most preferably between about 250° C. and about 500° C. is used to ensure a complete deposition of the precursor on the substrate surface. The processing chamber is maintained at a pressure between about 1 milliTorr and about 25 Torr, but preferably from between about 100 milliTorr and about 10 Torr during the deposition process.
For plasma-enhanced CVD deposition of the metal oxide precursor, power to generate a plasma is then either capacitively or inductively coupled into the chamber to excite the gases into a plasma state to form excited species which react with the film deposited on the substrate. Power is provided to the chamber at between about 200 and about 1000 Watts. For a plasma enhanced deposition process, the substrate temperature is maintained between about 100° C. and about 800° C., preferably between about 250° C. and about 500° C., with chamber pressure maintained at between about 100 milliTorr and about 10 Torr during the plasma enhanced deposition process. The plasma enhanced decomposition of the precursor allows for an increased deposition rate, particularly in combination with a reactant gas, at a lower processing temperature than the thermal decomposition.
Metal oxide films deposited by chemical vapor deposition techniques, and particularly in the case of organometallic precursors, may contain organic contaminants, such as carbon and hydrogen. The carbon and hydrogen contaminants may have concentrations in the film of up to about 20% by weight in the deposited films. Nitrogen containing gases, preferably nitrogen, nitrous oxide, and/or ammonia may be introduced during the metal oxide precursor decomposition to reduce contaminant concentrations and form metal oxynitride films.
Once deposited, the metal oxide (or metal oxynitride) film is then converted into a metal nitride by exposing the film to a nitrating gas, and densifying the film by supplanting oxygen and other components with nitrogen. The nitrating gases which may be used to perform the densification include oxygen (O2), nitrogen (N2), nitrous oxide, and ammonia (NH3). The nitrating gas comprises at least nitrogen and ammonia, but preferably comprises a mixture of oxygen, nitrogen, and ammonia. If nitrogen is used as the nitrating gas without ammonia, the nitrating gas also may include hydrogen.
The nitrating process can be enhanced either thermally or by a plasma process. In a thermally enhanced nitrating process, the deposited metal oxide film is exposed to the nitrating gas with the processing chamber having a temperature between about 200° C. and about 800° C., at a chamber pressure of between about 1 milliTorr and about 25 Torr. The thermal process may be performed in the same processing chamber used for the metal oxide deposition, or may be performed by annealing the metal oxide film in a nitrating gas at a temperature of between about 200° C. and about 800° C. for a period of between about 30 seconds and about 180 seconds in a rapid thermal annealing process (RTP). An example of an anneal chamber is the RTP XEplus Centura® available from Applied Materials, Inc., Santa Clara, Calif. Alternatively, the film may also be annealed at a temperature between about 300° C. and about 600° C. for a period of time between about 5 minutes and about 50 minutes in a conventional annealing process chamber.
The metal oxide film can also be nitrated by a plasma process. For the plasma process, the nitrating gases are introduced into the processing chamber to establish a pressure between about 1 milliTorr and about 25 Torr, but preferably between about 1 Torr and about 10 Torr, and more preferably the chamber pressure is between about 1.5 Torr and about 6 Torr. The substrate is maintained at a temperature of between about 200° C. and about 800° C., preferably between about 250° C. and about 500° C. Power to generate a plasma is imparted to the processing gases at between about 25 Watts and about 2000 Watts, preferably between about 500 Watts and about 1000 Watts, and more preferably at about 750 Watts. While the above described processes are considered exemplary for the densification of metal oxide films, other techniques, such as remote microwave dissociation of the nitrating gases to produce reactive species for the nitrating process are also contemplated.
Metal nitride films have been shown to have superior barrier properties to both metal and metal oxide films and can exist in conductive and insulative phases depending upon the amount of nitration. Nitrating the film also reduces the concentration of contaminants in the film as nitrogen removes hydrogen and carbon from the layer as volatile hydrocarbons and oxygen are desorbed from the surface during the thermal or plasma process. The nitration/densification process will densify the film, thereby improving barrier characteristics and removing layering defects. Nitrating the film will also impart a crystalline structure to the amorphous layer, thereby enhancing interfacial bonding and adhesion while reducing interlayer defects with other crystalline layers, such as metal and metal oxide layers in metallization schemes. Additionally, the CVD process deposition of the metal nitride layer is generally observed to have better conformal coverage in the high aspect ratio features and superior step coverage than metal nitride films deposited by standard physical vapor deposition (PVD) processes.
In another aspect of the invention, the densification of a metal oxide film to form a metal nitride film may be used to advantage in a liner/barrier deposition process to form a metal nitride or metal/metal nitride barrier/liner scheme, such as a TaN or Ta/TaN scheme used in a copper metallization scheme. In an integrated liner/barrier metallization scheme of the invention, preferably, a metal layer is conformally deposited by a chemical vapor deposition (CVD) technique from the decomposition of a precursor. The metal layer is deposited as a liner layer to promote adhesion to the underlying material and reduce contact/via resistance. Alternatively, the metal layer may be deposited by a physical vapor deposition (PVD) technique, such as ionized metal plasma (IMP) or collimated PVD. However, tantalum nitride films have been observed to have good adhesive properties, and such a metal adhesion layer may not be used in the metallization scheme. A metal nitride is then formed by depositing a metal oxide film on the substrate by a chemical vapor deposition technique, exposing the metal oxide film to a nitrating gas, and nitrating the exposed metal oxide film to form a metal nitride film.
FIG. 5 is a schematic cross-sectional view of one embodiment of a metallized film stack 500 of the present invention having a liner layer 518, a barrier layer 519, and a conductive metal layer 520 deposited in a feature 516 formed on a substrate 512. The feature 516 is formed by depositing and etching a dielectric layer 514 on the substrate 512 to form the desired feature 516, such as a via, contact, trench or line. The substrate 512 may take the form of a silicon, thermal oxide, ceramic, or doped silicon substrate or it may be a first or subsequent electrically conducting layer or patterned lines formed on a substrate. The dielectric layer 514 may be a pre-metal dielectric layer deposited over a silicon wafer or an inter-level dielectric layer, and is formed in accordance with procedures conventionally known in the art to form a part of the overall integrated circuit. The dielectric layer 514 can be etched with any dielectric etching or patterning process known in the art, such as reactive ion etching.
For a metal/metal nitride liner/barrier scheme, the liner layer 518 preferably comprises tantalum conformally deposited on the dielectric layer 514 and on the floor and walls of the feature 516. The liner layer 518 may be deposited by chemical vapor deposition or physical vapor deposition techniques, preferably by CVD deposition of an tantalum containing precursor. The liner layer 518 improves adhesion between the substrate or dielectric layer 514 and the subsequently deposited conducting metal layer 520. The CVD tantalum is deposited on a substrate heated between about 100 C. and about 450 C., and at a chamber pressure of between about 100 milliTorr and about 10 Torr. The tantalum liner layer 5 18 may also be deposited by a plasma enhanced CVD process where a plasma is generated by applying a power of between about 200 watts and about 1000 watts. For CVD deposited liner layers, the liner layer 518 is preferably exposed to a plasma of hydrogen and an inert gas, such as argon, to remove a carbon and oxygen impurities and to reduce the resistivity of the tantalum layer 518.
Alternatively, the liner layer 5 18 may be deposited by a PVD technique using the following processing parameters in a high density plasma physical vapor deposition (HDP-PVD) chamber used for IMP-PVD deposition techniques. The chamber pressure during the deposition process is preferably maintained between about 5 milliTorr and about 100 milliTorr, even more preferably between about 10 milliTorr and about 30 milliTorr. The target is preferably DC-biased at between about 1 kW and about 3 kW and between about 100 V and about 300 V. The coil is preferably RF-biased at between about 1 kW and about 3 kW. The substrate support member may be bias at between about 0 W and about 500 W and between about 50 V and about 300 V. In an alternative embodiment of the metallization stack 500, the liner layer 5 18 is not deposited and the barrier layer 519 performs as the liner layer to promote interlayer adhesion.
Thereafter, a metal nitride barrier layer 519 formed from the densification of a metal nitride layer is conformally deposited on the liner layer 5 18. The barrier layer 519 is deposited prior to the conductive metal layer 520 to prevent diffusion of the conductive metal layer 520 to the underlying substrate 512 or dielectric layer 514. The barrier layer 519 comprising TaN may be formed by first depositing a metal oxide layer by CVD deposition of a tantalum precursor to form a tantalum oxide layer. The tantalum oxide precursors may be selected from the group of pentaethoxytantalum (Ta(OC2H5)5), cyclopentane tantalum azide, tantalum pentacloride, Ta(OCH3), and combinations thereof. The tantalum oxide layer is then exposed to a nitrating reactant gas, such as nitrogen, nitrous oxide, or ammonia which may additionally include hydrogen, oxygen, or another reactive gases. The process may be thermally enhanced or plasma enhanced, to densify the tantalum oxide layer to form a tantalum nitride barrier layer 519. For a thermal nitrating process of the tantalum oxide layer, a nitrating processing gas, preferably a mixture of ammonia, nitrogen, and oxygen, is introduced into the chamber with a substrate maintained at a temperature between about 200° C. and about 800° C., and a chamber pressure of between about 1 milliTorr and about 25 Torr. The plasma nitrating process may be further enhanced by generating a plasma by applying between about 25 watts and about 2000 watts to the processing gases described above.
The nitrating gas may also nitrate the surface of the underlying tantalum liner layer 518. It is believed that nitrating the surface of the underlying tantalum liner layer 518 forms an improved atomic lattice bridge between the tantalum metal 518 and the tantalum nitride layer 519, resulting in stronger adhesive properties, improved resistance to chemical attack, improved resistance to inter-metal diffusion in the metallization stack at higher temperatures, and reduced particle formation at lower temperatures.
A layer of conductive metal 520, preferably aluminum, tungsten or copper, and most preferably copper, is deposited on the barrier layer 519. The conductive metal layer 520 is deposited to completely fill the interconnect 516. The copper layer 520 can be deposited by physical vapor deposition (PVD), ionized metal plasma (IMP) PVD, chemical vapor deposition (CVD), electroplating, electroless deposition, or any other known methods in the art.
The feature may be further processed by planarizing the top portion of the metallized layer stack 500, preferably by chemical mechanical polishing (CMP). During the planarization process, portions of the copper layer 518 and the dielectric layer 514 are removed from the top of the structure leaving a fully planar surface with a conductive feature formed in the via 516. Other subsequent processing can include annealing if desired, additional deposition of dielectric or metal layers, etching, and other processes known to IC manufacturing.
The present invention may also be used to advantage in forming microelectronic devices by forming a first electrode, depositing an adhesion or encapsulation layer comprising a metal nitride over the first electrode by the nitrating densification of a CVD deposited metal oxide layer, depositing a dielectric layer over the adhesion or encapsulation layer, and forming a second electrode over the dielectric layer. The method may further comprise depositing an adhesion or encapsulation layer comprising a metal nitride between the dielectric layer and the second electrode by nitrogen densification of a CVD deposited metal oxide layer. The dielectric layer being composed of a high dielectric constant material, preferably tantalum oxide. Although the invention is described in the application for a DRAM device having a trench capacitor, the inventors contemplate application of the invention in other semiconductor devices as well. Additionally, although the invention is described in the application for a tantalum oxide dielectric material, the inventors contemplate application of the invention to other high dielectric materials used in semiconductor manufacturing.
FIG. 6 is a cross sectional view of a DRAM device having a trench capacitor formed using the methods of the invention. The DRAM device 610 is formed on a silicon substrate and generally comprises an access transistor 612 and a trench capacitor 618.
As shown in FIG. 6, the access transistor 612 for the DRAM device 610 is positioned adjacent a top portion of the trench capacitor 618. Preferably, the access transistor 612 comprises an n-p-n transistor having a source region 615, a gate region 614 and a drain region 616. The gate region 614 comprises a P-doped silicon epi-layer disposed over the P+ substrate. The source region 615 of the access transistor 612 comprises an N+ doped material disposed on a first side of the gate region 614, and the drain region 616 comprises an N+ doped material disposed on a second side of the gate region 614, opposite the source region 615. The source region 615 is connected to an electrode of the trench capacitor.
The trench capacitor 618 generally comprises a first electrode 619, a second electrode 621 and a dielectric material 622 disposed therebetween. The P+ substrate serves as a first electrode 619 of the trench capacitor 618 and is connected to a ground connection. A trench 623 is formed in the P+ substrate and filled with a doped N+ polysilicon which serves as the second electrode 621 of the trench capacitor 618. The dielectric material 622 is disposed between the first electrode 619 (i.e., P+ substrate) and the second electrode 621 (i.e., N+ polysilicon). Although the above-described DRAM device utilizes an n-p-n transistor, a P+ substrate as a first electrode and an N+ polysilicon as a second electrode of the capacitor, other transistor designs and electrode materials are contemplated by the invention to form DRAM devices.
According to the invention, the trench capacitor 618 includes an first adhesion/encapsulation layer 624 disposed between the dielectric material 622 and the first electrode 619. Adhesion/encapsulation layers are defined herein to refer to layers that provide interlayer adhesion, encapsulation of materials to prevent interlayer diffusion, or layers that perform both functions. Preferably, a second adhesion/encapsulation layer 225 is also disposed between the dielectric material 622 and the second electrode 621. The trench capacitor 618 is formed in a high aspect ratio trench structure. The first electrode 619 of the trench capacitor comprises a doped substrate in which the high aspect ratio trench structure is etched. Once the trench structure has been etched on the substrate, the first adhesion/encapsulation layer 624 is deposited over the surfaces of the trench structure to improve the adhesion of the dielectric material 622 to the first electrode 619 (i.e., P+ substrate). The first and second electrodes 619, 621, may also comprise a conductive materials such as tantalum (Ta), tungsten (W), nitrated formed thereof (TaXNY, WNx) and compositions of conductive material and nitrated derivative thereof (Ta/TaXNY, W/WNx).
The first and second adhesion/encapsulation layers 624, 625 are deposited utilizing chemical vapor deposition techniques, such as by a metal organic chemical vapor deposition (MOCVD) technique, to form thin, conformal layers on the surfaces within high aspect ratio features. The first adhesion/encapsulation layer 624 comprises a metal nitride formed from the densification of a metal oxide layer by a nitrating reactant gas composed of ammonia, nitrogen, oxygen, and combinations thereof. The metal oxide may be deposited by the chemical vapor deposition of a metal containing precursor selected from the group of pentaethoxytantalum (Ta(OC2H5)5), cyclopentane tantalum azide, tantalum pentacloride, Ta(OCH3), and combinations thereof. The metal nitride is a nitride of a heavy metal, preferably tantalum, that can be deposited as an metal oxide, such as tantalum oxide (Ta2O5) or metal oxynitride, such as tantalum oxynitride TaXOYNZ. The metal oxide layer may be nitrated by heating the layer to a temperature of between about 200° C. and about 800° C. in a nitrating gas environment or by striking a plasma of a nitrogen containing gas. The plasma can be generated by capacitively or inductively coupling energy into a processing chamber at a power between about 25 and about 2000 Watts.
The first adhesion/encapsulation layer 624 is deposited to a thickness of between about 50 Å and about 500 Å for a feature having an aperture width less than about 0.25 μm and an aspect ratio greater than about 5:1. For example, the first adhesion/encapsulation layer 624 is deposited to about 300 Å for a feature having dimensions of about 0.25 μm wide and about 2.5 μm deep. The adhesion/encapsulation layer 624 is also useful as a bottom electrode in a microelectronic device because the adhesion/encapsulation layer 624 provides sufficient electrical conductivity. Thus, the electrode gate material and the adhesion/encapsulation layer 624 for the dielectric layer are deposited with one process to improve efficiency and throughput of the system. Preferably, the bottom electrode having the material according to the invention has a resistivity, ρ, less than about 500 μΩ-cm, and even more preferably, less than about 250 μΩ-cm.
Referring again to FIG. 6, after the adhesion/encapsulation layer 624 has been deposited over the surfaces of the trench structure, the dielectric layer 622 is deposited over the adhesion layer 624. Preferably, the dielectric layer 622 comprises a high dielectric metal oxide (i.e., k>40), such as tantalum oxide (Ta2O5), which can be deposited by chemical vapor deposition. Most preferably, the high dielectric metal oxide is deposited by the same precursor as the metal oxide layer that is densified into the metal nitride layer. The densification process of the invention allows the same precursor to deposit the metal nitride adhesion layer 624 and the metal oxide dielectric layer 622 sequentially in the same chamber without the need to transfer the substrate to another chamber or processing tool. Alternatively, the metal oxide dielectric layer 622 may be deposited using reactive sputtering techniques in a HDP-PVD chamber. The dielectric layer 622 is preferably deposited to a thickness of between about 20 Å and about 200 Å, even more preferably between about 80 Å and about 100 Å.
The second adhesion/encapsulation layer 625 may optionally be deposited over the dielectric layer 622. Preferably, the second adhesion/encapsulation layer 625 comprises the same material as the first adhesion/encapsulation layer 624 (discussed above) and is deposited using the CVD deposition techniques and precursors as described above for the first adhesion/encapsulation layer 624. It is believed that the materials listed above provide improved adhesion of the electrode material to the dielectric material because the adhesion/encapsulation layer comprises elements from the same or similar family of elements as the dielectric material. For example, a TaN based adhesion/encapsulation layer converted from Ta2O5 provides better adhesion to a Ta2O5 dielectric material.
To complete the trench capacitor 618, the second electrode 621 is formed over the second adhesion/encapsulation layer 625 in the trench structure. The material of the second electrode fills the trench structure and is connected to the source region 615 of the access transistor of the DRAM device. To complete the DRAM device, the access transistor 612 of the DRAM device is formed above or adjacent a top portion of the trench capacitor using techniques generally known in the art.
The first and second adhesion/ encapsulation layers 624 and 625 protect the dielectric layer 622 during a subsequent anneal process used to increase the dielectric constant of the dielectric layer. The anneal process can be performed in a variety of anneal chambers, including conventional furnace anneal chambers and rapid thermal anneal chambers. Anneal chambers in general are well known and commercially available. An example of an anneal chamber is the RTP XEplus Centura® thermal processor available from Applied Materials, Inc., Santa Clara, Calif. The inventors also contemplate using other commercially available thermal processors from manufacturers such as Eaton Corporation Thermal Processing Systems, located in Peabody, Mass. Preferably, the dielectric layer 622 is annealed at a temperature of between about 500° C. and about 800° C. for between about 30 seconds and 120 seconds in a rapid thermal anneal process furnace. If annealed in a conventional thermal anneal furnace, the dielectric layer 622 is preferably annealed at a temperature of between about 300° C. and about 600° C. for between about 5 minutes and 50 minutes.
In addition to applications as gate electrode liners, the present invention is useful in applications that benefit from an adhesion/encapsulation layer having a relatively higher dielectric constant than currently practiced barrier/adhesion layers. The layer composition and properties of the TaNx layers according to the invention provide a dielectric constant which can be about the same dielectric constant as the HDC materials, such as Ta2O5. A high dielectric constant barrier/adhesion layer can provides an overall effective interlayer dielectric constant of the adhesion layer, dielectric layer and encapsulation layer similar to the HDC material alone. Additionally, since the dielectric property of TaNx increases generally with the increase in the nitrogen content in these layer (i.e., where x≧1), TaN layers of variable dielectric constants can be produced by varying the nitrating conditions to provide dielectric constants similar to the HDC materials. For example, one phase of tantalum nitride (TaNx), nitrogen rich Ta3N5 is an insulative phase having a dielectric constant of 40 or higher, similar to the Ta2O5 dielectric constant of about 50 and greater.
Additionally, the inventors contemplate application of the trench capacitor according to the invention in a variety of DRAM designs in addition to the DRAM design shown for illustrative purposes in FIG. 6. For example, in one possible DRAM design, the access transistor can be disposed at a location directly above the trench capacitor.
While the foregoing is directed to the preferred embodiment of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (46)

What is claimed is:
1. A method tor processing a substrate comprising:
depositing a metal oxide film or a metal oxynitride film on the substrate; and
exposing the metal oxide film or the metal oxynitride film to a nitrating gas to fully convert the metal oxide film or the metal oxynitride film to a metal nitride film.
2. The method of claim 1, wherein the metal oxide film comprises tantalum oxide, the metal oxynitride film comprises tantalum oxynitride and the metal nitride film comprises tantalum nitride (TaXNY).
3. The method of claim 1, wherein the metal oxide film or the metal oxynitride film is deposited by chemical vapor deposition of a precursor selected from the group consisting of pentaethoxytantalum (Ta(OC2H5)5), cyclopentane tantalum azide, tantalum pentachloride, Ta(OCH3), and combinations thereof.
4. The method of claim 1, wherein the nitrating gas is selected from the group consisting of nitrogen, ammonia, and nitrous oxide.
5. The method of claim 4, wherein the nitrating gas further comprises a gas selected from the group consisting of oxygen, hydrogen, and combinations thereof.
6. The method of claim 1, wherein nitrating the metal oxide film or the metal oxynitride layer comprises heating the metal oxide film or the metal oxynitride layer at a temperature between about 200° C. and about 800° C. in the nitrating gas.
7. The method of claim 1, wherein nitrating the metal oxide film or the metal oxynitride layer comprises generating a plasma by applying power to a processing chamber at between about 25 and about 2000 Watts.
8. The method of claim 1, wherein the nitrating gas comprises nitrogen and a gas selected from the group of ammonia, nitrous oxide, hydrogen, oxygen, and combinations thereof.
9. The method of claim 1, wherein the metal oxide film or the metal oxynitride layer is heated for a period of between about 30 seconds and 180 seconds.
10. The method of claim 1, wherein the metal oxide or the metal oxynitride layer is deposited in the presence of a nitrogen containing gas.
11. The method of claim 10, wherein the nitrogen containing gas is selected from the group consisting of nitrogen, nitrous oxide, ammonia, and combinations thereof.
12. The method of claim 10, wherein the nitrating gas is selected from the group consisting of nitrogen, ammonia, nitrous oxide, and combinations thereof.
13. The method of claim 10, wherein the nitrating gas comprises nitrogen and a gas selected from the group of ammonia, nitrous oxide, hydrogen, oxygen, and combinations thereof.
14. The method of claim 10, wherein the metal oxide film is deposited by chemical vapor deposition of a precursor selected from the group consisting of pentaethoxytantalum (Ta(OC2H5)5), cyclopentane tantalum azide, tantalum pentachloride, Ta(OCH3), and combinations thereof.
15. The method of claim 1, wherein the metal oxide film is densified during the conversion to the metal nitride film.
16. A method for forming a feature on a substrate comprising:
depositing a dielectric layer on the substrate;
etching an aperture within the dielectric layer to expose the substrate;
depositing a metal oxide layer or a metal oxynitride layer on the substrate; and
exposing the metal oxide layer or the metal oxynitride layer to a nitrating gas to fully convert the metal oxide layer or the metal oxynitride layer to a metal nitride layer.
17. The method of claim 16, further comprising depositing a metal layer prior to depositing the metal oxide layer.
18. The method of claim 16, wherein the metal oxide layer comprises tantalum oxide, the metal oxynitride layer comprises tantalum oxynitride and the metal nitride layer comprises tantalum nitride.
19. The method of claim 16, wherein the metal oxide layer or the metal oxynitride layer is deposited by chemical vapor deposition of a precursor selected from the group consisting of pentaethoxytantalum (Ta(OC2H5)5), cyclopentane tantalum azide, tantalum pentachloride, Ta(OCH3), and combinations thereof.
20. The method of claim 16, wherein the nitrating gas is selected from the group consisting of nitrogen, ammonia, and nitrous oxide.
21. The method of claim 20, wherein the nitrating gas further comprises a gas selected from the group consisting of oxygen, hydrogen, and combinations thereof.
22. The method of claim 16, wherein fully converting the metal oxide layer or the metal oxynitride layer to the metal nitride comprises heating the metal oxide layer or the metal oxynitride layer at a temperature between about 200° C. and about 800° C. in the nitrating gas.
23. The method of claim 16, wherein fully converting the metal oxide layer or the metal oxynitride layer to the metal nitride comprises generating a plasma by applying a power of between about 25 and about 2000 Watts.
24. The method of claim 16, further comprising depositing a conductive metal layer on the metal nitride layer.
25. A method for forming a microelectronic device, comprising:
forming a first electrode;
depositing a metal nitride layer over the first electrode, comprising:
depositing a metal oxide layer or a metal oxynitride layer on a substrate; and
exposing the metal oxide layer or the metal oxynitride layer to a nitrating gas to fully convert the metal oxide layer or the metal oxynitride layer to a metal nitride layer;
depositing a dielectric layer over the metal nitride layer; and
forming a second electrode over the dielectric layer.
26. The method of claim 25, wherein the metal oxide layer comprises tantalum oxide, the metal oxynitride layer comprises tantalum oxynitride and the metal nitride layer comprises tantalum nitride.
27. The method of claim 25, wherein the metal oxide layer or the metal oxynitride layer is deposited by chemical vapor deposition of a precursor selected from the group consisting of pentaethoxytantalum (Ta(OC2H5)5), cyclopentane tantalum azide, tantalum pentachloride, Ta(OCH3), and combinations thereof.
28. The method of claim 25, wherein the nitrating gas is selected from the group consisting of nitrogen, ammonia, and nitrous oxide.
29. The method of claim 28, wherein the nitrating gas further comprises a gas selected from the group consisting of oxygen, hydrogen, and combinations thereof.
30. The method of claim 29, wherein nitrating the metal oxide layer or the metal oxynitride layer comprises heating the layer at a temperature between about 200° C. and about 800° C. in the nitrating gas.
31. The method of claim 25, wherein nitrating the metal oxide layer comprises striking a plasma, the plasma comprising capacitively or inductively coupling energy into a processing chamber at a power between about 25 and about 2000 Watts.
32. The method of claim 25, wherein the dielectric layer comprises a high dielectric constant material.
33. The method of claim 24, wherein the high dielectric constant material is tantalum oxide (Ta2O5).
34. The method of claim 25, wherein the metal nitride layer and the dielectric layer are deposited sequentially in the same chamber.
35. The method of claim 25, wherein the metal nitride layer and the dielectric layer are deposited from the same precursor.
36. The method of claim 25, further comprising annealing the substrate at between about 300° C. and about 600° C. for between about 5 minutes and about 50 minutes in a conventional anneal furnace.
37. The method of claim 25, further comprising annealing the substrate at between about 500° C. and about 800° C. for between about 30 seconds and about 120 seconds in a rapid thermal anneal processor.
38. The method of claim 25, further comprising:
depositing a metal nitride layer between the dielectric layer and the second electrode, comprising:
depositing a metal oxide layer or a metal oxynitride layer on the substrate; and
exposing the metal oxide layer or the metal oxynitride layer to a nitrating gas to fully convert the metal oxide layer or the metal oxynitride layer to a metal nitride layer.
39. The method of claim 38, wherein the metal oxide layer comprises tantalum oxide (Ta2O5) and the metal nitride layer comprises tantalum nitride (TaXNY).
40. The method of claim 38, wherein the metal nitride layer and the dielectric layer are deposited from the same precursor.
41. The method of claim 25, wherein the nitrating gas comprises nitrogen and a gas selected from the group of ammonia, nitrous oxide, hydrogen, oxygen, and combinations thereof.
42. The method of claim 25, wherein the metal oxide or the metal oxynitride layer is deposited in the presence of a nitrogen containing gas.
43. The method of claim 42, wherein the nitrogen containing gas is selected from the group consisting of nitrogen, nitrous oxide, ammonia, and combinations thereof.
44. The method of claim 42, wherein the nitrating gas is selected from the group consisting of nitrogen, ammonia, nitrous oxide, and combinations thereof.
45. The method of claim 42, wherein the nitrating gas comprises nitrogen and a gas selected from the group of ammonia, nitrous oxide, hydrogen, oxygen, and combinations thereof.
46. The method of claim 42, wherein the metal oxide film or the metal oxynitride layer is deposited by chemical vapor deposition of a precursor selected from the group consisting of pentaethoxytantalum (Ta(OC2H5)5), cyclopentane tantalum azide, tantalum pentachloride, Ta(OCH3), and combinations thereof.
US10/015,203 2000-02-22 2001-11-05 Tantalum nitride CVD deposition by tantalum oxide densification Expired - Fee Related US6638810B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/015,203 US6638810B2 (en) 2000-02-22 2001-11-05 Tantalum nitride CVD deposition by tantalum oxide densification

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/510,582 US6319766B1 (en) 2000-02-22 2000-02-22 Method of tantalum nitride deposition by tantalum oxide densification
US10/015,203 US6638810B2 (en) 2000-02-22 2001-11-05 Tantalum nitride CVD deposition by tantalum oxide densification

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/510,582 Continuation US6319766B1 (en) 2000-02-22 2000-02-22 Method of tantalum nitride deposition by tantalum oxide densification

Publications (2)

Publication Number Publication Date
US20030008501A1 US20030008501A1 (en) 2003-01-09
US6638810B2 true US6638810B2 (en) 2003-10-28

Family

ID=24031320

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/510,582 Expired - Fee Related US6319766B1 (en) 2000-02-22 2000-02-22 Method of tantalum nitride deposition by tantalum oxide densification
US10/015,203 Expired - Fee Related US6638810B2 (en) 2000-02-22 2001-11-05 Tantalum nitride CVD deposition by tantalum oxide densification

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/510,582 Expired - Fee Related US6319766B1 (en) 2000-02-22 2000-02-22 Method of tantalum nitride deposition by tantalum oxide densification

Country Status (5)

Country Link
US (2) US6319766B1 (en)
EP (1) EP1127956A3 (en)
JP (1) JP2002009016A (en)
KR (1) KR20010083240A (en)
SG (1) SG86459A1 (en)

Cited By (196)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030230812A1 (en) * 2002-06-17 2003-12-18 Kim Byung-Hee Semiconductor interconnection structure with TaN and method of forming the same
US20050095443A1 (en) * 2003-10-31 2005-05-05 Hyungjun Kim Plasma enhanced ALD of tantalum nitride and bilayer
US20050100669A1 (en) * 2003-11-12 2005-05-12 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
US20050245008A1 (en) * 2004-04-28 2005-11-03 International Business Machines Corporation Method for forming narrow gate structures on sidewalls of a lithographically defined sacrificial material
US20050250320A1 (en) * 2004-05-10 2005-11-10 Taiwan Semiconductor Manufacturing Co. Ltd. Plasma treatment at film layer to reduce sheet resistance and to improve via contact resistance
US20060027925A1 (en) * 2004-08-04 2006-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Composite barrier layer
US7037574B2 (en) 2001-05-23 2006-05-02 Veeco Instruments, Inc. Atomic layer deposition for fabricating thin films
US20060130971A1 (en) * 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
US20060216548A1 (en) * 2005-03-22 2006-09-28 Ming Mao Nanolaminate thin films and method for forming the same using atomic layer deposition
US20060272577A1 (en) * 2005-06-03 2006-12-07 Ming Mao Method and apparatus for decreasing deposition time of a thin film
US20070010080A1 (en) * 2004-05-10 2007-01-11 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma Treatment at Film Layer to Reduce Sheet Resistance and to Improve Via Contact Resistance
US20070019472A1 (en) * 2005-07-25 2007-01-25 Freescale Semiconductor, Inc. Electronic device including a memory array and conductive lines
US20070020856A1 (en) * 2005-07-25 2007-01-25 Freescale Semiconductor, Inc. Process for forming an electronic device including discontinuous storage elements
US20070020851A1 (en) * 2005-07-25 2007-01-25 Freescale Semiconductor, Inc. Hot carrier injection programmable structure including discontinuous storage elements and spacer control gates in a trench and a method of using the same
US20070018216A1 (en) * 2005-07-25 2007-01-25 Freescale Semiconductor, Inc. Electronic device including discontinuous storage elements
US20070020831A1 (en) * 2005-07-25 2007-01-25 Freescale Semiconductor, Inc. Method of fabricating a nonvolatile storage array with continuous control gate employing hot carrier injection programming
US20070018221A1 (en) * 2005-07-25 2007-01-25 Freescale Semiconductor, Inc. Programmable structure including discontinuous storage elements and spacer control gates in a trench
US20070018232A1 (en) * 2005-07-25 2007-01-25 Freescale Semiconductor, Inc. Nonvolatile storage array with continuous control gate employing hot carrier injection programming
US20070018222A1 (en) * 2005-07-25 2007-01-25 Freescale Semiconductor, Inc. Electronic device including discontinuous storage elements
US20070018229A1 (en) * 2005-07-25 2007-01-25 Freescale Semiconductor, Inc. Electronic device including discontinuous storage elements and a process for forming the same
US20070020840A1 (en) * 2005-07-25 2007-01-25 Freescale Semiconductor, Inc. Programmable structure including nanocrystal storage elements in a trench
US20070020845A1 (en) * 2005-07-25 2007-01-25 Freescale Semiconductor, Inc. Method of fabricating programmable structure including discontinuous storage elements and spacer control gates in a trench
US20070018234A1 (en) * 2005-07-25 2007-01-25 Freescale Semiconductor, Inc. Electronic device including gate lines, bit lines, or a combination thereof
US20070052096A1 (en) * 2005-08-23 2007-03-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for forming the same
US20070209930A1 (en) * 2006-03-09 2007-09-13 Applied Materials, Inc. Apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US20080160210A1 (en) * 2004-02-26 2008-07-03 Haichun Yang Passivation layer formation by plasma clean process to reduce native oxide growth
US7399675B2 (en) 2004-10-08 2008-07-15 Freescale Semiconductor, Inc Electronic device including an array and process for forming the same
US20080173922A1 (en) * 2007-01-24 2008-07-24 Freescale Semiconductor, Inc. Electronic device including fins and discontinuous storage elements and processes of forming and using the same
US20080173923A1 (en) * 2007-01-24 2008-07-24 Freescale Semiconductor, Inc. Electronic device including trenches and discontinuous storage elements and processes of forming and using the same
US20080173921A1 (en) * 2007-01-24 2008-07-24 Freescale Semiconductor, Inc. Electronic device including trenches and discontinuous storage elements and processes of forming and using the same
US20080268645A1 (en) * 2004-02-26 2008-10-30 Chien-Teh Kao Method for front end of line fabrication
US20090155998A1 (en) * 2004-06-30 2009-06-18 Johnston Steven W Atomic layer deposited tantalum containing adhesion layer
US7592224B2 (en) 2006-03-30 2009-09-22 Freescale Semiconductor, Inc Method of fabricating a storage device including decontinuous storage elements within and between trenches
US7670646B2 (en) 2002-05-02 2010-03-02 Micron Technology, Inc. Methods for atomic-layer deposition
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7719065B2 (en) 2004-08-26 2010-05-18 Micron Technology, Inc. Ruthenium layer for a dielectric layer containing a lanthanide oxide
US7727905B2 (en) * 2004-08-02 2010-06-01 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US20100297809A1 (en) * 2005-04-25 2010-11-25 Semiconductor Energy Laboratory Co., Ltd. Organic transistor, manufacturing method of semiconductor device and organic transistor
US7867919B2 (en) 2004-08-31 2011-01-11 Micron Technology, Inc. Method of fabricating an apparatus having a lanthanum-metal oxide dielectric layer
US7915174B2 (en) 2004-12-13 2011-03-29 Micron Technology, Inc. Dielectric stack containing lanthanum and hafnium
US7972974B2 (en) 2006-01-10 2011-07-05 Micron Technology, Inc. Gallium lanthanide oxide films
US8125038B2 (en) 2002-07-30 2012-02-28 Micron Technology, Inc. Nanolaminates of hafnium oxide and zirconium oxide
US8154066B2 (en) 2004-08-31 2012-04-10 Micron Technology, Inc. Titanium aluminum oxide films
US8445952B2 (en) 2002-12-04 2013-05-21 Micron Technology, Inc. Zr-Sn-Ti-O films
US8501563B2 (en) 2005-07-20 2013-08-06 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8975152B2 (en) 2011-11-08 2015-03-10 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9023732B2 (en) 2013-03-15 2015-05-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9236266B2 (en) 2011-08-01 2016-01-12 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9299582B2 (en) 2013-11-12 2016-03-29 Applied Materials, Inc. Selective etch for metal-containing materials
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9478432B2 (en) 2014-09-25 2016-10-25 Applied Materials, Inc. Silicon oxide selective removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10510547B2 (en) 2017-09-13 2019-12-17 Applied Materials, Inc. Metal and metal-derived films
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US20220102140A1 (en) * 2018-11-30 2022-03-31 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems

Families Citing this family (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100386447B1 (en) * 1999-12-23 2003-06-02 주식회사 하이닉스반도체 Method of forming capacitor in semiconductor device
KR100358069B1 (en) * 1999-12-27 2002-10-25 주식회사 하이닉스반도체 Method of manufacturing a capacitor in a semiconductor device
US6319766B1 (en) * 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
GB2361244B (en) * 2000-04-14 2004-02-11 Trikon Holdings Ltd A method of depositing dielectric
KR100333376B1 (en) * 2000-06-30 2002-04-18 박종섭 Method for manufacturing gate in semiconductor device
JP2002043299A (en) * 2000-07-19 2002-02-08 Tokyo Electron Ltd Heat treatment method of object to be treated
TWI303084B (en) * 2000-09-08 2008-11-11 Tokyo Electron Ltd Shower head structure, film forming method, and gas processing apparauts
US6573150B1 (en) * 2000-10-10 2003-06-03 Applied Materials, Inc. Integration of CVD tantalum oxide with titanium nitride and tantalum nitride to form MIM capacitors
JP2002289816A (en) * 2001-03-23 2002-10-04 Toshiba Corp Semiconductor device and its manufacturing method
DE10114956C2 (en) * 2001-03-27 2003-06-18 Infineon Technologies Ag Method for producing a dielectric layer as an insulator layer for a trench capacitor
US6534360B2 (en) * 2001-04-04 2003-03-18 Applied Materials, Inc. Process for depositing layers on a semiconductor wafer
US6511876B2 (en) * 2001-06-25 2003-01-28 International Business Machines Corporation High mobility FETS using A1203 as a gate oxide
US6541331B2 (en) * 2001-08-09 2003-04-01 International Business Machines Corporation Method of manufacturing high dielectric constant material
US6645853B1 (en) * 2001-12-05 2003-11-11 Advanced Micro Devices, Inc. Interconnects with improved barrier layer adhesion
KR100505043B1 (en) * 2002-05-25 2005-07-29 삼성전자주식회사 Method for forming a capacitor
DE10239869A1 (en) * 2002-08-29 2004-03-18 Infineon Technologies Ag Production of dielectric layers used in the production of electronic components, e.g. transistors or capacitors, comprises preparing a substrate, forming a dielectric layer on the substrate, and subjecting the dielectric layer to a plasma
US7144806B1 (en) * 2002-10-23 2006-12-05 Novellus Systems, Inc. ALD of tantalum using a hydride reducing agent
US6974768B1 (en) * 2003-01-15 2005-12-13 Novellus Systems, Inc. Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films
US6727560B1 (en) * 2003-02-10 2004-04-27 Advanced Micro Devices, Inc. Engineered metal gate electrode
CN100352017C (en) * 2003-03-17 2007-11-28 富士通株式会社 Semiconductor device and method for manufacturing semiconductor device
KR100560666B1 (en) * 2003-07-07 2006-03-16 삼성전자주식회사 Metal layer deposition system for semiconductor device fabrication and method of operating the same
KR100668970B1 (en) * 2003-11-05 2007-01-12 동부일렉트로닉스 주식회사 Plasma treatment apparatus and method for fabricating nitride film using the same
US7067422B2 (en) 2004-03-31 2006-06-27 Tokyo Electron Limited Method of forming a tantalum-containing gate electrode structure
US7785947B2 (en) * 2005-04-28 2010-08-31 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device comprising the step of forming nitride/oxide by high-density plasma
DE102005051819B3 (en) * 2005-10-28 2007-06-14 Infineon Technologies Ag Production process for semiconductor structures
WO2007106660A2 (en) 2006-03-09 2007-09-20 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
KR100764739B1 (en) * 2006-05-10 2007-10-08 삼성전자주식회사 Methods of forming a semiconductor device
US20080132060A1 (en) * 2006-11-30 2008-06-05 Macronix International Co., Ltd. Contact barrier layer deposition process
JP4751460B2 (en) * 2009-02-18 2011-08-17 東京エレクトロン株式会社 Substrate transfer apparatus and substrate processing system
TWI404811B (en) * 2009-05-07 2013-08-11 Atomic Energy Council Method of fabricating metal nitrogen oxide thin film structure
US9803088B2 (en) * 2009-08-24 2017-10-31 Basf Corporation Enhanced performance of mineral based aqueous barrier coatings
US9711351B2 (en) * 2014-09-11 2017-07-18 Asm Ip Holding B.V. Process for densifying nitride film
US9406617B1 (en) * 2015-11-19 2016-08-02 International Business Machines Corporation Structure and process for W contacts
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
KR102574914B1 (en) 2017-06-02 2023-09-04 어플라이드 머티어리얼스, 인코포레이티드 Dry Stripping of Boron Carbide Hardmasks
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10096516B1 (en) * 2017-08-18 2018-10-09 Applied Materials, Inc. Method of forming a barrier layer for through via applications
KR102405723B1 (en) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 High pressure and high temperature annealing chamber
KR20200042009A (en) 2017-09-12 2020-04-22 어플라이드 머티어리얼스, 인코포레이티드 Apparatus and methods for manufacturing semiconductor structures using a protective barrier layer
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
EP4321649A2 (en) 2017-11-11 2024-02-14 Micromaterials LLC Gas delivery system for high pressure processing chamber
WO2019099125A1 (en) 2017-11-16 2019-05-23 Applied Materials, Inc. High pressure steam anneal processing apparatus
KR20200075892A (en) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 Condenser system for high pressure treatment systems
US20190229053A1 (en) * 2018-01-22 2019-07-25 United Microelectronics Corp. Metal-insulator-metal capacitor structure and manufacturing method thereof
WO2019147400A1 (en) 2018-01-24 2019-08-01 Applied Materials, Inc. Seam healing using high pressure anneal
EP3762962A4 (en) 2018-03-09 2021-12-08 Applied Materials, Inc. High pressure annealing process for metal containing materials
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
KR102528076B1 (en) 2018-10-30 2023-05-03 어플라이드 머티어리얼스, 인코포레이티드 Methods for Etching Structures for Semiconductor Applications
KR20210077779A (en) 2018-11-16 2021-06-25 어플라이드 머티어리얼스, 인코포레이티드 Film Deposition Using Enhanced Diffusion Process
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11800824B2 (en) * 2021-03-24 2023-10-24 Applied Materials, Inc. Low temperature silicon nitride/silicon oxynitride stack film with tunable dielectric constant

Citations (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4413022A (en) 1979-02-28 1983-11-01 Canon Kabushiki Kaisha Method for performing growth of compound thin films
US4464701A (en) 1983-08-29 1984-08-07 International Business Machines Corporation Process for making high dielectric constant nitride based materials and devices using the same
US4782380A (en) 1987-01-22 1988-11-01 Advanced Micro Devices, Inc. Multilayer interconnection for integrated circuit structure having two or more conductive metal layers
EP0328257A2 (en) 1988-02-08 1989-08-16 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
US4886966A (en) 1988-01-07 1989-12-12 Kabushiki Kaisha Toshiba Apparatus for introducing samples into an inductively coupled, plasma source mass spectrometer
US5110637A (en) 1988-03-03 1992-05-05 Asahi Glass Company Ltd. Amorphous oxide film and article having such film thereon
US5196365A (en) 1989-07-05 1993-03-23 Fujitsu Limited Method of making semiconductor memory device having stacked capacitor
US5336638A (en) 1991-03-06 1994-08-09 Hitachi, Ltd. Process for manufacturing semiconductor devices
US5352623A (en) 1993-02-17 1994-10-04 Nec Corporation Method for manufacturing a semiconductor device
US5399435A (en) 1988-03-03 1995-03-21 Asahi Glass Company Ltd. Amorphous oxide film and article having such film thereon
US5508221A (en) 1993-12-02 1996-04-16 Nec Corporation Method for forming capacitor element of DRAM
US5622888A (en) 1994-11-09 1997-04-22 Nec Corporation Method of manufacturing a semiconductor device
US5665210A (en) 1990-07-24 1997-09-09 Semiconductor Energy Laboratory Co., Ltd. Method of forming insulating films, capacitances, and semiconductor devices
US5677015A (en) 1994-03-17 1997-10-14 Sony Corporation High dielectric constant material containing tantalum, process for forming high dielectric constant film containing tantalum, and semiconductor device using the same
US5688724A (en) 1992-07-02 1997-11-18 National Semiconductor Corporation Method of providing a dielectric structure for semiconductor devices
US5696617A (en) 1993-07-14 1997-12-09 Fujitsu Limited Optical reading apparatus having optical scanner for scanning information on objects
US5800857A (en) 1992-09-18 1998-09-01 Pinnacle Research Institute, Inc. Energy storage device and methods of manufacture
US5872696A (en) 1997-04-09 1999-02-16 Fujitsu Limited Sputtered and anodized capacitors capable of withstanding exposure to high temperatures
US5910880A (en) 1997-08-20 1999-06-08 Micron Technology, Inc. Semiconductor circuit components and capacitors
WO1999029924A1 (en) * 1997-12-09 1999-06-17 Asm Microchemistry Ltd. Method for coating inner surfaces of equipment
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5923056A (en) 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US5980977A (en) 1996-12-09 1999-11-09 Pinnacle Research Institute, Inc. Method of producing high surface area metal oxynitrides as substrates in electrical energy storage
US5989999A (en) 1994-11-14 1999-11-23 Applied Materials, Inc. Construction of a tantalum nitride film on a semiconductor wafer
WO1999065064A1 (en) 1998-06-05 1999-12-16 A.S.M. International N.V. Method and device for transferring wafers
WO1999064645A1 (en) 1998-06-12 1999-12-16 Applied Materials, Inc. A method and apparatus for the formation of dielectric layers
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
WO2000016377A2 (en) 1998-09-10 2000-03-23 Genitech Co., Ltd. Method for forming a three-component nitride film containing metal and silicon
US6084302A (en) 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
US6087261A (en) 1997-09-30 2000-07-11 Fujitsu Limited Method for production of semiconductor device
WO2000054320A1 (en) 1999-03-11 2000-09-14 Genus, Inc. Radical-assisted sequential cvd
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6139700A (en) 1997-10-01 2000-10-31 Samsung Electronics Co., Ltd. Method of and apparatus for forming a metal interconnection in the contact hole of a semiconductor device
US6144060A (en) 1997-07-31 2000-11-07 Samsung Electronics Co., Ltd. Integrated circuit devices having buffer layers therein which contain metal oxide stabilized by heat treatment under low temperature
US6162744A (en) 1998-02-28 2000-12-19 Micron Technology, Inc. Method of forming capacitors having high-K oxygen containing capacitor dielectric layers, method of processing high-K oxygen containing dielectric layers, method of forming a DRAM cell having having high-K oxygen containing capacitor dielectric layers
US6165834A (en) 1998-05-07 2000-12-26 Micron Technology, Inc. Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell
US6174809B1 (en) 1997-12-31 2001-01-16 Samsung Electronics, Co., Ltd. Method for forming metal layer using atomic layer deposition
WO2001015220A1 (en) 1999-08-24 2001-03-01 Asm America, Inc. Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6197683B1 (en) 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
WO2001017692A1 (en) 1999-09-08 2001-03-15 Asm America, Inc. Improved apparatus and method for growth of a thin film
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6207487B1 (en) 1998-10-13 2001-03-27 Samsung Electronics Co., Ltd. Method for forming dielectric film of capacitor having different thicknesses partly
EP1087430A2 (en) 1999-09-24 2001-03-28 Applied Materials, Inc. A method and apparatus for integrating a metal nitride film in a semiconductor device
WO2001027346A1 (en) 1999-10-15 2001-04-19 Asm Microchemistry Oy Method of modifying source chemicals in an ald process
WO2001027347A1 (en) 1999-10-15 2001-04-19 Asm Microchemistry Oy Method of depositing transition metal nitride thin films
JP2001111000A (en) 1999-08-14 2001-04-20 Samsung Electronics Co Ltd Semiconductor element and manufacturing method thereof
WO2001029893A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
WO2001029280A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Deposition of transition metal carbides
WO2001029891A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Conformal lining layers for damascene metallization
GB2355727A (en) 1999-10-06 2001-05-02 Samsung Electronics Co Ltd Atomic layer deposition method
US20010000866A1 (en) 1999-03-11 2001-05-10 Ofer Sneh Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
WO2001036702A1 (en) 1999-11-18 2001-05-25 Genitech Co., Ltd. Method of vaporizing liquid sources and apparatus therefor
JP2001172767A (en) * 1999-10-14 2001-06-26 Asm Microchemistry Oy Method of growing oxide thin film
US20010009695A1 (en) 2000-01-18 2001-07-26 Saanila Ville Antero Process for growing metalloid thin films
US6270572B1 (en) 1998-08-07 2001-08-07 Samsung Electronics Co., Ltd. Method for manufacturing thin film using atomic layer deposition
EP1126046A2 (en) 2000-02-16 2001-08-22 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
US6284646B1 (en) 1997-08-19 2001-09-04 Samsung Electronics Co., Ltd Methods of forming smooth conductive layers for integrated circuit devices
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
US20010024387A1 (en) 1999-12-03 2001-09-27 Ivo Raaijmakers Conformal thin films over textured capacitor electrodes
US20010025979A1 (en) 1999-12-22 2001-10-04 Min-Soo Kim Semiconductor device incorporated therein high K capacitor dielectric and method for the manufacture thereof
US20010028924A1 (en) 1996-08-16 2001-10-11 Arthur Sherman Sequential chemical vapor deposition
US20010034123A1 (en) 2000-04-20 2001-10-25 In-Sang Jeon Method of manufacturing a barrier metal layer using atomic layer deposition
US6319766B1 (en) * 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US20010054769A1 (en) 2000-05-15 2001-12-27 Ivo Raaijmakers Protective layers prior to alternating layer deposition
US20010054730A1 (en) * 2000-06-07 2001-12-27 Samsung Electronics Co., Ltd. Metal-insulator-metal capacitor and manufacturing method thereof
EP1167569A1 (en) 2000-06-24 2002-01-02 IPS Limited Apparatus and method for depositing thin film on wafer using atomic layer deposition
US20020000598A1 (en) * 1999-12-08 2002-01-03 Sang-Bom Kang Semiconductor devices having metal layers as barrier layers on upper or lower electrodes of capacitors
US20020007790A1 (en) * 2000-07-22 2002-01-24 Park Young-Hoon Atomic layer deposition (ALD) thin film deposition equipment having cleaning apparatus and cleaning method
WO2002008485A2 (en) 2000-07-07 2002-01-31 A.S.M. International N.V. Method for vapour deposition of a film onto a substrate
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US20020021544A1 (en) * 2000-08-11 2002-02-21 Hag-Ju Cho Integrated circuit devices having dielectric regions protected with multi-layer insulation structures and methods of fabricating same
US20020020869A1 (en) * 1999-12-22 2002-02-21 Ki-Seon Park Semiconductor device incorporated therein high K capacitor dielectric and method for the manufacture thereof
JP2002060944A (en) 2000-04-20 2002-02-28 Internatl Business Mach Corp <Ibm> Precursory raw material mixture, film deposition method and formation of structure
US6358829B2 (en) 1998-09-17 2002-03-19 Samsung Electronics Company., Ltd. Semiconductor device fabrication method using an interface control layer to improve a metal interconnection layer
US6372598B2 (en) 1998-06-16 2002-04-16 Samsung Electronics Co., Ltd. Method of forming selective metal layer and method of forming capacitor and filling contact hole using the same
US20020048635A1 (en) * 1998-10-16 2002-04-25 Kim Yeong-Kwan Method for manufacturing thin film
WO2002043115A2 (en) 2000-11-24 2002-05-30 Asm America, Inc. Surface preparation prior to deposition
WO2002045167A2 (en) 2000-11-30 2002-06-06 Asm International N.V. Thin films for magnetic devices
US20020076507A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US20020106536A1 (en) * 2001-02-02 2002-08-08 Jongho Lee Dielectric layer for semiconductor device and method of manufacturing the same
US6468924B2 (en) 2000-12-06 2002-10-22 Samsung Electronics Co., Ltd. Methods of forming thin films by atomic layer deposition
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
US20020162506A1 (en) 2000-11-29 2002-11-07 Ofer Sneh Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US20020177282A1 (en) 2001-05-23 2002-11-28 Samsung Electronics Co., Ltd. Method of forming semiconductor device having a GAA type transistor
US20020182320A1 (en) 2001-03-16 2002-12-05 Markku Leskela Method for preparing metal nitride thin films
US20030013320A1 (en) 2001-05-31 2003-01-16 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US20030049942A1 (en) 2001-08-31 2003-03-13 Suvi Haukka Low temperature gate stack
US20030072975A1 (en) 2001-10-02 2003-04-17 Shero Eric J. Incorporation of nitrogen into high k dielectric film
US20030082296A1 (en) 2001-09-14 2003-05-01 Kai Elers Metal nitride deposition by ALD with reduction pulse

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB8623718D0 (en) * 1986-10-02 1986-11-05 British Aerospace Real time generation of stereo depth maps

Patent Citations (115)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
US4413022A (en) 1979-02-28 1983-11-01 Canon Kabushiki Kaisha Method for performing growth of compound thin films
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4464701A (en) 1983-08-29 1984-08-07 International Business Machines Corporation Process for making high dielectric constant nitride based materials and devices using the same
US4782380A (en) 1987-01-22 1988-11-01 Advanced Micro Devices, Inc. Multilayer interconnection for integrated circuit structure having two or more conductive metal layers
US4886966A (en) 1988-01-07 1989-12-12 Kabushiki Kaisha Toshiba Apparatus for introducing samples into an inductively coupled, plasma source mass spectrometer
EP0328257A2 (en) 1988-02-08 1989-08-16 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
US5399435A (en) 1988-03-03 1995-03-21 Asahi Glass Company Ltd. Amorphous oxide film and article having such film thereon
US5110637A (en) 1988-03-03 1992-05-05 Asahi Glass Company Ltd. Amorphous oxide film and article having such film thereon
US5514485A (en) 1988-03-03 1996-05-07 Asahi Glass Company Ltd. Amorphous oxide film and article having such film thereon
US5196365A (en) 1989-07-05 1993-03-23 Fujitsu Limited Method of making semiconductor memory device having stacked capacitor
US5665210A (en) 1990-07-24 1997-09-09 Semiconductor Energy Laboratory Co., Ltd. Method of forming insulating films, capacitances, and semiconductor devices
US5336638A (en) 1991-03-06 1994-08-09 Hitachi, Ltd. Process for manufacturing semiconductor devices
US5688724A (en) 1992-07-02 1997-11-18 National Semiconductor Corporation Method of providing a dielectric structure for semiconductor devices
US5800857A (en) 1992-09-18 1998-09-01 Pinnacle Research Institute, Inc. Energy storage device and methods of manufacture
US5352623A (en) 1993-02-17 1994-10-04 Nec Corporation Method for manufacturing a semiconductor device
US5696617A (en) 1993-07-14 1997-12-09 Fujitsu Limited Optical reading apparatus having optical scanner for scanning information on objects
US5508221A (en) 1993-12-02 1996-04-16 Nec Corporation Method for forming capacitor element of DRAM
US5677015A (en) 1994-03-17 1997-10-14 Sony Corporation High dielectric constant material containing tantalum, process for forming high dielectric constant film containing tantalum, and semiconductor device using the same
US5622888A (en) 1994-11-09 1997-04-22 Nec Corporation Method of manufacturing a semiconductor device
US5989999A (en) 1994-11-14 1999-11-23 Applied Materials, Inc. Construction of a tantalum nitride film on a semiconductor wafer
US6084302A (en) 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US20010028924A1 (en) 1996-08-16 2001-10-11 Arthur Sherman Sequential chemical vapor deposition
US20020031618A1 (en) 1996-08-16 2002-03-14 Arthur Sherman Sequential chemical vapor deposition
US5923056A (en) 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US5980977A (en) 1996-12-09 1999-11-09 Pinnacle Research Institute, Inc. Method of producing high surface area metal oxynitrides as substrates in electrical energy storage
US5872696A (en) 1997-04-09 1999-02-16 Fujitsu Limited Sputtered and anodized capacitors capable of withstanding exposure to high temperatures
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US6144060A (en) 1997-07-31 2000-11-07 Samsung Electronics Co., Ltd. Integrated circuit devices having buffer layers therein which contain metal oxide stabilized by heat treatment under low temperature
US6284646B1 (en) 1997-08-19 2001-09-04 Samsung Electronics Co., Ltd Methods of forming smooth conductive layers for integrated circuit devices
US5910880A (en) 1997-08-20 1999-06-08 Micron Technology, Inc. Semiconductor circuit components and capacitors
US6197683B1 (en) 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US6087261A (en) 1997-09-30 2000-07-11 Fujitsu Limited Method for production of semiconductor device
US6139700A (en) 1997-10-01 2000-10-31 Samsung Electronics Co., Ltd. Method of and apparatus for forming a metal interconnection in the contact hole of a semiconductor device
US6416577B1 (en) 1997-12-09 2002-07-09 Asm Microchemistry Ltd. Method for coating inner surfaces of equipment
WO1999029924A1 (en) * 1997-12-09 1999-06-17 Asm Microchemistry Ltd. Method for coating inner surfaces of equipment
US6174809B1 (en) 1997-12-31 2001-01-16 Samsung Electronics, Co., Ltd. Method for forming metal layer using atomic layer deposition
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6379748B1 (en) 1998-01-23 2002-04-30 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6162744A (en) 1998-02-28 2000-12-19 Micron Technology, Inc. Method of forming capacitors having high-K oxygen containing capacitor dielectric layers, method of processing high-K oxygen containing dielectric layers, method of forming a DRAM cell having having high-K oxygen containing capacitor dielectric layers
US6165834A (en) 1998-05-07 2000-12-26 Micron Technology, Inc. Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell
WO1999065064A1 (en) 1998-06-05 1999-12-16 A.S.M. International N.V. Method and device for transferring wafers
WO1999064645A1 (en) 1998-06-12 1999-12-16 Applied Materials, Inc. A method and apparatus for the formation of dielectric layers
US6372598B2 (en) 1998-06-16 2002-04-16 Samsung Electronics Co., Ltd. Method of forming selective metal layer and method of forming capacitor and filling contact hole using the same
US6270572B1 (en) 1998-08-07 2001-08-07 Samsung Electronics Co., Ltd. Method for manufacturing thin film using atomic layer deposition
WO2000016377A2 (en) 1998-09-10 2000-03-23 Genitech Co., Ltd. Method for forming a three-component nitride film containing metal and silicon
US6358829B2 (en) 1998-09-17 2002-03-19 Samsung Electronics Company., Ltd. Semiconductor device fabrication method using an interface control layer to improve a metal interconnection layer
US6207487B1 (en) 1998-10-13 2001-03-27 Samsung Electronics Co., Ltd. Method for forming dielectric film of capacitor having different thicknesses partly
US20020048635A1 (en) * 1998-10-16 2002-04-25 Kim Yeong-Kwan Method for manufacturing thin film
US6451119B2 (en) 1999-03-11 2002-09-17 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
WO2000054320A1 (en) 1999-03-11 2000-09-14 Genus, Inc. Radical-assisted sequential cvd
US20010002280A1 (en) 1999-03-11 2001-05-31 Ofer Sneh Radical-assisted sequential CVD
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6475910B1 (en) 1999-03-11 2002-11-05 Genus, Inc. Radical-assisted sequential CVD
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US20010000866A1 (en) 1999-03-11 2001-05-10 Ofer Sneh Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6451695B2 (en) 1999-03-11 2002-09-17 Genus, Inc. Radical-assisted sequential CVD
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
JP2001111000A (en) 1999-08-14 2001-04-20 Samsung Electronics Co Ltd Semiconductor element and manufacturing method thereof
WO2001015220A1 (en) 1999-08-24 2001-03-01 Asm America, Inc. Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US20020155722A1 (en) 1999-08-24 2002-10-24 Alessandra Satta Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
WO2001017692A1 (en) 1999-09-08 2001-03-15 Asm America, Inc. Improved apparatus and method for growth of a thin film
EP1087430A2 (en) 1999-09-24 2001-03-28 Applied Materials, Inc. A method and apparatus for integrating a metal nitride film in a semiconductor device
GB2355727A (en) 1999-10-06 2001-05-02 Samsung Electronics Co Ltd Atomic layer deposition method
JP2001172767A (en) * 1999-10-14 2001-06-26 Asm Microchemistry Oy Method of growing oxide thin film
WO2001027347A1 (en) 1999-10-15 2001-04-19 Asm Microchemistry Oy Method of depositing transition metal nitride thin films
WO2001029893A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
US20030031807A1 (en) 1999-10-15 2003-02-13 Kai-Erik Elers Deposition of transition metal carbides
US20020187256A1 (en) 1999-10-15 2002-12-12 Kai-Erik Elers Method of producing elemental thin films
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
WO2001027346A1 (en) 1999-10-15 2001-04-19 Asm Microchemistry Oy Method of modifying source chemicals in an ald process
WO2001029891A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Conformal lining layers for damascene metallization
WO2001029280A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Deposition of transition metal carbides
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
WO2001036702A1 (en) 1999-11-18 2001-05-25 Genitech Co., Ltd. Method of vaporizing liquid sources and apparatus therefor
US20010024387A1 (en) 1999-12-03 2001-09-27 Ivo Raaijmakers Conformal thin films over textured capacitor electrodes
US20020000598A1 (en) * 1999-12-08 2002-01-03 Sang-Bom Kang Semiconductor devices having metal layers as barrier layers on upper or lower electrodes of capacitors
US20020020869A1 (en) * 1999-12-22 2002-02-21 Ki-Seon Park Semiconductor device incorporated therein high K capacitor dielectric and method for the manufacture thereof
US20010025979A1 (en) 1999-12-22 2001-10-04 Min-Soo Kim Semiconductor device incorporated therein high K capacitor dielectric and method for the manufacture thereof
US20010009695A1 (en) 2000-01-18 2001-07-26 Saanila Ville Antero Process for growing metalloid thin films
EP1126046A2 (en) 2000-02-16 2001-08-22 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
US6319766B1 (en) * 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US20010041250A1 (en) * 2000-03-07 2001-11-15 Werkhoven Christian J. Graded thin films
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
US20010034123A1 (en) 2000-04-20 2001-10-25 In-Sang Jeon Method of manufacturing a barrier metal layer using atomic layer deposition
US6399491B2 (en) 2000-04-20 2002-06-04 Samsung Electronics Co., Ltd. Method of manufacturing a barrier metal layer using atomic layer deposition
JP2002060944A (en) 2000-04-20 2002-02-28 Internatl Business Mach Corp <Ibm> Precursory raw material mixture, film deposition method and formation of structure
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US20010054769A1 (en) 2000-05-15 2001-12-27 Ivo Raaijmakers Protective layers prior to alternating layer deposition
US20010054730A1 (en) * 2000-06-07 2001-12-27 Samsung Electronics Co., Ltd. Metal-insulator-metal capacitor and manufacturing method thereof
US20020094689A1 (en) * 2000-06-24 2002-07-18 Park Young-Hoon Apparatus and method for depositing thin film on wafer using atomic layer deposition
EP1167569A1 (en) 2000-06-24 2002-01-02 IPS Limited Apparatus and method for depositing thin film on wafer using atomic layer deposition
US20020052097A1 (en) * 2000-06-24 2002-05-02 Park Young-Hoon Apparatus and method for depositing thin film on wafer using atomic layer deposition
WO2002008485A2 (en) 2000-07-07 2002-01-31 A.S.M. International N.V. Method for vapour deposition of a film onto a substrate
US20020007790A1 (en) * 2000-07-22 2002-01-24 Park Young-Hoon Atomic layer deposition (ALD) thin film deposition equipment having cleaning apparatus and cleaning method
US20020021544A1 (en) * 2000-08-11 2002-02-21 Hag-Ju Cho Integrated circuit devices having dielectric regions protected with multi-layer insulation structures and methods of fabricating same
US20020098627A1 (en) * 2000-11-24 2002-07-25 Pomarede Christophe F. Surface preparation prior to deposition
WO2002043115A2 (en) 2000-11-24 2002-05-30 Asm America, Inc. Surface preparation prior to deposition
US20020162506A1 (en) 2000-11-29 2002-11-07 Ofer Sneh Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
WO2002045167A2 (en) 2000-11-30 2002-06-06 Asm International N.V. Thin films for magnetic devices
US20020076837A1 (en) * 2000-11-30 2002-06-20 Juha Hujanen Thin films for magnetic device
US6468924B2 (en) 2000-12-06 2002-10-22 Samsung Electronics Co., Ltd. Methods of forming thin films by atomic layer deposition
US20020076507A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US20020106536A1 (en) * 2001-02-02 2002-08-08 Jongho Lee Dielectric layer for semiconductor device and method of manufacturing the same
US20020182320A1 (en) 2001-03-16 2002-12-05 Markku Leskela Method for preparing metal nitride thin films
US20020177282A1 (en) 2001-05-23 2002-11-28 Samsung Electronics Co., Ltd. Method of forming semiconductor device having a GAA type transistor
US20030013320A1 (en) 2001-05-31 2003-01-16 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US20030049942A1 (en) 2001-08-31 2003-03-13 Suvi Haukka Low temperature gate stack
US20030082296A1 (en) 2001-09-14 2003-05-01 Kai Elers Metal nitride deposition by ALD with reduction pulse
US20030072975A1 (en) 2001-10-02 2003-04-17 Shero Eric J. Incorporation of nitrogen into high k dielectric film

Non-Patent Citations (11)

* Cited by examiner, † Cited by third party
Title
Austrian Search Report from Singapore Application No. 200100921-6, Dated Jan. 24, 2002.
Eisenbraum, et al. "Atomic Layer Deposition (ALD) of Tantalum-based materials for zero thickness copper barrier applications," Proceedings of the IEEE 2001 International Interconnect Technology Conference (Cat. No. 01EX461) 2001. *
Kukli, et al. "Atomic Layer Epitaxy Growth of Tantalum Oxide Thin Films from Ta(OC2H5)5 and H2O," Journal of the Electrochemical Society, vol. 142, No. 5, May 1995; pp. 1670-5.
Kukli, et al., "In situ Study of Atomic Layer Epitaxy Growth of Tantalum Oxide Thin Films From Ta(OC2H5)5 and H2O," Applied Surface Science, vol. 112, Mar. 1997, pp. 236-42.
Kukli, et al., "Properties of {Nb1-xTax}2O5 Solid Solutions and {Nb1-xTax}2O5-ZrO2 Nanolaminates Grown by Atomic Layer Epitaxy," 1997; pp. 785-93.
Kukli, et al., "Properties of Ta2O5-Based Dielectric Nanolaminates Deposited by Atomic Layer Epitaxy," Journal of the Electrochemical Society, vol. 144, No. 1, Jan. 1997; pp. 300-6.
Kukli, et al., "Tailoring the Dielectric Properties of HfO2-Ta2-O5 Nanolaminates," Applied Physics Letters, vol. 68, No. 26, Jun. 24, 1996; pp. 3737-9.
M. Derry, et al., "Reactive Ion Bombardment of Tantalum Thin Film Resistors," University of Surrey, Department of Electronic and Electrical Engineering, Guildford, Surrey (Great Britain), Feb. 22, 1973, pp. 59-66.
Niinisto, et al. "Synthesis of Oxide Thin Films and Overlayers by Atomic Layer Epitaxy for Advanced Applications," Materials Science and Engineering B41 (1996) 23-29.* *
Ritala, M., et al., "Chemical Vapor Deposition," Jan. 1999, pp. 6-9.
Rossnagel, et al. "Plasma-enhanced Atomic Layer Deposition of Ta and Ti for Interconnect Diffusion Barriers," J. Vac. Sci. Technol. B., vol. 18, No. 4 (Jul. 2000); pp. 2016-20.

Cited By (318)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7037574B2 (en) 2001-05-23 2006-05-02 Veeco Instruments, Inc. Atomic layer deposition for fabricating thin films
US7670646B2 (en) 2002-05-02 2010-03-02 Micron Technology, Inc. Methods for atomic-layer deposition
US6876078B2 (en) * 2002-06-17 2005-04-05 Samsung Electronics Co., Ltd. Semiconductor interconnection structure with TaN and method of forming the same
US20050136652A1 (en) * 2002-06-17 2005-06-23 Samsung Electronics Co., Ltd. Semiconductor interconnection structure with TaN and method of forming the same
US20030230812A1 (en) * 2002-06-17 2003-12-18 Kim Byung-Hee Semiconductor interconnection structure with TaN and method of forming the same
US8125038B2 (en) 2002-07-30 2012-02-28 Micron Technology, Inc. Nanolaminates of hafnium oxide and zirconium oxide
US8445952B2 (en) 2002-12-04 2013-05-21 Micron Technology, Inc. Zr-Sn-Ti-O films
US20050095443A1 (en) * 2003-10-31 2005-05-05 Hyungjun Kim Plasma enhanced ALD of tantalum nitride and bilayer
US7186446B2 (en) * 2003-10-31 2007-03-06 International Business Machines Corporation Plasma enhanced ALD of tantalum nitride and bilayer
US7071118B2 (en) 2003-11-12 2006-07-04 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
US20050100669A1 (en) * 2003-11-12 2005-05-12 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
US20050166843A1 (en) * 2003-11-12 2005-08-04 Veeco Instruments, Inc. Apparatus for fabricating a conformal thin film on a substrate
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20080268645A1 (en) * 2004-02-26 2008-10-30 Chien-Teh Kao Method for front end of line fabrication
US20080160210A1 (en) * 2004-02-26 2008-07-03 Haichun Yang Passivation layer formation by plasma clean process to reduce native oxide growth
US10593539B2 (en) 2004-02-26 2020-03-17 Applied Materials, Inc. Support assembly
US7767024B2 (en) 2004-02-26 2010-08-03 Appplied Materials, Inc. Method for front end of line fabrication
US8343307B2 (en) 2004-02-26 2013-01-01 Applied Materials, Inc. Showerhead assembly
US20050245008A1 (en) * 2004-04-28 2005-11-03 International Business Machines Corporation Method for forming narrow gate structures on sidewalls of a lithographically defined sacrificial material
US6989323B2 (en) 2004-04-28 2006-01-24 International Business Machines Corporation Method for forming narrow gate structures on sidewalls of a lithographically defined sacrificial material
US20050250320A1 (en) * 2004-05-10 2005-11-10 Taiwan Semiconductor Manufacturing Co. Ltd. Plasma treatment at film layer to reduce sheet resistance and to improve via contact resistance
US20070010080A1 (en) * 2004-05-10 2007-01-11 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma Treatment at Film Layer to Reduce Sheet Resistance and to Improve Via Contact Resistance
US7067409B2 (en) * 2004-05-10 2006-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma treatment at film layer to reduce sheet resistance and to improve via contact resistance
US20060216916A1 (en) * 2004-05-10 2006-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma Treatment at Film Layer to Reduce Sheet Resistance and to Improve Via Contact Resistance
US7378744B2 (en) 2004-05-10 2008-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma treatment at film layer to reduce sheet resistance and to improve via contact resistance
US7358612B2 (en) 2004-05-10 2008-04-15 Taiwan Semiconductor Manufacturing Company Plasma treatment at film layer to reduce sheet resistance and to improve via contact resistance
US7601637B2 (en) * 2004-06-30 2009-10-13 Intel Corporation Atomic layer deposited tantalum containing adhesion layer
US20090155998A1 (en) * 2004-06-30 2009-06-18 Johnston Steven W Atomic layer deposited tantalum containing adhesion layer
US8288809B2 (en) 2004-08-02 2012-10-16 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US7727905B2 (en) * 2004-08-02 2010-06-01 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US8765616B2 (en) 2004-08-02 2014-07-01 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US7776762B2 (en) 2004-08-02 2010-08-17 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US20090047780A1 (en) * 2004-08-04 2009-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming composite barrier layer
US7453149B2 (en) * 2004-08-04 2008-11-18 Taiwan Semiconductor Manufacturing Co., Ltd. Composite barrier layer
US8034709B2 (en) 2004-08-04 2011-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming composite barrier layer
US20060027925A1 (en) * 2004-08-04 2006-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Composite barrier layer
US7719065B2 (en) 2004-08-26 2010-05-18 Micron Technology, Inc. Ruthenium layer for a dielectric layer containing a lanthanide oxide
US8558325B2 (en) 2004-08-26 2013-10-15 Micron Technology, Inc. Ruthenium for a dielectric containing a lanthanide
US8907486B2 (en) 2004-08-26 2014-12-09 Micron Technology, Inc. Ruthenium for a dielectric containing a lanthanide
US7867919B2 (en) 2004-08-31 2011-01-11 Micron Technology, Inc. Method of fabricating an apparatus having a lanthanum-metal oxide dielectric layer
US8237216B2 (en) 2004-08-31 2012-08-07 Micron Technology, Inc. Apparatus having a lanthanum-metal oxide semiconductor device
US8541276B2 (en) 2004-08-31 2013-09-24 Micron Technology, Inc. Methods of forming an insulating metal oxide
US8154066B2 (en) 2004-08-31 2012-04-10 Micron Technology, Inc. Titanium aluminum oxide films
US7399675B2 (en) 2004-10-08 2008-07-15 Freescale Semiconductor, Inc Electronic device including an array and process for forming the same
US7915174B2 (en) 2004-12-13 2011-03-29 Micron Technology, Inc. Dielectric stack containing lanthanum and hafnium
US20060130971A1 (en) * 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
US20060216548A1 (en) * 2005-03-22 2006-09-28 Ming Mao Nanolaminate thin films and method for forming the same using atomic layer deposition
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US8076249B2 (en) 2005-03-29 2011-12-13 Micron Technology, Inc. Structures containing titanium silicon oxide
US8399365B2 (en) 2005-03-29 2013-03-19 Micron Technology, Inc. Methods of forming titanium silicon oxide
US20100297809A1 (en) * 2005-04-25 2010-11-25 Semiconductor Energy Laboratory Co., Ltd. Organic transistor, manufacturing method of semiconductor device and organic transistor
US8785259B2 (en) 2005-04-25 2014-07-22 Semiconductor Energy Laboratory Co., Ltd. Organic transistor, manufacturing method of semiconductor device and organic transistor
US8343816B2 (en) 2005-04-25 2013-01-01 Semiconductor Energy Laboratory Co., Ltd. Organic transistor, manufacturing method of semiconductor device and organic transistor
US20060272577A1 (en) * 2005-06-03 2006-12-07 Ming Mao Method and apparatus for decreasing deposition time of a thin film
US8921914B2 (en) 2005-07-20 2014-12-30 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US8501563B2 (en) 2005-07-20 2013-08-06 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US20070018232A1 (en) * 2005-07-25 2007-01-25 Freescale Semiconductor, Inc. Nonvolatile storage array with continuous control gate employing hot carrier injection programming
US20070018216A1 (en) * 2005-07-25 2007-01-25 Freescale Semiconductor, Inc. Electronic device including discontinuous storage elements
US7582929B2 (en) 2005-07-25 2009-09-01 Freescale Semiconductor, Inc Electronic device including discontinuous storage elements
US20070019472A1 (en) * 2005-07-25 2007-01-25 Freescale Semiconductor, Inc. Electronic device including a memory array and conductive lines
US7459744B2 (en) 2005-07-25 2008-12-02 Freescale Semiconductor, Inc. Hot carrier injection programmable structure including discontinuous storage elements and spacer control gates in a trench and a method of using the same
US20070020856A1 (en) * 2005-07-25 2007-01-25 Freescale Semiconductor, Inc. Process for forming an electronic device including discontinuous storage elements
US7394686B2 (en) 2005-07-25 2008-07-01 Freescale Semiconductor, Inc. Programmable structure including discontinuous storage elements and spacer control gates in a trench
US20080019178A1 (en) * 2005-07-25 2008-01-24 Freescale Semiconductor, Inc. Electronic device including a memory array and conductive lines
US20070020851A1 (en) * 2005-07-25 2007-01-25 Freescale Semiconductor, Inc. Hot carrier injection programmable structure including discontinuous storage elements and spacer control gates in a trench and a method of using the same
US7314798B2 (en) 2005-07-25 2008-01-01 Freescale Semiconductor, Inc. Method of fabricating a nonvolatile storage array with continuous control gate employing hot carrier injection programming
US7285819B2 (en) 2005-07-25 2007-10-23 Freescale Semiconductor, Inc. Nonvolatile storage array with continuous control gate employing hot carrier injection programming
US7619275B2 (en) 2005-07-25 2009-11-17 Freescale Semiconductor, Inc. Process for forming an electronic device including discontinuous storage elements
US7642594B2 (en) 2005-07-25 2010-01-05 Freescale Semiconductor, Inc Electronic device including gate lines, bit lines, or a combination thereof
US7619270B2 (en) 2005-07-25 2009-11-17 Freescale Semiconductor, Inc. Electronic device including discontinuous storage elements
US7262997B2 (en) * 2005-07-25 2007-08-28 Freescale Semiconductor, Inc. Process for operating an electronic device including a memory array and conductive lines
US20070020831A1 (en) * 2005-07-25 2007-01-25 Freescale Semiconductor, Inc. Method of fabricating a nonvolatile storage array with continuous control gate employing hot carrier injection programming
US20070018234A1 (en) * 2005-07-25 2007-01-25 Freescale Semiconductor, Inc. Electronic device including gate lines, bit lines, or a combination thereof
US20070018221A1 (en) * 2005-07-25 2007-01-25 Freescale Semiconductor, Inc. Programmable structure including discontinuous storage elements and spacer control gates in a trench
US20070020845A1 (en) * 2005-07-25 2007-01-25 Freescale Semiconductor, Inc. Method of fabricating programmable structure including discontinuous storage elements and spacer control gates in a trench
US20070020840A1 (en) * 2005-07-25 2007-01-25 Freescale Semiconductor, Inc. Programmable structure including nanocrystal storage elements in a trench
US20070018229A1 (en) * 2005-07-25 2007-01-25 Freescale Semiconductor, Inc. Electronic device including discontinuous storage elements and a process for forming the same
US20070018222A1 (en) * 2005-07-25 2007-01-25 Freescale Semiconductor, Inc. Electronic device including discontinuous storage elements
US7471560B2 (en) 2005-07-25 2008-12-30 Freescale Semiconductor, Inc. Electronic device including a memory array and conductive lines
US20070052096A1 (en) * 2005-08-23 2007-03-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for forming the same
US20100230816A1 (en) * 2005-08-23 2010-09-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for forming the same
US7749896B2 (en) * 2005-08-23 2010-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for forming the same
US9123781B2 (en) 2005-08-23 2015-09-01 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor device and method for forming the same
US9978681B2 (en) 2005-08-23 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor device
US7972974B2 (en) 2006-01-10 2011-07-05 Micron Technology, Inc. Gallium lanthanide oxide films
US9583334B2 (en) 2006-01-10 2017-02-28 Micron Technology, Inc. Gallium lanthanide oxide films
US9129961B2 (en) 2006-01-10 2015-09-08 Micron Technology, Inc. Gallium lathanide oxide films
US20070209930A1 (en) * 2006-03-09 2007-09-13 Applied Materials, Inc. Apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7592224B2 (en) 2006-03-30 2009-09-22 Freescale Semiconductor, Inc Method of fabricating a storage device including decontinuous storage elements within and between trenches
US20080173923A1 (en) * 2007-01-24 2008-07-24 Freescale Semiconductor, Inc. Electronic device including trenches and discontinuous storage elements and processes of forming and using the same
US7572699B2 (en) 2007-01-24 2009-08-11 Freescale Semiconductor, Inc Process of forming an electronic device including fins and discontinuous storage elements
US20080173922A1 (en) * 2007-01-24 2008-07-24 Freescale Semiconductor, Inc. Electronic device including fins and discontinuous storage elements and processes of forming and using the same
US20100096686A1 (en) * 2007-01-24 2010-04-22 Freescale Semiconductor, Inc. Electronic device including trenches and discontinuous storage elements
US20080173921A1 (en) * 2007-01-24 2008-07-24 Freescale Semiconductor, Inc. Electronic device including trenches and discontinuous storage elements and processes of forming and using the same
US7651916B2 (en) 2007-01-24 2010-01-26 Freescale Semiconductor, Inc Electronic device including trenches and discontinuous storage elements and processes of forming and using the same
US7838922B2 (en) 2007-01-24 2010-11-23 Freescale Semiconductor, Inc. Electronic device including trenches and discontinuous storage elements
US8193572B2 (en) 2007-01-24 2012-06-05 Freescale Semiconductor, Inc. Electronic device including trenches and discontinuous storage elements
US9754800B2 (en) 2010-05-27 2017-09-05 Applied Materials, Inc. Selective etch for silicon films
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9236266B2 (en) 2011-08-01 2016-01-12 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US9012302B2 (en) 2011-09-26 2015-04-21 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9418858B2 (en) 2011-10-07 2016-08-16 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8975152B2 (en) 2011-11-08 2015-03-10 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9887096B2 (en) 2012-09-17 2018-02-06 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9437451B2 (en) 2012-09-18 2016-09-06 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US9384997B2 (en) 2012-11-20 2016-07-05 Applied Materials, Inc. Dry-etch selectivity
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9412608B2 (en) 2012-11-30 2016-08-09 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US9355863B2 (en) 2012-12-18 2016-05-31 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9449845B2 (en) 2012-12-21 2016-09-20 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US9093390B2 (en) 2013-03-07 2015-07-28 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9704723B2 (en) 2013-03-15 2017-07-11 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9991134B2 (en) 2013-03-15 2018-06-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9023732B2 (en) 2013-03-15 2015-05-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9153442B2 (en) 2013-03-15 2015-10-06 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9449850B2 (en) 2013-03-15 2016-09-20 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9184055B2 (en) 2013-03-15 2015-11-10 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9093371B2 (en) 2013-03-15 2015-07-28 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9659792B2 (en) 2013-03-15 2017-05-23 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9209012B2 (en) 2013-09-16 2015-12-08 Applied Materials, Inc. Selective etch of silicon nitride
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9711366B2 (en) 2013-11-12 2017-07-18 Applied Materials, Inc. Selective etch for metal-containing materials
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9299582B2 (en) 2013-11-12 2016-03-29 Applied Materials, Inc. Selective etch for metal-containing materials
US9472417B2 (en) 2013-11-12 2016-10-18 Applied Materials, Inc. Plasma-free metal etch
US9472412B2 (en) 2013-12-02 2016-10-18 Applied Materials, Inc. Procedure for etch rate consistency
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9837249B2 (en) 2014-03-20 2017-12-05 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9564296B2 (en) 2014-03-20 2017-02-07 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9773695B2 (en) 2014-07-31 2017-09-26 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9478432B2 (en) 2014-09-25 2016-10-25 Applied Materials, Inc. Silicon oxide selective removal
US9837284B2 (en) 2014-09-25 2017-12-05 Applied Materials, Inc. Oxide etch selectivity enhancement
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10510547B2 (en) 2017-09-13 2019-12-17 Applied Materials, Inc. Metal and metal-derived films
US10998195B2 (en) 2017-09-13 2021-05-04 Applied Materials, Inc. Metal and metal-derived films
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US20220102140A1 (en) * 2018-11-30 2022-03-31 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Also Published As

Publication number Publication date
EP1127956A2 (en) 2001-08-29
US20030008501A1 (en) 2003-01-09
EP1127956A3 (en) 2002-09-25
US6319766B1 (en) 2001-11-20
JP2002009016A (en) 2002-01-11
KR20010083240A (en) 2001-08-31
SG86459A1 (en) 2002-02-19

Similar Documents

Publication Publication Date Title
US6638810B2 (en) Tantalum nitride CVD deposition by tantalum oxide densification
US6475902B1 (en) Chemical vapor deposition of niobium barriers for copper metallization
US7132369B2 (en) Method of forming a low-K dual damascene interconnect structure
US6794311B2 (en) Method and apparatus for treating low k dielectric layers to reduce diffusion
US6491978B1 (en) Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors
US7244672B2 (en) Selective etching of organosilicate films over silicon oxide stop etch layers
US7648899B1 (en) Interfacial layers for electromigration resistance improvement in damascene interconnects
KR100326499B1 (en) Thermal post-deposition treatment of halogen-doped films to improve film stability and reduce halogen migration to interconnect layers
US6218301B1 (en) Deposition of tungsten films from W(CO)6
KR101468241B1 (en) Interconnect structure and method of manufacturing a damascene structure
US20030072884A1 (en) Method of titanium and titanium nitride layer deposition
US20030013297A1 (en) Reliability barrier integration for Cu application
US20020114886A1 (en) Method of tisin deposition using a chemical vapor deposition process
EP1094504A2 (en) PVD-IMP tungsten and tungsten nitride as a liner, barrier, and/or seed layer
US6933021B2 (en) Method of TiSiN deposition using a chemical vapor deposition (CVD) process
KR20010052610A (en) Plasma treatment for ex-situ contact fill
US6753258B1 (en) Integration scheme for dual damascene structure
TWI655735B (en) Methods for forming passivation protection for an interconnection structure
JP3208124B2 (en) Semiconductor device, method of manufacturing semiconductor device, and apparatus for manufacturing semiconductor device
US6211072B1 (en) CVD Tin Barrier process with improved contact resistance
US6632737B1 (en) Method for enhancing the adhesion of a barrier layer to a dielectric
JP2000294517A (en) Manufacture of semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:BAKLI, MOULOUD;GHANAYEM, STEVE G.;TRAN, HUYEN T.;REEL/FRAME:012393/0303;SIGNING DATES FROM 20000516 TO 20000531

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20071028