US6586311B2 - Salicide block for silicon-on-insulator (SOI) applications - Google Patents

Salicide block for silicon-on-insulator (SOI) applications Download PDF

Info

Publication number
US6586311B2
US6586311B2 US09/843,336 US84333601A US6586311B2 US 6586311 B2 US6586311 B2 US 6586311B2 US 84333601 A US84333601 A US 84333601A US 6586311 B2 US6586311 B2 US 6586311B2
Authority
US
United States
Prior art keywords
layer
forming
silicon
doped
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
US09/843,336
Other versions
US20020158291A1 (en
Inventor
David Donggang Wu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Priority to US09/843,336 priority Critical patent/US6586311B2/en
Assigned to ADVANCED MICRO DEVICES, INC. reassignment ADVANCED MICRO DEVICES, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: WU, DAVID DONGGANG
Priority to PCT/US2002/002845 priority patent/WO2002089201A1/en
Publication of US20020158291A1 publication Critical patent/US20020158291A1/en
Application granted granted Critical
Publication of US6586311B2 publication Critical patent/US6586311B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0617Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type
    • H01L27/0629Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type in combination with diodes, or resistors, or capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • H01L29/458Ohmic electrodes on silicon for thin film silicon, e.g. source or drain electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/20Resistors

Definitions

  • This invention relates generally to semiconductor fabrication technology, and, more particularly, to a method for semiconductor fabrication supervision and optimization.
  • reducing the size, or scale, of the components of a typical transistor also increases the density, and number, of the transistors that can be produced on a given amount of wafer real estate, lowering the overall cost per transistor as well as the cost of integrated circuit devices incorporating such transistors.
  • reducing the size, or scale, of the components of a typical transistor also requires being able to form and pattern components such as the gate conductor and gate dielectric on such reduced scales, consistently, robustly and reproducibly, preferably in a self-aligned manner.
  • reducing the channel length of a transistor also requires reducing the size and area of electrical contacts to active areas, such as N + (P + ) source/drain regions and a doped-polycrystalline silicon (doped-polysilicon or doped-poly) gate conductor.
  • active areas such as N + (P + ) source/drain regions and a doped-polycrystalline silicon (doped-polysilicon or doped-poly) gate conductor.
  • active area contact resistance increases.
  • Increased active area contact resistance is undesirable for a number of reasons. For example, increased active area contact resistance may reduce device drive current, and source/drain current through the device, and may also adversely affect the overall speed and operation of the transistor.
  • depositing titanium (Ti) or cobalt (Co) on the active area electrical contacts may decrease active area contact resistance.
  • the cobalt (Co) may then be silicided by annealing with a heat-treatment to form cobalt silicide (CoSi 2 ) at the active area electrical contacts (self-aligned silicidation or salicidation).
  • the salicided CoSi 2 lowers active area contact resistance.
  • a metal oxide semiconductor field effect transistor (MOSFET or MOS transistor) 100 may be formed on a semiconducting substrate 105 , such as doped-silicon.
  • the MOS transistor 100 may have a doped-poly gate 115 formed above a gate dielectric 110 formed above the semiconducting substrate 105 .
  • the doped-poly gate 115 and the gate dielectric 110 may be separated from N + -doped (P + -doped) source/drain regions 120 of the MOS transistor 100 by dielectric spacers 125 .
  • the dielectric spacers 125 may be formed above N ⁇ -doped (P ⁇ -doped) source drain extension (SDE) regions 130 .
  • the N ⁇ -doped (P ⁇ -doped) source drain extension (SDE) regions 130 are typically provided to reduce the magnitude of the maximum channel electric field found close to the N + -doped (P + -doped) source/drain regions 120 of the MOS transistor 100 , and, thereby, to reduce the associated hot-carrier effects.
  • a cobalt (Co) metal layer 235 may be blanket-deposited on the MOS transistor 100 shown in FIG. 1, following a pre-cleaning dip performed to remove residual dielectric material from areas to be salicided.
  • the cobalt (Co) metal layer 235 may then be subjected to an initial rapid thermal anneal (RTA) process performed at a temperature ranging from approximately 450-800° C. for a time ranging from approximately 15-60 seconds.
  • RTA rapid thermal anneal
  • exposed silicon (Si) reacts upon heating with the cobalt (Co) metal layer 235 to form cobalt silicide (CoSi 2 ) at the surfaces 240 of the active areas 245 .
  • the cobalt (Co) metal layer 235 is not believed to react with the dielectric spacers 125 upon heating.
  • a wet chemical strip of the cobalt (Co) metal layer 235 removes excess, unreacted portions (not shown) of the cobalt (Co) metal layer 235 , leaving behind the salicided cobalt silicide (CoSi 2 ) 350 only at and below the surfaces 240 of the active areas 245 .
  • the salicided cobalt silicide (CoSi 2 ) 350 may then be subjected to a final rapid thermal anneal (RTA) process performed at a temperature ranging from approximately 600-1000° C. for a time ranging from approximately 10-60 seconds.
  • RTA rapid thermal anneal
  • CMOS complementary metal oxide silicon
  • a layer of polysilicon disposed in a semiconducting substrate may form a portion of a resistor.
  • the layer of polysilicon disposed in the semiconducting substrate would become silicided during a subsequent salicidation process, as described above.
  • the silicidation of the layer of polysilicon would render the resistor much less resistive. Consequently, a conventional salicide block formed of a single layer of silicon dioxide (SiO 2 ) or tetraortho silicate (TEOS) is typically formed above such a resistor.
  • SiO 2 silicon dioxide
  • TEOS tetraortho silicate
  • bulk devices include a substantially monocrystalline semiconducting bulk substrate in which the active and/or passive circuit elements are disposed.
  • SOI silicon-on-insulator
  • SOI silicon-on-insulator
  • Silicon-on-insulator (SOI) devices may be characterized by having a thin layer of insulating dielectric material (for example, a buried oxide or nitride or other suitable insulating layer) sandwiched between a bulk semiconducting substrate and the circuit elements of the device. Typically, no other layers of material are interposed between the buried dielectric layer and the bulk substrate.
  • the circuit elements establish a circuit component, such as an active transistor or a passive component (e.g., resistor).
  • the circuit elements above the buried dielectric layer are established in regions of a thin film of substantially monocrystalline semiconducting layer, often bonded and/or grown epitaxially, that are separated from each other by insulating dielectric regions (of field oxide, for example).
  • the (epitaxial) semiconducting layer may be n-doped or p-doped as appropriate with N-type or P-type conductivity dopants.
  • the (epitaxial) semiconducting layer may include a body region having a P-type dopant, the body region being disposed between source/drain regions.
  • the source/drain regions may be doped with an N-type dopant.
  • Such a structure may be used to form an N-channel metal oxide silicon field effect transistor (MOSFET or MOS transistor) or a lateral NPN bipolar transistor, for example.
  • MOSFET metal oxide silicon field effect transistor
  • the silicon-on-insulator (SOI) technology offers a number of advantages relative to traditional transistor formation in a bulk silicon wafer.
  • bulk silicon transistors have their active terminals disposed adjacent the bulk silicon wafer.
  • parasitic capacitance is present at the junction between the source/drain regions of an MOS transistor and the well or bulk silicon substrate.
  • Other problems with bulk silicon transistors include the possibility of junction breakdown between the source/drain regions and the wafer, together with the formation of undesired parasitic bipolar transistors giving rise to device latch-up problems.
  • silicon-on-insulator (SOI) transistors have active regions (for example, the source/drain and channel regions of an MOS transistor) formed adjacent an underlying insulating layer.
  • SOI silicon-on-insulator
  • the silicon-on-insulator (SOI) technology also significantly reduces junction capacitance and junction leakage due to the reduced exposed junction area. This reduced parasitic capacitance leads to increased performance and higher density integrated circuits.
  • silicon-on-insulator (SOI) transistors offer inherent radiation hardness, better high temperature performance, higher current driving ability, and lower leakage current.
  • SOI silicon-on-insulator
  • the voltage in the body region of the device tends to vary, or “float.” This is sometimes referred to as a floating body.
  • Consequences of floating body silicon-on-insulator (SOI) devices include output current kinks, anomalous subthreshold currents, transient current overshoot, and early device breakdown.
  • Silicon-on-insulator (SOI) techniques offer, however, additional advantages. In some cases, it uses simpler fabrication sequences and resultant cross-sections compared to circuits fabricated in bulk silicon. Silicon-on-insulator (SOI) techniques also provide reduced capacitive coupling between various circuit elements over the entire integrated circuit (IC) chip, and, in CMOS circuits, latchup is substantially reduced. Silicon-on-insulator (SOI) techniques reduce chip size and/or increase packing density, and minimum device separation is determined only by the limitations of photolithography. Moreover, silicon-on-insulator (SOI) techniques provide increased circuit speed, due in part to reductions in parasitic capacitance and chip size.
  • the present invention is directed to overcoming, or at least reducing the effects of, one or more of the problems set forth above.
  • a method comprising forming a buffer layer above a structure layer, and forming a dielectric layer above the buffer layer.
  • the method also comprises patterning the dielectric layer to form a salicide block above a portion of the structure layer protecting the portion from a subsequent salicidation.
  • a device comprising a buffer layer above a structure layer and a dielectric layer above the buffer layer.
  • the dielectric layer is patterned to form a salicide block above a portion of the structure layer to protect the portion from a subsequent salicidation.
  • FIGS. 1-3 schematically illustrate conventional self-aligned silicidation (salicidation) for a metal oxide semiconductor field effect transistor (MOSFET or MOS transistor); and
  • FIGS. 4-10 schematically illustrate various embodiments of a method for manufacturing according to the present invention.
  • an undoped polysilicon layer 430 may form a portion of a structure layer 420 such as an epitaxial semiconducting layer.
  • the epitaxial semiconducting layer 420 may be formed above a buried dielectric layer 410 .
  • the buried dielectric layer 410 may be formed above a bulk semiconducting substrate 400 .
  • the buried dielectric layer 410 may be formed at a depth d in a range of about 1000 ⁇ -5000 ⁇ below a surface 405 of the structure layer 420 .
  • the undoped polysilicon layer 430 may be formed to have a width w in a range of about 1000 ⁇ -2500 ⁇ .
  • the undoped polysilicon layer 430 may be formed as a portion of a passive resistor, for example.
  • the undoped polysilicon layer 430 may be separated from other semiconductor devices (such as MOS transistor 900 , as shown in FIGS. 8 and 9, described more fully below) by one or more shallow trench isolation (STI) regions 460 .
  • STI shallow trench isolation
  • a buffer layer 440 may be formed above the undoped polysilicon layer 430 and above the surface 405 of the structure layer 420 .
  • the buffer layer 440 may be a nitride etch stop layer.
  • the buffer layer 440 may be formed by a variety of known techniques for forming such layers, e.g., chemical vapor deposition (CVD), low-pressure CVD (LPCVD), plasma-enhanced CVD (PECVD), sputtering, which is also known as physical vapor deposition (PVD), thermal growing, spin-on glass, and the like.
  • the buffer layer 440 may have a thickness t in a range of about 50-200 ⁇ .
  • the buffer layer 440 is comprised of either undoped oxide (UDOX), such as undoped silicon dioxide (SiO 2 ), or tetraethyl orthosilicate (TEOS), having a thickness of approximately 50 ⁇ , formed by being blanket-deposited by either a plasma-enhanced CVD (PECVD) process or a low-pressure CVD (LPCVD) process.
  • UDOX undoped oxide
  • SiO 2 silicon dioxide
  • TEOS tetraethyl orthosilicate
  • a dielectric layer 450 may be formed above the buffer layer 440 .
  • the dielectric layer 450 may be formed by a variety of known techniques for forming such layers, e.g., chemical vapor deposition (CVD), low-pressure CVD (LPCVD), plasma-enhanced CVD (PECVD), sputtering, which is also known as physical vapor deposition (PVD), thermal growing, spin-on glass, and the like.
  • the dielectric layer 450 may have a thickness T in a range of about 100-900 ⁇ .
  • the dielectric layer 450 is comprised of silicon nitride (Si 3 N 4 ), having a thickness of approximately 200 ⁇ , formed by being blanket-deposited by either a plasma-enhanced CVD (PECVD) process or a low-pressure CVD (LPCVD) process.
  • PECVD plasma-enhanced CVD
  • LPCVD low-pressure CVD
  • the dielectric layer 450 may be patterned, using a photoresist mask (not shown), for example, to form an upper portion 550 of a salicide blocking structure, such as salicide blocking structure 600 , as shown in FIG. 6 and as described in more detail below.
  • the upper portion 550 of the salicide blocking structure 600 may have a width W of at least about 1500 ⁇ .
  • the upper portion 550 of the salicide blocking structure 600 may be formed using a variety of known etching techniques, such as an anisotropic etching process.
  • a selective anisotropic etching technique may be used, such as a reactive ion etching (RIE) process. Plasma etching may also be used, in various illustrative embodiments.
  • RIE reactive ion etching
  • a selective isotropic wet etching technique may be used, such as using phosphoric acid (H 3 PO 4 ).
  • H 3 PO 4 hot aqueous phosphoric acid
  • Si 3 N 4 silicon nitride
  • Si 3 N 4 silicon dioxide
  • SiO 2 silicon dioxide
  • the silicon dioxide (SiO 2 ) buffer layer 440 is a nitride etch stop layer and protects underlying silicon (Si) and/or field oxide from removal during an overetch.
  • the overetch may be performed to ensure substantially complete removal of extraneous silicon nitride (Si 3 N 4 ) material from the upper portion 550 of the salicide blocking structure 600 for increased salicidation in other areas of the workpiece.
  • This overetch protection against the silicon (Si) loss and/or the field oxide loss can be very significant, particularly in silicon-on-insulator (SOI) applications.
  • SOI silicon-on-insulator
  • the silicon (Si) film and/or the field oxide thickness are in a range of about 500-2000 ⁇ , much less than in “bulk” applications.
  • a lower portion 640 of the salicide blocking structure 600 may be formed by selectively patterning the buffer layer 440 (FIGS. 4 and 5 ), by an isotropic and/or an anisotropic etching technique, such as a reactive ion etching (RIE) process using trifluoromethane (fluoroform, CHF 3 ) and argon (Ar) the etchant gases, for example.
  • RIE reactive ion etching
  • a wet etching technique may be used, for example.
  • Plasma etching may also be used, in various illustrative embodiments.
  • the respective portions of the buffer layer 440 to be removed to form the lower portion 640 of the salicide blocking structure 600 may be substantially and/or completely removed by a pre-cleaning “dip” performed before the salicidation process begins.
  • a cobalt (Co) metal layer 700 may be blanket-deposited above the surface 405 of the structure layer 420 and above and adjacent the salicide blocking structure 600 , following the pre-cleaning dip performed to remove residual dielectric material from areas to be salicided.
  • the cobalt (Co) metal layer 700 may then be subjected to an initial rapid thermal anneal (RTA) process performed at a temperature ranging from approximately 450-800° C. for a time ranging from approximately 15-60 seconds.
  • RTA rapid thermal anneal
  • exposed silicon (Si) reacts upon heating with the cobalt (Co) metal layer 700 to form cobalt silicide (CoSi 2 ) structures 800 , as shown in FIG. 8 .
  • the cobalt (Co) metal layer 700 is not believed to react with the dielectric salicide blocking structure 600 or the shallow trench isolation (STI) regions 460 upon heating.
  • a wet chemical strip of the cobalt (Co) metal layer 700 removes excess, unreacted portions (not shown) of the cobalt (Co) metal layer 700 , leaving behind the salicided cobalt silicide (CoSi 2 ) structures 800 only at, above and/or below the surfaces 405 of the structure layer 420 adjacent the shallow trench isolation (STI) regions 460 that are not protected by the salicide blocking structure 600 .
  • the salicided cobalt silicide (CoSi 2 ) structures 800 may then be subjected to a final rapid thermal anneal (RTA) process performed at a temperature ranging from approximately 600-1000° C. for a time ranging from approximately 10-60 seconds.
  • RTA rapid thermal anneal
  • a metal oxide semiconductor field effect transistor (MOSFET or MOS transistor) 900 may be formed above structure layer 420 .
  • the MOS transistor 900 may have a doped-poly gate 915 formed above a gate dielectric 910 formed above the structure layer 420 .
  • the doped-poly gate 915 and the gate dielectric 910 may be separated from N + -doped (P + -doped) source/drain regions 920 of the MOS transistor 900 by dielectric spacers 925 .
  • the dielectric spacers 925 may be formed above N ⁇ -doped (P ⁇ -doped) source drain extension (SDE) regions 930 , in various illustrative embodiments, the dielectric spacers 925 and the upper portion 550 of the salicide blocking structure 600 may be formed at substantially the same time.
  • the N ⁇ -doped (P ⁇ -doped) source drain extension (SDE) regions 930 are typically provided to reduce the magnitude of the maximum channel electric field found close to the N + -doped (P + -doped) source/drain regions 920 of the MOS transistor 900 , and, thereby, to reduce the associated hot-carrier effects.
  • the cobalt (Co) metal layer 700 may be blanket-deposited above the surface 405 of the structure layer 420 , above and adjacent the MOS transistor 900 , and above and adjacent the salicide blocking structure 600 , following the pre-cleaning dip performed to remove residual dielectric material from areas to be salicided.
  • the cobalt (Co) metal layer 700 may then be subjected to an initial rapid thermal anneal (RTA) process performed at a temperature ranging from approximately 450-800° C. for a time ranging from approximately 15-60 seconds.
  • RTA rapid thermal anneal
  • exposed silicon (Si) reacts upon heating with the cobalt (Co) metal layer 700 to form cobalt silicide (CoSi 2 ).
  • the cobalt (Co) metal layer 700 is not believed to react with the dielectric spacers 925 , the dielectric salicide blocking structure 600 or the shallow trench isolation (STI) regions 460 upon heating.
  • a wet chemical strip of the cobalt (Co) metal layer 700 removes excess, unreacted portions (not shown) of the cobalt (Co) metal layer 700 , leaving behind the salicided cobalt silicide (CoSi 2 ) structures 800 only at, above and/or below the surfaces 405 of the structure layer 420 adjacent the shallow trench isolation (STI) regions 460 that are not protected by the salicide blocking structure 600 and at active areas, such as the N + -doped (P + -doped) source/drain regions 920 and the doped-poly gate 915 .
  • STI shallow trench isolation
  • the salicided cobalt silicide (CoSi 2 ) structures 800 may then be subjected to a final rapid thermal anneal (RTA) process performed at a temperature ranging from approximately 600-1000° C. for a time ranging from approximately 10-60 seconds.
  • RTA rapid thermal anneal
  • every range of values (of the form, “from about a to about b,” or, equivalently, “from approximately a to b,” or, equivalently, “from approximately a-b”disclosed herein is to be understood as referring to the power set (the set of all subsets) of the respective range of values, in the sense of Georg Cantor. Accordingly, the protection sought herein is as set forth in the claims below.

Abstract

A method is provided, the method comprising forming a buffer layer above a structure layer, and forming a dielectric layer above the buffer layer. The method also comprises patterning the dielectric layer to form a salicide block above a portion of the structure layer protecting the portion from a subsequent salicidation. A device is also provided, the device comprising a buffer layer above a structure layer and a dielectric layer above the buffer layer. The dielectric layer is patterned to form a salicide block above a portion of the structure layer to protect the portion from a subsequent salicidation.

Description

BACKGROUND OF THE INVENTION
1. Field of the Invention
This invention relates generally to semiconductor fabrication technology, and, more particularly, to a method for semiconductor fabrication supervision and optimization.
2. Description of the Related Art
There is a constant drive within the semiconductor industry to increase the operating speed of integrated circuit devices, e.g., microprocessors, memory devices, and the like. This drive is fueled by consumer demands for computers and electronic devices that operate at increasingly greater speeds. This demand for increased speed has resulted in a continual reduction in the size of semiconductor devices, e.g., transistors. That is, many components of a typical field effect transistor (FET), e.g., channel length, junction depths, gate dielectric thickness, and the like, are reduced. For example, all other things being equal, the smaller the channel length of the FET, the faster the transistor will operate. Thus, there is a constant drive to reduce the size, or scale, of the components of a typical transistor to increase the overall speed of the transistor, as well as integrated circuit devices incorporating such transistors.
Additionally, reducing the size, or scale, of the components of a typical transistor also increases the density, and number, of the transistors that can be produced on a given amount of wafer real estate, lowering the overall cost per transistor as well as the cost of integrated circuit devices incorporating such transistors.
However, reducing the size, or scale, of the components of a typical transistor also requires being able to form and pattern components such as the gate conductor and gate dielectric on such reduced scales, consistently, robustly and reproducibly, preferably in a self-aligned manner. Moreover, reducing the channel length of a transistor also requires reducing the size and area of electrical contacts to active areas, such as N+ (P+) source/drain regions and a doped-polycrystalline silicon (doped-polysilicon or doped-poly) gate conductor. As the size and area of the electrical contacts to the active areas get smaller, the active area contact resistance increases. Increased active area contact resistance is undesirable for a number of reasons. For example, increased active area contact resistance may reduce device drive current, and source/drain current through the device, and may also adversely affect the overall speed and operation of the transistor.
Typically, depositing titanium (Ti) or cobalt (Co) on the active area electrical contacts may decrease active area contact resistance. The cobalt (Co) may then be silicided by annealing with a heat-treatment to form cobalt silicide (CoSi2) at the active area electrical contacts (self-aligned silicidation or salicidation). The salicided CoSi2 lowers active area contact resistance.
As shown in FIG. 1, a metal oxide semiconductor field effect transistor (MOSFET or MOS transistor) 100 may be formed on a semiconducting substrate 105, such as doped-silicon. The MOS transistor 100 may have a doped-poly gate 115 formed above a gate dielectric 110 formed above the semiconducting substrate 105. The doped-poly gate 115 and the gate dielectric 110 may be separated from N+-doped (P+-doped) source/drain regions 120 of the MOS transistor 100 by dielectric spacers 125. The dielectric spacers 125 may be formed above N-doped (P-doped) source drain extension (SDE) regions 130.
The N-doped (P-doped) source drain extension (SDE) regions 130 are typically provided to reduce the magnitude of the maximum channel electric field found close to the N+-doped (P+-doped) source/drain regions 120 of the MOS transistor 100, and, thereby, to reduce the associated hot-carrier effects. The lower (or lighter) doping of the N-doped (P-doped) source drain extension (SDE) regions 130, relative to the N+-doped (P+-doped) source/drain regions 120 of the MOS transistor 100, reduces the magnitude of the maximum channel electric field found close to the N+-doped (P+-doped) source/drain regions 120 of the MOS transistor 100, but increases the source-to-drain resistances of the N-doped (P-doped) source drain extension (SDE) regions 130.
As shown in FIG. 2, a cobalt (Co) metal layer 235 may be blanket-deposited on the MOS transistor 100 shown in FIG. 1, following a pre-cleaning dip performed to remove residual dielectric material from areas to be salicided. The cobalt (Co) metal layer 235 may then be subjected to an initial rapid thermal anneal (RTA) process performed at a temperature ranging from approximately 450-800° C. for a time ranging from approximately 15-60 seconds. At surfaces 240 of active areas 245, such as the N+-doped (P+-doped) source/drain regions 120 and the doped-poly gate 115, exposed silicon (Si) reacts upon heating with the cobalt (Co) metal layer 235 to form cobalt silicide (CoSi2) at the surfaces 240 of the active areas 245. The cobalt (Co) metal layer 235 is not believed to react with the dielectric spacers 125 upon heating.
As shown in FIG. 3, a wet chemical strip of the cobalt (Co) metal layer 235 removes excess, unreacted portions (not shown) of the cobalt (Co) metal layer 235, leaving behind the salicided cobalt silicide (CoSi2) 350 only at and below the surfaces 240 of the active areas 245. The salicided cobalt silicide (CoSi2) 350 may then be subjected to a final rapid thermal anneal (RTA) process performed at a temperature ranging from approximately 600-1000° C. for a time ranging from approximately 10-60 seconds.
Unsalicided resistors have found many applications in complementary metal oxide silicon (CMOS) semiconductor technology. For example, a layer of polysilicon disposed in a semiconducting substrate may form a portion of a resistor. However, unless protected by an overlying salicide block, the layer of polysilicon disposed in the semiconducting substrate would become silicided during a subsequent salicidation process, as described above. The silicidation of the layer of polysilicon would render the resistor much less resistive. Consequently, a conventional salicide block formed of a single layer of silicon dioxide (SiO2) or tetraortho silicate (TEOS) is typically formed above such a resistor. However, in the formation of conventional salicide blocks, there is little selectivity to field oxide and/or silicon (Si) during the salicide block etch, performed to form the salicide block. Since an overetch is typically performed (to ensure substantially complete removal of extraneous salicide block material for increased salicidation in other areas of the workpiece), the silicon (Si) loss and/or the field oxide loss can be very significant, particularly in silicon-on-insulator (SOI) applications. In silicon-on-insulator (SOI) applications, the silicon (Si) film and/or the field oxide thickness are much less than in “bulk” applications.
Conventional semiconductor devices may be referred to as “bulk” devices, because bulk devices include a substantially monocrystalline semiconducting bulk substrate in which the active and/or passive circuit elements are disposed. More recently, silicon-on-insulator (SOI) devices have been introduced that consume less power than do bulk devices, an important advantage in many applications such as battery-powered mobile telephones and battery-powered laptop computers. Also, silicon-on-insulator (SOI) devices advantageously operate at higher speeds than do bulk devices.
Silicon-on-insulator (SOI) devices may be characterized by having a thin layer of insulating dielectric material (for example, a buried oxide or nitride or other suitable insulating layer) sandwiched between a bulk semiconducting substrate and the circuit elements of the device. Typically, no other layers of material are interposed between the buried dielectric layer and the bulk substrate. As used herein, the circuit elements establish a circuit component, such as an active transistor or a passive component (e.g., resistor).
In a silicon-on-insulator (SOI) device, the circuit elements above the buried dielectric layer are established in regions of a thin film of substantially monocrystalline semiconducting layer, often bonded and/or grown epitaxially, that are separated from each other by insulating dielectric regions (of field oxide, for example). The (epitaxial) semiconducting layer may be n-doped or p-doped as appropriate with N-type or P-type conductivity dopants. For example, the (epitaxial) semiconducting layer may include a body region having a P-type dopant, the body region being disposed between source/drain regions. The source/drain regions may be doped with an N-type dopant. Such a structure may be used to form an N-channel metal oxide silicon field effect transistor (MOSFET or MOS transistor) or a lateral NPN bipolar transistor, for example.
The silicon-on-insulator (SOI) technology offers a number of advantages relative to traditional transistor formation in a bulk silicon wafer. For example, bulk silicon transistors have their active terminals disposed adjacent the bulk silicon wafer. As a result, parasitic capacitance is present at the junction between the source/drain regions of an MOS transistor and the well or bulk silicon substrate. Other problems with bulk silicon transistors include the possibility of junction breakdown between the source/drain regions and the wafer, together with the formation of undesired parasitic bipolar transistors giving rise to device latch-up problems.
In contrast, silicon-on-insulator (SOI) transistors have active regions (for example, the source/drain and channel regions of an MOS transistor) formed adjacent an underlying insulating layer. As such, these silicon-on-insulator (SOI) transistors protect against and/or significantly reduce the formation of undesired parasitic elements. The silicon-on-insulator (SOI) technology also significantly reduces junction capacitance and junction leakage due to the reduced exposed junction area. This reduced parasitic capacitance leads to increased performance and higher density integrated circuits. Also, silicon-on-insulator (SOI) transistors offer inherent radiation hardness, better high temperature performance, higher current driving ability, and lower leakage current.
One disadvantage with silicon-on-insulator (SOI) devices is that the voltage in the body region of the device tends to vary, or “float.” This is sometimes referred to as a floating body. Consequences of floating body silicon-on-insulator (SOI) devices include output current kinks, anomalous subthreshold currents, transient current overshoot, and early device breakdown.
Silicon-on-insulator (SOI) techniques offer, however, additional advantages. In some cases, it uses simpler fabrication sequences and resultant cross-sections compared to circuits fabricated in bulk silicon. Silicon-on-insulator (SOI) techniques also provide reduced capacitive coupling between various circuit elements over the entire integrated circuit (IC) chip, and, in CMOS circuits, latchup is substantially reduced. Silicon-on-insulator (SOI) techniques reduce chip size and/or increase packing density, and minimum device separation is determined only by the limitations of photolithography. Moreover, silicon-on-insulator (SOI) techniques provide increased circuit speed, due in part to reductions in parasitic capacitance and chip size.
The present invention is directed to overcoming, or at least reducing the effects of, one or more of the problems set forth above.
SUMMARY OF THE INVENTION
In one aspect of the present invention, a method is provided, the method comprising forming a buffer layer above a structure layer, and forming a dielectric layer above the buffer layer. The method also comprises patterning the dielectric layer to form a salicide block above a portion of the structure layer protecting the portion from a subsequent salicidation.
In another aspect of the present invention, a device is provided, the device comprising a buffer layer above a structure layer and a dielectric layer above the buffer layer. The dielectric layer is patterned to form a salicide block above a portion of the structure layer to protect the portion from a subsequent salicidation.
BRIEF DESCRIPTION OF THE DRAWINGS
The invention may be understood by reference to the following description taken in conjunction with the accompanying drawings, in which the leftmost significant digit(s) in the reference numerals denote(s) the first figure in which the respective reference numerals appear, and in which:
FIGS. 1-3 schematically illustrate conventional self-aligned silicidation (salicidation) for a metal oxide semiconductor field effect transistor (MOSFET or MOS transistor); and
FIGS. 4-10 schematically illustrate various embodiments of a method for manufacturing according to the present invention.
While the invention is susceptible to various modifications and alternative forms, specific embodiments thereof have been shown by way of example in the drawings and are herein described in detail. It should be understood, however, that the description herein of specific embodiments is not intended to limit the invention to the particular forms disclosed, but on the contrary, the intention is to cover all modifications, equivalents, and alternatives falling within the spirit and scope of the invention as defined by the appended claims.
DETAILED DESCRIPTION OF SPECIFIC EMBODIMENTS
Illustrative embodiments of the invention are described below. In the interest of clarity, not all features of an actual implementation are described in this specification. It will of course be appreciated that in the development of any such actual embodiment, numerous implementation-specific decisions must be made to achieve the developers' specific goals, such as compliance with system-related and business-related constraints, which will vary from one implementation to another. Moreover, it will be appreciated that such a development effort might be complex and time-consuming, but would nevertheless be a routine undertaking for those of ordinary skill in the art having the benefit of this disclosure.
Illustrative embodiments of a method according to the present invention are shown in FIGS. 4-10. As shown in FIG. 4, an undoped polysilicon layer 430 may form a portion of a structure layer 420 such as an epitaxial semiconducting layer. The epitaxial semiconducting layer 420 may be formed above a buried dielectric layer 410. The buried dielectric layer 410 may be formed above a bulk semiconducting substrate 400. The buried dielectric layer 410 may be formed at a depth d in a range of about 1000 Å-5000 Å below a surface 405 of the structure layer 420. The undoped polysilicon layer 430 may be formed to have a width w in a range of about 1000 Å-2500 Å. The undoped polysilicon layer 430 may be formed as a portion of a passive resistor, for example. The undoped polysilicon layer 430 may be separated from other semiconductor devices (such as MOS transistor 900, as shown in FIGS. 8 and 9, described more fully below) by one or more shallow trench isolation (STI) regions 460.
As shown in FIG. 4, a buffer layer 440 may be formed above the undoped polysilicon layer 430 and above the surface 405 of the structure layer 420. The buffer layer 440 may be a nitride etch stop layer. The buffer layer 440 may be formed by a variety of known techniques for forming such layers, e.g., chemical vapor deposition (CVD), low-pressure CVD (LPCVD), plasma-enhanced CVD (PECVD), sputtering, which is also known as physical vapor deposition (PVD), thermal growing, spin-on glass, and the like. The buffer layer 440 may have a thickness t in a range of about 50-200 Å. In one illustrative embodiment, the buffer layer 440 is comprised of either undoped oxide (UDOX), such as undoped silicon dioxide (SiO2), or tetraethyl orthosilicate (TEOS), having a thickness of approximately 50 Å, formed by being blanket-deposited by either a plasma-enhanced CVD (PECVD) process or a low-pressure CVD (LPCVD) process.
As shown in FIG. 4, a dielectric layer 450 may be formed above the buffer layer 440. The dielectric layer 450 may be formed by a variety of known techniques for forming such layers, e.g., chemical vapor deposition (CVD), low-pressure CVD (LPCVD), plasma-enhanced CVD (PECVD), sputtering, which is also known as physical vapor deposition (PVD), thermal growing, spin-on glass, and the like. The dielectric layer 450 may have a thickness T in a range of about 100-900 Å. In one illustrative embodiment, the dielectric layer 450 is comprised of silicon nitride (Si3N4), having a thickness of approximately 200 Å, formed by being blanket-deposited by either a plasma-enhanced CVD (PECVD) process or a low-pressure CVD (LPCVD) process.
As shown in FIG. 5, the dielectric layer 450 may be patterned, using a photoresist mask (not shown), for example, to form an upper portion 550 of a salicide blocking structure, such as salicide blocking structure 600, as shown in FIG. 6 and as described in more detail below. The upper portion 550 of the salicide blocking structure 600 may have a width W of at least about 1500 Å. The upper portion 550 of the salicide blocking structure 600 may be formed using a variety of known etching techniques, such as an anisotropic etching process.
A selective anisotropic etching technique may be used, such as a reactive ion etching (RIE) process. Plasma etching may also be used, in various illustrative embodiments. Alternatively, a selective isotropic wet etching technique may be used, such as using phosphoric acid (H3PO4). For example, when hot aqueous phosphoric acid (H3PO4) is used to selectively etch a silicon nitride (Si3N4) upper portion 550 of the salicide blocking structure 600, the Si3N4 etches away fairly steadily, at least at roughly 5-10 times the etch rate of a silicon dioxide (SiO2) buffer layer 440.
The silicon dioxide (SiO2) buffer layer 440 is a nitride etch stop layer and protects underlying silicon (Si) and/or field oxide from removal during an overetch. The overetch may be performed to ensure substantially complete removal of extraneous silicon nitride (Si3N4) material from the upper portion 550 of the salicide blocking structure 600 for increased salicidation in other areas of the workpiece. This overetch protection against the silicon (Si) loss and/or the field oxide loss can be very significant, particularly in silicon-on-insulator (SOI) applications. As described above, in silicon-on-insulator (SOI) applications, the silicon (Si) film and/or the field oxide thickness are in a range of about 500-2000 Å, much less than in “bulk” applications.
As shown in FIG. 6, a lower portion 640 of the salicide blocking structure 600 may be formed by selectively patterning the buffer layer 440 (FIGS. 4 and 5), by an isotropic and/or an anisotropic etching technique, such as a reactive ion etching (RIE) process using trifluoromethane (fluoroform, CHF3) and argon (Ar) the etchant gases, for example. Alternatively, a wet etching technique may be used, for example. Plasma etching may also be used, in various illustrative embodiments. The respective portions of the buffer layer 440 to be removed to form the lower portion 640 of the salicide blocking structure 600 may be substantially and/or completely removed by a pre-cleaning “dip” performed before the salicidation process begins.
As shown in FIG. 7, a cobalt (Co) metal layer 700 may be blanket-deposited above the surface 405 of the structure layer 420 and above and adjacent the salicide blocking structure 600, following the pre-cleaning dip performed to remove residual dielectric material from areas to be salicided. The cobalt (Co) metal layer 700 may then be subjected to an initial rapid thermal anneal (RTA) process performed at a temperature ranging from approximately 450-800° C. for a time ranging from approximately 15-60 seconds. At surfaces 405 of the structure layer 420 adjacent the shallow trench isolation (STI) regions 460 that are not protected by the salicide blocking structure 600, exposed silicon (Si) reacts upon heating with the cobalt (Co) metal layer 700 to form cobalt silicide (CoSi2) structures 800, as shown in FIG. 8. The cobalt (Co) metal layer 700 is not believed to react with the dielectric salicide blocking structure 600 or the shallow trench isolation (STI) regions 460 upon heating.
As shown in FIG. 8, a wet chemical strip of the cobalt (Co) metal layer 700 removes excess, unreacted portions (not shown) of the cobalt (Co) metal layer 700, leaving behind the salicided cobalt silicide (CoSi2) structures 800 only at, above and/or below the surfaces 405 of the structure layer 420 adjacent the shallow trench isolation (STI) regions 460 that are not protected by the salicide blocking structure 600. The salicided cobalt silicide (CoSi2) structures 800 may then be subjected to a final rapid thermal anneal (RTA) process performed at a temperature ranging from approximately 600-1000° C. for a time ranging from approximately 10-60 seconds.
As shown in FIG. 9, in various illustrative embodiments, a metal oxide semiconductor field effect transistor (MOSFET or MOS transistor) 900 may be formed above structure layer 420. The MOS transistor 900 may have a doped-poly gate 915 formed above a gate dielectric 910 formed above the structure layer 420. The doped-poly gate 915 and the gate dielectric 910 may be separated from N+-doped (P+-doped) source/drain regions 920 of the MOS transistor 900 by dielectric spacers 925. The dielectric spacers 925 may be formed above N-doped (P-doped) source drain extension (SDE) regions 930, in various illustrative embodiments, the dielectric spacers 925 and the upper portion 550 of the salicide blocking structure 600 may be formed at substantially the same time.
The N-doped (P-doped) source drain extension (SDE) regions 930 are typically provided to reduce the magnitude of the maximum channel electric field found close to the N+-doped (P+-doped) source/drain regions 920 of the MOS transistor 900, and, thereby, to reduce the associated hot-carrier effects. The lower (or lighter) doping of the N-doped (P-doped) source drain extension (SDE) regions 930, relative to the N+-doped (P+-doped) source/drain regions 120 of the MOS transistor 900, reduces the magnitude of the maximum channel electric field found close to the N+-doped (P+-doped) source/drain regions 920 of the MOS transistor 900, but increases the source-to-drain resistances of the N-doped (P-doped) source drain extension (SDE) regions 930.
As shown in FIG. 9, the cobalt (Co) metal layer 700 may be blanket-deposited above the surface 405 of the structure layer 420, above and adjacent the MOS transistor 900, and above and adjacent the salicide blocking structure 600, following the pre-cleaning dip performed to remove residual dielectric material from areas to be salicided. The cobalt (Co) metal layer 700 may then be subjected to an initial rapid thermal anneal (RTA) process performed at a temperature ranging from approximately 450-800° C. for a time ranging from approximately 15-60 seconds. At surfaces 405 of the structure layer 420 adjacent the shallow trench isolation (STI) regions 460 that are not protected by the salicide blocking structure 600 and at active areas, such as the N+-doped (P+-doped) source/drain regions 920 and the doped-poly gate 915, exposed silicon (Si) reacts upon heating with the cobalt (Co) metal layer 700 to form cobalt silicide (CoSi2). The cobalt (Co) metal layer 700 is not believed to react with the dielectric spacers 925, the dielectric salicide blocking structure 600 or the shallow trench isolation (STI) regions 460 upon heating.
As shown in FIG. 10, a wet chemical strip of the cobalt (Co) metal layer 700 removes excess, unreacted portions (not shown) of the cobalt (Co) metal layer 700, leaving behind the salicided cobalt silicide (CoSi2) structures 800 only at, above and/or below the surfaces 405 of the structure layer 420 adjacent the shallow trench isolation (STI) regions 460 that are not protected by the salicide blocking structure 600 and at active areas, such as the N+-doped (P+-doped) source/drain regions 920 and the doped-poly gate 915. The salicided cobalt silicide (CoSi2) structures 800 may then be subjected to a final rapid thermal anneal (RTA) process performed at a temperature ranging from approximately 600-1000° C. for a time ranging from approximately 10-60 seconds.
The particular embodiments disclosed above are illustrative only, as the invention may be modified and practiced in different but equivalent manners apparent to those skilled in the art having the benefit of the teachings herein. Furthermore, no limitations are intended to the details of construction or design herein shown, other than as described in the claims below. It is therefore evident that the particular embodiments disclosed above may be altered or modified and all such variations are considered within the scope and spirit of the invention. In particular, every range of values (of the form, “from about a to about b,” or, equivalently, “from approximately a to b,” or, equivalently, “from approximately a-b”disclosed herein is to be understood as referring to the power set (the set of all subsets) of the respective range of values, in the sense of Georg Cantor. Accordingly, the protection sought herein is as set forth in the claims below.

Claims (9)

What is claimed:
1. A method, comprising:
providing a silicon-on-insulator structure comprising:
a bulk substrate;
a buried insulation layer; and
an active layer;
forming a polysilicon layer in the active layer of the silicon-on-insulator structure layer;
forming a buffer layer above the polysilicon layer and at least a portion of the active layer;
forming a dielectric layer above the buffer layer; and
patterning the dielectric layer and the buffer layer to form a salicide block above the polysilicon layer and the at least a portion of the active layer said salicide block protecting the polysilicon layer and the at least a portion of the active layer from a subsequent salicidation.
2. The method of claim 1, wherein forming the active layer comprises forming an epitaxial semiconductor layer.
3. The method of claim 1, wherein forming the active layer comprises forming the active layer having a thickness of about 1000-5000 Å.
4. The method of claim 1, wherein forming the polysilicon layer comprises forming an undoped polysilicon layer having a width of about 1000-2500 Å.
5. The method of claim 1, wherein forming the polysilicon layer comprises forming a passive resistor.
6. The method of claim 1, wherein forming the buffer layer comprises forming the buffer layer having a thickness of about 50-200 Å.
7. The method of claim 1, wherein forming the dielectric layer comprises forming the dielectric layer having a thickness of about 100-900 Å.
8. The method of claim 1, wherein forming the dielectric layer comprises forming the dielectric layer of silicon nitride (Si3N4).
9. The method of claim 1, further comprising forming at least one shallow trench isolation in the active layer.
US09/843,336 2001-04-25 2001-04-25 Salicide block for silicon-on-insulator (SOI) applications Expired - Fee Related US6586311B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US09/843,336 US6586311B2 (en) 2001-04-25 2001-04-25 Salicide block for silicon-on-insulator (SOI) applications
PCT/US2002/002845 WO2002089201A1 (en) 2001-04-25 2002-02-01 Improved salicide block for silicon-on-insulator (soi) applications

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/843,336 US6586311B2 (en) 2001-04-25 2001-04-25 Salicide block for silicon-on-insulator (SOI) applications

Publications (2)

Publication Number Publication Date
US20020158291A1 US20020158291A1 (en) 2002-10-31
US6586311B2 true US6586311B2 (en) 2003-07-01

Family

ID=25289682

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/843,336 Expired - Fee Related US6586311B2 (en) 2001-04-25 2001-04-25 Salicide block for silicon-on-insulator (SOI) applications

Country Status (2)

Country Link
US (1) US6586311B2 (en)
WO (1) WO2002089201A1 (en)

Cited By (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050012087A1 (en) * 2003-07-15 2005-01-20 Yi-Ming Sheu Self-aligned MOSFET having an oxide region below the channel
US20050026408A1 (en) * 2003-07-29 2005-02-03 Barns Chris E. Preventing silicide formation at the gate electrode in a replacement metal gate technology
US20050035410A1 (en) * 2003-08-15 2005-02-17 Yee-Chia Yeo Semiconductor diode with reduced leakage
US20050035409A1 (en) * 2003-08-15 2005-02-17 Chih-Hsin Ko Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
US20050035369A1 (en) * 2003-08-15 2005-02-17 Chun-Chieh Lin Structure and method of forming integrated circuits utilizing strained channel transistors
US20050040493A1 (en) * 2003-08-18 2005-02-24 Yee-Chia Yeo Resistor with reduced leakage
US20050156274A1 (en) * 2003-04-25 2005-07-21 Yee-Chia Yeo Strained channel transistor and methods of manufacture
US20050208717A1 (en) * 2003-07-25 2005-09-22 Yee-Chia Yeo Capacitor with enhanced performance and method of manufacture
US20050233552A1 (en) * 2003-04-03 2005-10-20 Chung-Hu Ke Strained channel on insulator device
US20050248906A1 (en) * 2003-07-25 2005-11-10 Yee-Chia Yeo Capacitor that includes high permittivity capacitor dielectric
US20060027878A1 (en) * 2004-08-06 2006-02-09 International Business Machines Corporation FEOL/MEOL metal resistor for high end CMOS
US20060189056A1 (en) * 2003-08-12 2006-08-24 Chih-Hsin Ko Strained channel complementary field-effect transistors and methods of manufacture
US20070173029A1 (en) * 2006-01-26 2007-07-26 International Business Machines Corporation Method for fabricating high performance metal-insulator-metal capacitor (MIMCAP)
US7268024B2 (en) 2003-04-30 2007-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
US20070221992A1 (en) * 2003-09-15 2007-09-27 Seliskar John J Castellated gate MOSFET device capable of fully-depleted operation
US20080299767A1 (en) * 2005-11-21 2008-12-04 Freecale Semiconductor, Inc Method for Forming a Semiconductor Device Having a Salicide Layer
US20090230439A1 (en) * 2008-03-13 2009-09-17 Yen-Sen Wang Strain Bars in Stressed Layers of MOS Devices
US7808051B2 (en) 2008-09-29 2010-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cell without OD space effect in Y-direction
US7867860B2 (en) 2003-07-25 2011-01-11 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel transistor formation
US7888201B2 (en) 2003-11-04 2011-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator SRAM configured using partially-depleted and fully-depleted transistors
US8558278B2 (en) 2007-01-16 2013-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Strained transistor with optimized drive current and method of forming
US20130307074A1 (en) * 2012-05-16 2013-11-21 International Business Machines Corporation Epitaxial Semiconductor Resistor With Semiconductor Structures On Same Substrate
US8648438B2 (en) 2011-10-03 2014-02-11 International Business Machines Corporation Structure and method to form passive devices in ETSOI process flow
US8853790B2 (en) 2011-04-05 2014-10-07 International Business Machines Corporation Semiconductor nanowire structure reusing suspension pads
US9514998B1 (en) 2015-05-11 2016-12-06 International Business Machines Corporation Polysilicon resistor formation in silicon-on-insulator replacement metal gate finFET processes

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7087506B2 (en) * 2003-06-26 2006-08-08 International Business Machines Corporation Method of forming freestanding semiconductor layer
US20100151677A1 (en) * 2007-04-12 2010-06-17 Freescale Semiconductor, Inc. Etch method in the manufacture of a semiconductor device
US20100308405A1 (en) * 2009-06-08 2010-12-09 International Business Machines Corporation Mosfet on silicon-on-insulator with internal body contact
CN103346080A (en) * 2013-07-09 2013-10-09 上海华力微电子有限公司 Method for reducing defects of metal silicide masking layer

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0145926A2 (en) 1983-11-15 1985-06-26 International Business Machines Corporation Polysilicon resistors compensated with double ion-implantation
US5352923A (en) 1993-03-25 1994-10-04 Northern Telecom Limited Trench resistors for integrated circuits
JPH07321327A (en) 1994-05-25 1995-12-08 Nippondenso Co Ltd Semiconductor device and its manufacturing method
US5656524A (en) 1994-05-06 1997-08-12 Texas Instruments Incorporated Method of forming a polysilicon resistor using an oxide, nitride stack
US5930638A (en) * 1993-07-12 1999-07-27 Peregrine Semiconductor Corp. Method of making a low parasitic resistor on ultrathin silicon on insulator
WO2001006554A1 (en) 1999-07-01 2001-01-25 Infineon Technologies Ag Method for producing siliconized polysilicon contacts in integrated semiconductor structures
JP2001250869A (en) 2000-03-03 2001-09-14 Hitachi Ltd Method of manufacturing semiconductor integrated circuit device
US6350652B1 (en) * 1998-10-23 2002-02-26 Stmicroelectronics S.R.L. Process for manufacturing nonvolatile memory cells with dimensional control of the floating gate regions
US6365481B1 (en) * 2000-09-13 2002-04-02 Advanced Micro Devices, Inc. Isotropic resistor protect etch to aid in residue removal

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0145926A2 (en) 1983-11-15 1985-06-26 International Business Machines Corporation Polysilicon resistors compensated with double ion-implantation
US5352923A (en) 1993-03-25 1994-10-04 Northern Telecom Limited Trench resistors for integrated circuits
US5930638A (en) * 1993-07-12 1999-07-27 Peregrine Semiconductor Corp. Method of making a low parasitic resistor on ultrathin silicon on insulator
US5656524A (en) 1994-05-06 1997-08-12 Texas Instruments Incorporated Method of forming a polysilicon resistor using an oxide, nitride stack
JPH07321327A (en) 1994-05-25 1995-12-08 Nippondenso Co Ltd Semiconductor device and its manufacturing method
US6350652B1 (en) * 1998-10-23 2002-02-26 Stmicroelectronics S.R.L. Process for manufacturing nonvolatile memory cells with dimensional control of the floating gate regions
WO2001006554A1 (en) 1999-07-01 2001-01-25 Infineon Technologies Ag Method for producing siliconized polysilicon contacts in integrated semiconductor structures
JP2001250869A (en) 2000-03-03 2001-09-14 Hitachi Ltd Method of manufacturing semiconductor integrated circuit device
US6365481B1 (en) * 2000-09-13 2002-04-02 Advanced Micro Devices, Inc. Isotropic resistor protect etch to aid in residue removal

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
Hong Liao, Soh Yun Siah and David Vigar; The Importance of Oxide Capping on the Suppression of Dopant Outdiffusion for Salicide Block Process, 2000, 118-123.
International Search Report dated Aug. 16, 2002 for International application No. PCT/US02/02845 Filed Feb. 1, 2002.

Cited By (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7029994B2 (en) 2003-04-03 2006-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel on insulator device
US20050233552A1 (en) * 2003-04-03 2005-10-20 Chung-Hu Ke Strained channel on insulator device
US20050156274A1 (en) * 2003-04-25 2005-07-21 Yee-Chia Yeo Strained channel transistor and methods of manufacture
US7052964B2 (en) 2003-04-25 2006-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel transistor and methods of manufacture
US7268024B2 (en) 2003-04-30 2007-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
US20050012087A1 (en) * 2003-07-15 2005-01-20 Yi-Ming Sheu Self-aligned MOSFET having an oxide region below the channel
US20060124965A1 (en) * 2003-07-25 2006-06-15 Yee-Chia Yeo Capacitor that includes high permittivity capacitor dielectric
US7867860B2 (en) 2003-07-25 2011-01-11 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel transistor formation
US7354843B2 (en) 2003-07-25 2008-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a capacitor that includes forming a bottom electrode in a strained silicon layer
US20050248906A1 (en) * 2003-07-25 2005-11-10 Yee-Chia Yeo Capacitor that includes high permittivity capacitor dielectric
US7745279B2 (en) 2003-07-25 2010-06-29 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitor that includes high permittivity capacitor dielectric
US20050208717A1 (en) * 2003-07-25 2005-09-22 Yee-Chia Yeo Capacitor with enhanced performance and method of manufacture
US7037772B2 (en) 2003-07-25 2006-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing an integrated circuit including capacitor with high permittivity capacitor dielectric
US20050026408A1 (en) * 2003-07-29 2005-02-03 Barns Chris E. Preventing silicide formation at the gate electrode in a replacement metal gate technology
US7754552B2 (en) * 2003-07-29 2010-07-13 Intel Corporation Preventing silicide formation at the gate electrode in a replacement metal gate technology
US20060189056A1 (en) * 2003-08-12 2006-08-24 Chih-Hsin Ko Strained channel complementary field-effect transistors and methods of manufacture
US7442967B2 (en) 2003-08-12 2008-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel complementary field-effect transistors
US20060255365A1 (en) * 2003-08-15 2006-11-16 Chih-Hsin Ko Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
US7112495B2 (en) 2003-08-15 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
US7646068B2 (en) 2003-08-15 2010-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
US20050035409A1 (en) * 2003-08-15 2005-02-17 Chih-Hsin Ko Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
US20050035369A1 (en) * 2003-08-15 2005-02-17 Chun-Chieh Lin Structure and method of forming integrated circuits utilizing strained channel transistors
US20050035410A1 (en) * 2003-08-15 2005-02-17 Yee-Chia Yeo Semiconductor diode with reduced leakage
US20060226487A1 (en) * 2003-08-18 2006-10-12 Yee-Chia Yeo Resistor with reduced leakage
US7071052B2 (en) * 2003-08-18 2006-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Resistor with reduced leakage
US20050040493A1 (en) * 2003-08-18 2005-02-24 Yee-Chia Yeo Resistor with reduced leakage
US20070221992A1 (en) * 2003-09-15 2007-09-27 Seliskar John J Castellated gate MOSFET device capable of fully-depleted operation
US7714384B2 (en) * 2003-09-15 2010-05-11 Seliskar John J Castellated gate MOSFET device capable of fully-depleted operation
US7888201B2 (en) 2003-11-04 2011-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator SRAM configured using partially-depleted and fully-depleted transistors
US7397087B2 (en) * 2004-08-06 2008-07-08 International Business Machines Corporation FEOL/MEOL metal resistor for high end CMOS
JP2008509561A (en) * 2004-08-06 2008-03-27 インターナショナル・ビジネス・マシーンズ・コーポレーション FEOL / MEOL metal resistors for high performance CMOS
WO2006017600A3 (en) * 2004-08-06 2006-06-22 Ibm Feol/meol metal resistor for high end cmos
US20060027878A1 (en) * 2004-08-06 2006-02-09 International Business Machines Corporation FEOL/MEOL metal resistor for high end CMOS
CN101088145B (en) * 2004-08-06 2011-11-09 国际商业机器公司 FEOL/MEOL metal resistor for high end CMOS and method for integrating the resistor
US20080299767A1 (en) * 2005-11-21 2008-12-04 Freecale Semiconductor, Inc Method for Forming a Semiconductor Device Having a Salicide Layer
US20070173029A1 (en) * 2006-01-26 2007-07-26 International Business Machines Corporation Method for fabricating high performance metal-insulator-metal capacitor (MIMCAP)
US8558278B2 (en) 2007-01-16 2013-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Strained transistor with optimized drive current and method of forming
US20090230439A1 (en) * 2008-03-13 2009-09-17 Yen-Sen Wang Strain Bars in Stressed Layers of MOS Devices
US7943961B2 (en) 2008-03-13 2011-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Strain bars in stressed layers of MOS devices
US20110195554A1 (en) * 2008-03-13 2011-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Strain Bars in Stressed Layers of MOS Devices
US8389316B2 (en) 2008-03-13 2013-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Strain bars in stressed layers of MOS devices
US7808051B2 (en) 2008-09-29 2010-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cell without OD space effect in Y-direction
US8853790B2 (en) 2011-04-05 2014-10-07 International Business Machines Corporation Semiconductor nanowire structure reusing suspension pads
US8648438B2 (en) 2011-10-03 2014-02-11 International Business Machines Corporation Structure and method to form passive devices in ETSOI process flow
US9570466B2 (en) 2011-10-03 2017-02-14 Globalfoundries Inc. Structure and method to form passive devices in ETSOI process flow
US20130307074A1 (en) * 2012-05-16 2013-11-21 International Business Machines Corporation Epitaxial Semiconductor Resistor With Semiconductor Structures On Same Substrate
US8956938B2 (en) * 2012-05-16 2015-02-17 International Business Machines Corporation Epitaxial semiconductor resistor with semiconductor structures on same substrate
US9373637B2 (en) 2012-05-16 2016-06-21 Globalfoundries Inc. Epitaxial semiconductor resistor with semiconductor structures on same substrate
US9514998B1 (en) 2015-05-11 2016-12-06 International Business Machines Corporation Polysilicon resistor formation in silicon-on-insulator replacement metal gate finFET processes

Also Published As

Publication number Publication date
US20020158291A1 (en) 2002-10-31
WO2002089201A1 (en) 2002-11-07

Similar Documents

Publication Publication Date Title
US6586311B2 (en) Salicide block for silicon-on-insulator (SOI) applications
US6194748B1 (en) MOSFET with suppressed gate-edge fringing field effect
US5668024A (en) CMOS device structure with reduced risk of salicide bridging and reduced resistance via use of a ultra shallow, junction extension, ion implantation process
US6291282B1 (en) Method of forming dual metal gate structures or CMOS devices
US9035399B2 (en) Structure for facilitating the simultaneous silicidation of a polysilicon gate and source/drain of a semiconductor device
US7790622B2 (en) Methods for removing gate sidewall spacers in CMOS semiconductor fabrication processes
US7602031B2 (en) Method of fabricating semiconductor device, and semiconductor device
KR100417894B1 (en) Method of forming silicidation blocking layer
US20100163994A1 (en) Soi device with a buried insulating material having increased etch resistivity
US20020119608A1 (en) Semiconductor device having silicon on insulator and fabricating method therefor
US6720226B2 (en) Semiconductor device and method for facticating the same
US20060166457A1 (en) Method of making transistors and non-silicided polysilicon resistors for mixed signal circuits
US7230270B2 (en) Self-aligned double gate device and method for forming same
CN102903742A (en) Metal gate electrode of a field effect transistor
US20220367271A1 (en) Semiconductor device and method for fabricating the same
US7750415B2 (en) Structure and method for making high density MOSFET circuits with different height contact lines
US6667204B2 (en) Semiconductor device and method of forming the same
KR100658088B1 (en) Low leakage mos transistor
US8574980B2 (en) Method of forming fully silicided NMOS and PMOS semiconductor devices having independent polysilicon gate thicknesses, and related device
US20110081755A1 (en) Methods Of Fabricating An Access Transistor Having A Polysilicon-Comprising Plug On Individual Of Opposing Sides Of Gate Material
GB2362029A (en) Multi-layer structure for MOSFET Spacers
US6635946B2 (en) Semiconductor device with trench isolation structure
US6638829B1 (en) Semiconductor structure having a metal gate electrode and elevated salicided source/drain regions and a method for manufacture
US6225177B1 (en) Electrode resistance improved MOSFET with source and drain regions reduced in size beyond lithography limit and method for making the same
KR100459930B1 (en) Method of making partial self-aligned salicide contact

Legal Events

Date Code Title Description
AS Assignment

Owner name: ADVANCED MICRO DEVICES, INC., TEXAS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:WU, DAVID DONGGANG;REEL/FRAME:011766/0604

Effective date: 20010424

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20150701