US6054370A - Method of delaminating a pre-fabricated transistor layer from a substrate for placement on another wafer - Google Patents

Method of delaminating a pre-fabricated transistor layer from a substrate for placement on another wafer Download PDF

Info

Publication number
US6054370A
US6054370A US09/107,393 US10739398A US6054370A US 6054370 A US6054370 A US 6054370A US 10739398 A US10739398 A US 10739398A US 6054370 A US6054370 A US 6054370A
Authority
US
United States
Prior art keywords
substrate
areas
damaged regions
active devices
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US09/107,393
Inventor
Brian S. Doyle
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Priority to US09/107,393 priority Critical patent/US6054370A/en
Assigned to INTEL CORPORATION reassignment INTEL CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: DOYLE, BRIAN S.
Priority to US09/515,960 priority patent/US6727549B1/en
Application granted granted Critical
Publication of US6054370A publication Critical patent/US6054370A/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • H01L21/76254Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques with separation/delamination along an ion implanted layer, e.g. Smart-cut, Unibond
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/914Doping
    • Y10S438/915Amphoteric doping

Definitions

  • the present invention generally relates to fabrication of semiconductor devices. More specifically, the present invention relates to fabrication of integrated circuits that utilize prefabricated transistor layers.
  • Modern integrated circuits are generally made up of a silicon substrate containing millions of active and passive devices including transistors, capacitors, resistors, etc.
  • the semiconductor industry's focus was on reducing the two dimensions, (X-Y) in a Cartesian system of coordinates, of the transistors to reduce the size of the integrated circuit.
  • the third dimension Z dimension in a Cartesian system of coordinates
  • FIG. 1 illustrates a conventional integrated circuit 100 that includes a substrate 102 (typically made of silicon) onto which a very large number of active devices (transistors 104) are fabricated.
  • Transistors 104 are intercoupled therebetween and to other devices, therefore forming various circuits, by way of an interconnect system that includes metal lines (106). The metal lines may further be connected to other circuits.
  • the various circuits formed are further coupled, by well-known techniques, to bond pads 108 of the integrated circuit. Transistors 104 are therefore located on a single layer of silicon at the bottom of the integrated circuit.
  • FIG. 2 illustrates an integrated circuit that includes a first silicon substrate (base substrate 202) onto which are built a first layer (film) 205 of active devices 204.
  • a second layer (film) 206 of active devices 208 may be envisioned as being further built in the Z dimension (vertically in the Figure).
  • Interconnect lines 207 intercouple the active devices 208 of second layer 206 to the active devices 204 of first layer 205.
  • the second layer 206 of active devices 208 may be coupled to the outside world via bond pads 210.
  • transistors in the third dimension In the image area (imaging) attempts have been made to integrate transistors in the third dimension. For example, some digital cameras use chips that have at the bottom thereof (at the base silicon substrate) transistors for logical operations and on top of those transistors are built image sensors. For example, image sensor arrays may be built in the third dimension and used as light sensors. However, these transistors do not have good conducting properties, and therefore their performance is weak. These second-layer transistors are not made of a single-crystal silicon but are made of a polycrystalline silicon or amorphous silicon. The problem in providing a second layer of active devices (transistors) made of a single silicon crystal is that the fabrication of the second level of transistors requires processing steps that are performed at temperatures that are well beyond the temperature that the interconnect system may withstand.
  • the metal lines begin to melt. It is desirable to provide an integrated circuit that utilizes at least two layers of transistors that offer competitive performance at lower costs. It is desirable to provide an integrated circuit with a second level of transistors in the Z dimension made of a single crystal silicon.
  • the present invention provides a method of fabricating a film of active devices. First damaged regions are formed, in a substrate, underneath first areas where active devices are to be formed. Active devices are formed onto the first areas. Second damaged regions are formed, in the substrate, between the first damaged regions. The film is caused to detach from a rest of the substrate at a location where the first and second damaged regions are formed.
  • FIG. 1 illustrates a cross-sectional view through a conventional integrated circuit
  • FIG. 2 illustrates a cross-sectional view through a integrated circuit with two layers of transistors superposed in the Z dimension
  • FIG. 3 illustrates a cross-sectional view through a substrate onto which a layer of isolating material is deposited for patterning
  • FIG. 4 illustrates a cross-sectional view through the substrate with patterned areas that mask areas located between areas where active devices are to be formed;
  • FIG. 5 illustrates a cross-sectional view through the silicon substrate subjected to a first implantation process
  • FIG. 6 illustrates a cross-sectional view through a substrate with active devices formed onto the silicon substrate in alignment with first damaged regions
  • FIG. 7 illustrates a cross-sectional view through the substrate shown in FIG. 6 subjected to a second implantation process
  • FIG. 8 illustrates a cross-sectional view through the substrate, where a film of active devices is delaminated as a result of an annealing process performed upon the structure illustrated in FIG. 7;
  • FIG. 9 illustrates a flowchart diagram in connection with one embodiment of the process for forming a film of active devices according to the present invention.
  • FIG. 10 illustrates a cross-sectional view through a substrate subjected to a process of forming a pre-fabricated layer of active devices according to an alternative embodiment of the process of the present invention.
  • the present invention provides a method of fabricating a film of active devices.
  • the film of active devices may later be bonded, in the third dimension, to another wafer that already has a first film of active devices built therein.
  • an integrated circuit, with two layers of transistors built in the third dimension may be fabricated.
  • the embodiment of the process according to the present invention predamages regions in a substrate at a first distance underneath first areas.
  • the first areas are areas, at the top of the substrate, where active devices are to be formed.
  • Active devices are then formed onto the first areas.
  • Second damaged regions are then formed in the substrate between the first damaged areas.
  • the first and second damaged regions form an overall damaged region that may later be exposed to forces (energy) that delaminate the film from the rest of the substrate thereby obtaining a film of active devices in a simple and inexpensive way.
  • FIG. 3 illustrates a cross-sectional view through a substrate 300, that may be made of silicon, onto which a layer (film) (not shown) of active devices (transistors) (not shown) may be formed according to one embodiment of the process of the present invention.
  • a layer of photosensitive material such as photoresist 302 or of other masking materials is deposited on silicon substrate 300 to be later patterned and etched to form a reverse isolation mask (not shown).
  • the patterning and etching of layer 302 may be performed by conventional ways well-known in the art.
  • FIG. 4 illustrates a cross-sectional view through the silicon substrate 300 after the layer of photoresist shown in FIG. 3 has been patterned and etched to form patterned areas (reverse isolation mask) 304.
  • Patterned areas 304 mask horizontal areas 309 defined by dotted lines 308 and 310. Areas 309 are areas that separate therebetween active devices that will later be formed upon areas 306. Areas 306 are thus left exposed, while areas 309, that separate therebetween areas 306, are masked.
  • FIG. 5 illustrates a cross-sectional view through the patterned structure of FIG. 4 that is subjected to a process of helium implantation to form first damaged regions 312 into the substrate.
  • helium is not the only substance that may be used for implanting the substrate but other substances may be utilized as well.
  • Such substances include combinations of helium and hydrogen, or of helium, hydrogen and oxygen, or of other noble gases alone or in combinations with hydrogen or in combination with hydrogen and oxygen.
  • Such substances may be any implanted species or series of species that damage the silicon, the damage remaining subsequent to high temperature fabrication processes.
  • Helium or combinations thereof type of implants for example, selectively produce first damaged regions 312 underneath first areas 306 where active devices are later fabricated.
  • the first damaged regions 312 include a plurality of bubbles 313 disposed horizontally.
  • the first damaged regions 312 are formed at a depth (first distance) 311 from the first areas 306. The depth at which first damaged areas are formed varies, depending on the energy of the implants utilized. Note that the implants may also cause damages 314 in the patterned areas 304.
  • FIG. 6 illustrates a cross-sectional view through silicon substrate 300 with active devices 316 formed onto first areas 306.
  • FIG. 7 illustrates a cross-sectional view through the silicon substrate 300 undergoing a second implantation process.
  • the active devices 316 are masked by isolation masks 320, that may be made of nitride but are not limited thereto, to protect the active devices from damages during the second implantation process.
  • Second implants typically include hydrogen which causes voids 315 (second damaged regions) between the first damaged regions 312.
  • Masks 320 protect transistors 316 from being damaged during the second implantation process. After the second implantation process, second damaged regions have been formed in the substrate 300. The first and second damaged regions separate film 327 with active devices 316 from the rest of the substrate 329.
  • FIG. 7 illustrates a cross-sectional view through the silicon substrate 300 at the end of the annealing process.
  • the annealing process causes the voids (second damaged regions) 315 to expand such that film 327 delaminates from the rest of the substrate 329.
  • the thickness of film 327 is approximately 1 ⁇ m to 1 ⁇ m.
  • the coefficient of thermal expansion and pressure of the hydrogen gas in the voids 315 cause sufficient stress in the second damaged regions to propagate cracks into the first damaged region thereby causing delamination of film 327 from the rest 329 of substrate 300.
  • Delaminated thin film 327 with active devices 316 may then be later used in connection with different structures utilized in fabrication of integrated circuits.
  • the thin film 327 with active devices 316 may be utilized as a second layer of active devices, in the Z dimension, in connection with a substrate that already has a first layer of active devices.
  • FIG. 9 illustrates a flowchart diagram in connection with the embodiment of the process according to the present invention discussed above.
  • the process starts a block 901 from where it passes to block 902.
  • first damaged regions are formed in a substrate underneath first areas where active devices are to be formed.
  • the process passes to block 904 where said active devices are formed onto the first areas.
  • the process then flows to block 906 where second damaged regions are formed in the substrate between the first damaged regions.
  • the process then flows to block 908 where a film is caused to detach from the rest of the substrate at a location where the first and second damaged regions are formed.
  • the delaminated film is transferred to another wafer.
  • FIG. 10 illustrates a cross-sectional view through a substrate 300 with masked active devices 316 upon which a process according to an alternative embodiment of the present invention is performed.
  • the process according to the alternative embodiment of the present invention utilizes angled implants of hydrogen and/or helium or other damage-creating implants that are made both perpendicularly (arrows 330) to the top surface 340 of the substrate and also at different angles (arrows 332) to the top surface.
  • the implants made at an angle different than 90° are utilized to produce the first damaged area 312 while devices 316 are protected by way of masks 320.
  • the implants made at 90° with respect to surface 340 produce the second damaged areas 315 at a depth beneath top surface 340 substantially equal to the depth of which first damaged areas 312 are produced.
  • the film may be detached by introducing mechanical constraints to a system that includes the rest 329 of substrate 300 and layer (film) 327.
  • One way to detach film 327 includes annealing the system including the rest of substrate 329 and film 327. After damaged regions 312 and 315 are produced, an annealing process is performed which causes delamination of the layer 327 from the rest 329 of substrate 300.

Abstract

A method of fabricating a film of active devices is provided. First damaged regions are formed, in a substrate, underneath first areas of the substrate where active devices are to be formed. Active devices are formed onto the first areas. Second damaged regions are formed, in the substrate, between the first damaged regions. The film is caused to detach from a rest of the substrate at a location where the first and second damaged regions are formed.

Description

BACKGROUND INFORMATION
(1) Field of the Invention
The present invention generally relates to fabrication of semiconductor devices. More specifically, the present invention relates to fabrication of integrated circuits that utilize prefabricated transistor layers.
(2) Description of Related Art
Modern integrated circuits are generally made up of a silicon substrate containing millions of active and passive devices including transistors, capacitors, resistors, etc. Until recently, the semiconductor industry's focus was on reducing the two dimensions, (X-Y) in a Cartesian system of coordinates, of the transistors to reduce the size of the integrated circuit. However, as integration in two dimension has become more and more difficult due to limitations of lithography tools, the exploitation of the third dimension (Z dimension in a Cartesian system of coordinates) has become increasingly attractive.
FIG. 1 illustrates a conventional integrated circuit 100 that includes a substrate 102 (typically made of silicon) onto which a very large number of active devices (transistors 104) are fabricated. Transistors 104 are intercoupled therebetween and to other devices, therefore forming various circuits, by way of an interconnect system that includes metal lines (106). The metal lines may further be connected to other circuits. The various circuits formed are further coupled, by well-known techniques, to bond pads 108 of the integrated circuit. Transistors 104 are therefore located on a single layer of silicon at the bottom of the integrated circuit. When the dimension of the gates of transistors 104 goes some way beyond 193 nanometers, which is the shortest wave length of the light used in the present day photolithography process, integration of transistors becomes problematic as lithography tools that are utilized in the process of fabrication of these transistors reach the limit of their performance. One solution to increasing integration without further having to minimize transistors' gates dimensions and thus without resorting to new lithography tools, is to build up further layers of transistors in a third dimension (Z dimension) as illustrated in FIG. 2.
FIG. 2 illustrates an integrated circuit that includes a first silicon substrate (base substrate 202) onto which are built a first layer (film) 205 of active devices 204. A second layer (film) 206 of active devices 208 may be envisioned as being further built in the Z dimension (vertically in the Figure). Interconnect lines 207 intercouple the active devices 208 of second layer 206 to the active devices 204 of first layer 205. The second layer 206 of active devices 208 may be coupled to the outside world via bond pads 210.
In the image area (imaging) attempts have been made to integrate transistors in the third dimension. For example, some digital cameras use chips that have at the bottom thereof (at the base silicon substrate) transistors for logical operations and on top of those transistors are built image sensors. For example, image sensor arrays may be built in the third dimension and used as light sensors. However, these transistors do not have good conducting properties, and therefore their performance is weak. These second-layer transistors are not made of a single-crystal silicon but are made of a polycrystalline silicon or amorphous silicon. The problem in providing a second layer of active devices (transistors) made of a single silicon crystal is that the fabrication of the second level of transistors requires processing steps that are performed at temperatures that are well beyond the temperature that the interconnect system may withstand. For example, at 400° or 450° Celsius, the metal lines begin to melt. It is desirable to provide an integrated circuit that utilizes at least two layers of transistors that offer competitive performance at lower costs. It is desirable to provide an integrated circuit with a second level of transistors in the Z dimension made of a single crystal silicon.
SUMMARY OF THE INVENTION
The present invention provides a method of fabricating a film of active devices. First damaged regions are formed, in a substrate, underneath first areas where active devices are to be formed. Active devices are formed onto the first areas. Second damaged regions are formed, in the substrate, between the first damaged regions. The film is caused to detach from a rest of the substrate at a location where the first and second damaged regions are formed.
BRIEF DESCRIPTION OF THE DRAWINGS
The features, aspects, and advantages of the present invention will become more fully apparent from the following Detailed Description, claims, and accompanying drawings in which:
FIG. 1 illustrates a cross-sectional view through a conventional integrated circuit;
FIG. 2 illustrates a cross-sectional view through a integrated circuit with two layers of transistors superposed in the Z dimension;
FIG. 3 illustrates a cross-sectional view through a substrate onto which a layer of isolating material is deposited for patterning;
FIG. 4 illustrates a cross-sectional view through the substrate with patterned areas that mask areas located between areas where active devices are to be formed;
FIG. 5 illustrates a cross-sectional view through the silicon substrate subjected to a first implantation process;
FIG. 6 illustrates a cross-sectional view through a substrate with active devices formed onto the silicon substrate in alignment with first damaged regions;
FIG. 7 illustrates a cross-sectional view through the substrate shown in FIG. 6 subjected to a second implantation process;
FIG. 8 illustrates a cross-sectional view through the substrate, where a film of active devices is delaminated as a result of an annealing process performed upon the structure illustrated in FIG. 7;
FIG. 9 illustrates a flowchart diagram in connection with one embodiment of the process for forming a film of active devices according to the present invention; and
FIG. 10 illustrates a cross-sectional view through a substrate subjected to a process of forming a pre-fabricated layer of active devices according to an alternative embodiment of the process of the present invention.
DETAILED DESCRIPTION
In the following description, numerous specific details are set forth to provide a thorough understanding of the present invention. However, one having ordinary skill in the art should recognize that the invention may be practiced without these specific details. In some instances, well-known circuits, structures, and techniques have not been show in detail to avoid obscuring the present invention.
The present invention provides a method of fabricating a film of active devices. The film of active devices may later be bonded, in the third dimension, to another wafer that already has a first film of active devices built therein. Thus, by using the film of active devices fabricated by way of the process according to an embodiment of the present invention described herein an integrated circuit, with two layers of transistors built in the third dimension, may be fabricated.
To form a second film of active devices and then delaminate this film without damaging the active devices, the embodiment of the process according to the present invention predamages regions in a substrate at a first distance underneath first areas. The first areas are areas, at the top of the substrate, where active devices are to be formed. Active devices are then formed onto the first areas. Second damaged regions are then formed in the substrate between the first damaged areas. The first and second damaged regions form an overall damaged region that may later be exposed to forces (energy) that delaminate the film from the rest of the substrate thereby obtaining a film of active devices in a simple and inexpensive way.
FIG. 3 illustrates a cross-sectional view through a substrate 300, that may be made of silicon, onto which a layer (film) (not shown) of active devices (transistors) (not shown) may be formed according to one embodiment of the process of the present invention. A layer of photosensitive material such as photoresist 302 or of other masking materials is deposited on silicon substrate 300 to be later patterned and etched to form a reverse isolation mask (not shown). The patterning and etching of layer 302 may be performed by conventional ways well-known in the art.
FIG. 4 illustrates a cross-sectional view through the silicon substrate 300 after the layer of photoresist shown in FIG. 3 has been patterned and etched to form patterned areas (reverse isolation mask) 304. Patterned areas 304 mask horizontal areas 309 defined by dotted lines 308 and 310. Areas 309 are areas that separate therebetween active devices that will later be formed upon areas 306. Areas 306 are thus left exposed, while areas 309, that separate therebetween areas 306, are masked.
FIG. 5 illustrates a cross-sectional view through the patterned structure of FIG. 4 that is subjected to a process of helium implantation to form first damaged regions 312 into the substrate. Note that helium is not the only substance that may be used for implanting the substrate but other substances may be utilized as well. Such substances include combinations of helium and hydrogen, or of helium, hydrogen and oxygen, or of other noble gases alone or in combinations with hydrogen or in combination with hydrogen and oxygen. Such substances may be any implanted species or series of species that damage the silicon, the damage remaining subsequent to high temperature fabrication processes.
Helium or combinations thereof type of implants, for example, selectively produce first damaged regions 312 underneath first areas 306 where active devices are later fabricated. Typically, the first damaged regions 312 include a plurality of bubbles 313 disposed horizontally. The first damaged regions 312 are formed at a depth (first distance) 311 from the first areas 306. The depth at which first damaged areas are formed varies, depending on the energy of the implants utilized. Note that the implants may also cause damages 314 in the patterned areas 304.
Next, active devices are formed onto first areas 306 by way of conventional activation processes. FIG. 6 illustrates a cross-sectional view through silicon substrate 300 with active devices 316 formed onto first areas 306.
The process then continues with a second implantation into the areas 309 that separate therebetween active devices 316. FIG. 7 illustrates a cross-sectional view through the silicon substrate 300 undergoing a second implantation process. The active devices 316 are masked by isolation masks 320, that may be made of nitride but are not limited thereto, to protect the active devices from damages during the second implantation process. Second implants typically include hydrogen which causes voids 315 (second damaged regions) between the first damaged regions 312.
Masks 320 protect transistors 316 from being damaged during the second implantation process. After the second implantation process, second damaged regions have been formed in the substrate 300. The first and second damaged regions separate film 327 with active devices 316 from the rest of the substrate 329.
Next, masks 320 are removed by etching. An annealing process is performed on the structure with the cross-section illustrated in FIG. 7. The annealing takes place at a temperature that typically does not exceed 400° Celsius. FIG. 8 illustrates a cross-sectional view through the silicon substrate 300 at the end of the annealing process. The annealing process causes the voids (second damaged regions) 315 to expand such that film 327 delaminates from the rest of the substrate 329. The thickness of film 327 is approximately 1 μm to 1 μm. As the structure illustrated in FIG. 7 is heated up, the coefficient of thermal expansion and pressure of the hydrogen gas in the voids 315 cause sufficient stress in the second damaged regions to propagate cracks into the first damaged region thereby causing delamination of film 327 from the rest 329 of substrate 300.
Delaminated thin film 327 with active devices 316 may then be later used in connection with different structures utilized in fabrication of integrated circuits. For example, the thin film 327 with active devices 316 may be utilized as a second layer of active devices, in the Z dimension, in connection with a substrate that already has a first layer of active devices.
FIG. 9 illustrates a flowchart diagram in connection with the embodiment of the process according to the present invention discussed above. The process starts a block 901 from where it passes to block 902. At block 902 first damaged regions are formed in a substrate underneath first areas where active devices are to be formed. From block 902 the process passes to block 904 where said active devices are formed onto the first areas. The process then flows to block 906 where second damaged regions are formed in the substrate between the first damaged regions. The process then flows to block 908 where a film is caused to detach from the rest of the substrate at a location where the first and second damaged regions are formed. Next, at block 910, the delaminated film is transferred to another wafer.
FIG. 10 illustrates a cross-sectional view through a substrate 300 with masked active devices 316 upon which a process according to an alternative embodiment of the present invention is performed. Instead of pre-damaging the areas that are underneath the transistors, as explained above, the process according to the alternative embodiment of the present invention utilizes angled implants of hydrogen and/or helium or other damage-creating implants that are made both perpendicularly (arrows 330) to the top surface 340 of the substrate and also at different angles (arrows 332) to the top surface. The implants made at an angle different than 90° are utilized to produce the first damaged area 312 while devices 316 are protected by way of masks 320. The implants made at 90° with respect to surface 340 produce the second damaged areas 315 at a depth beneath top surface 340 substantially equal to the depth of which first damaged areas 312 are produced. The film may be detached by introducing mechanical constraints to a system that includes the rest 329 of substrate 300 and layer (film) 327. One way to detach film 327 includes annealing the system including the rest of substrate 329 and film 327. After damaged regions 312 and 315 are produced, an annealing process is performed which causes delamination of the layer 327 from the rest 329 of substrate 300.
In the foregoing specification, the invention has been described with reference to specific embodiments thereof. It will however be evident that various modification and changes can be made thereto without departing from the broader spirit and scope of the invention as set forth in the appended claims. The specification and drawings are, accordingly, to be regarded in an illustrative rather than a restrictive sense. Therefore, the scope of the invention should be limited only by the appended claims.

Claims (16)

What is claimed is:
1. A method of fabricating a film of active devices, the method comprising:
a. forming first damaged regions in a substrate underneath first areas where active devices are to be formed;
b. forming said active devices onto said first areas;
c. forming second damaged regions, in said substrate, between said first damaged regions; and
d. causing said film to detach from a rest of said substrate at a location where said first and second damaged regions are formed.
2. The method of claim 1 wherein said first damaged regions are formed by way of ion implantation.
3. The method of claim 1 wherein forming first damaged regions includes masking second areas between said first areas.
4. The method of claim 3 wherein forming first damaged regions further includes implanting said substrate with a noble gas to create said first damaged regions.
5. The method of claim 3 wherein forming first damaged regions further including implanting said substrate with a substance including a noble gas.
6. The method of claim 5 wherein said substance further includes hydrogen.
7. The method of claim 6 wherein said substance further includes oxygen.
8. The method of claim 4 wherein said noble gas includes helium.
9. The method of claim 1 wherein forming second damaged regions includes masking said active devices and implanting said substrate with a gas.
10. The method of claim 9 wherein said gas includes hydrogen.
11. The method of claim 1 wherein causing said film to detach includes annealing said substrate at a temperature within a range of approximately 150° Celsius to 400° Celsius.
12. The method of claim 1 wherein causing said film to detach includes introducing mechanical constraints to a system including said substrate and said film.
13. A method of fabricating a film of active devices, the method comprising the steps of:
a forming active devices onto first areas of a substrate, said first areas separated by second areas;
implanting a number of ions into said substrate, said number of ions including first and second number of ions, said first number of ions implanted at angles with said first areas, said first number of ions causing first damaged regions underneath said first areas at a depth from said first area, said second number of ions implanted perpendicularly to said second areas, said second number of ions causing second damaged regions at substantially the same depth underneath the second areas; and
causing said film to detach from a rest of said substrate at a location substantially corresponding to said first and second damaged regions.
14. The method of claim 13 wherein said ions include hydrogen.
15. The method of claim 13 where said ions include helium.
16. The method of claim 13 wherein said devices are masked.
US09/107,393 1998-06-30 1998-06-30 Method of delaminating a pre-fabricated transistor layer from a substrate for placement on another wafer Expired - Lifetime US6054370A (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US09/107,393 US6054370A (en) 1998-06-30 1998-06-30 Method of delaminating a pre-fabricated transistor layer from a substrate for placement on another wafer
US09/515,960 US6727549B1 (en) 1998-06-30 2000-02-29 Method of delaminating a pre-fabricated transistor layer from a substrate for placement on another wafer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/107,393 US6054370A (en) 1998-06-30 1998-06-30 Method of delaminating a pre-fabricated transistor layer from a substrate for placement on another wafer

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US09/515,960 Division US6727549B1 (en) 1998-06-30 2000-02-29 Method of delaminating a pre-fabricated transistor layer from a substrate for placement on another wafer

Publications (1)

Publication Number Publication Date
US6054370A true US6054370A (en) 2000-04-25

Family

ID=22316420

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/107,393 Expired - Lifetime US6054370A (en) 1998-06-30 1998-06-30 Method of delaminating a pre-fabricated transistor layer from a substrate for placement on another wafer
US09/515,960 Expired - Fee Related US6727549B1 (en) 1998-06-30 2000-02-29 Method of delaminating a pre-fabricated transistor layer from a substrate for placement on another wafer

Family Applications After (1)

Application Number Title Priority Date Filing Date
US09/515,960 Expired - Fee Related US6727549B1 (en) 1998-06-30 2000-02-29 Method of delaminating a pre-fabricated transistor layer from a substrate for placement on another wafer

Country Status (1)

Country Link
US (2) US6054370A (en)

Cited By (203)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6475876B2 (en) * 1998-08-21 2002-11-05 Abb Schweiz Holding Ag Process for fabricating a semiconductor component
US20020171080A1 (en) * 2001-05-18 2002-11-21 Faris Sadeg M. Thin films and production methods thereof
WO2003032384A1 (en) * 2001-10-11 2003-04-17 Commissariat A L'energie Atomique Method for making thin layers containing microcomponents
US6555451B1 (en) 2001-09-28 2003-04-29 The United States Of America As Represented By The Secretary Of The Navy Method for making shallow diffusion junctions in semiconductors using elemental doping
US6562127B1 (en) 2002-01-16 2003-05-13 The United States Of America As Represented By The Secretary Of The Navy Method of making mosaic array of thin semiconductor material of large substrates
US20030116802A1 (en) * 2000-10-16 2003-06-26 Seiko Epson Corporation Method of manufacturing semiconductor substrate, semiconductor substrate, electro-optical apparatus and electronic equipment
US6593212B1 (en) 2001-10-29 2003-07-15 The United States Of America As Represented By The Secretary Of The Navy Method for making electro-optical devices using a hydrogenion splitting technique
US6607969B1 (en) 2002-03-18 2003-08-19 The United States Of America As Represented By The Secretary Of The Navy Method for making pyroelectric, electro-optical and decoupling capacitors using thin film transfer and hydrogen ion splitting techniques
US6699770B2 (en) 2001-03-01 2004-03-02 John Tarje Torvik Method of making a hybride substrate having a thin silicon carbide membrane layer
US20040058555A1 (en) * 1997-12-30 2004-03-25 Hubert Moriceau Process for the transfer of a thin film comprising an inclusion creation step
US6740913B2 (en) * 1999-06-28 2004-05-25 Intel Corporation MOS transistor using mechanical stress to control short channel effects
US6767749B2 (en) 2002-04-22 2004-07-27 The United States Of America As Represented By The Secretary Of The Navy Method for making piezoelectric resonator and surface acoustic wave device using hydrogen implant layer splitting
US20040171232A1 (en) * 2002-11-07 2004-09-02 Cea Method of detaching a thin film at moderate temperature after co-implantation
US20040222500A1 (en) * 2001-04-13 2004-11-11 Bernard Aspar Detachable substrate with controlled mechanical hold and method for production thereof
US20040229443A1 (en) * 1998-12-31 2004-11-18 Bower Robert W. Structures, materials and methods for fabrication of nanostructures by transposed split of ion cut materials
US20040253794A1 (en) * 2001-05-18 2004-12-16 Faris Sadeg M. MEMS and method of manufacturing MEMS
US20050029224A1 (en) * 2001-04-13 2005-02-10 Bernard Aspar Detachable substrate or detachable structure and method for the production thereof
US20050079664A1 (en) * 2001-09-12 2005-04-14 Faris Sadeg M. Method of fabricating multi layer devices on buried oxide layer substrates
US20050112848A1 (en) * 2001-09-12 2005-05-26 Reveo, Inc. Method of fabricating vertical integrated circuits
US20050124142A1 (en) * 1998-12-31 2005-06-09 Bower Robert W. Transposed split of ion cut materials
US20050148163A1 (en) * 2003-12-19 2005-07-07 Nguyet-Phuong Nguyen Method of catastrophic transfer of a thin film after co-implantation
US7094667B1 (en) 2000-12-28 2006-08-22 Bower Robert W Smooth thin film layers produced by low temperature hydrogen ion cut
US20060191627A1 (en) * 2000-07-12 2006-08-31 Commissariat A I'energie Atomique (Cea) Process for cutting out a block of material and formation of a thin film
US20060199296A1 (en) * 2003-05-30 2006-09-07 Canon Kabushiki Kaisha Solid-state image sensing apparatus and method of manufacturing the same
US20060205179A1 (en) * 2002-12-09 2006-09-14 Franck Fournel Method for making a stressed structure designed to be dissociated
US20060252229A1 (en) * 2003-06-24 2006-11-09 Jean-Pierre Joly Integrated circuit on high performance chip
US20070037363A1 (en) * 2002-11-07 2007-02-15 Bernard Aspar Method for forming a brittle zone in a substrate by co-implantation
US20070087485A1 (en) * 2005-10-17 2007-04-19 Wu Yewchung Sermon Methods for fabricating polysilicon film and thin film transistors
US20070105339A1 (en) * 2001-09-12 2007-05-10 Faris Sadeg M Method of fabricating multi layer MEMS and microfluidic devices
US20070281445A1 (en) * 2003-10-28 2007-12-06 Nguyet-Phuong Nguyen Method for Self-Supported Transfer of a Fine Layer by Pulsation after Implantation or Co-Implantation
US7408193B2 (en) 2000-09-14 2008-08-05 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US7439092B2 (en) 2005-05-20 2008-10-21 Commissariat A L'energie Atomique Thin film splitting method
US20090061594A1 (en) * 2006-03-29 2009-03-05 Aurelie Tauzin Method of detaching a thin film by melting precipitates
US20090065471A1 (en) * 2003-02-10 2009-03-12 Faris Sadeg M Micro-nozzle, nano-nozzle, manufacturing methods therefor, applications therefor
US20090120568A1 (en) * 2005-08-16 2009-05-14 Chrystel Deguet Method of transferring a thin film onto a support
US20090156016A1 (en) * 2007-12-17 2009-06-18 Lea Di Cioccio Method for transfer of a thin layer
US20100025228A1 (en) * 2006-12-19 2010-02-04 Tauzin Aurelie Method for Preparing Thin GaN Layers by Implantation and Recycling of a Starting Substrate
US20100112825A1 (en) * 2008-10-30 2010-05-06 Sarko Cherekdjian Methods and apparatus for producing semiconductor on insulator structures using directed exfoliation
US20100112785A1 (en) * 2008-10-30 2010-05-06 Sarko Cherekdjian Methods and apparatus for producing semiconductor on insulator structures using directed exfoliation
WO2010059361A2 (en) * 2008-10-30 2010-05-27 Corning Incorporated Methods and apparatus for producing semiconductor on insulator structures using directed exfoliation
US20100176490A1 (en) * 2008-09-24 2010-07-15 Fabrice Letertre Methods of forming relaxed layers of semiconductor materials, semiconductor structures, devices and engineered substrates including same
US20100216294A1 (en) * 2007-10-12 2010-08-26 Marc Rabarot Method of fabricating a microelectronic structure involving molecular bonding
US7807570B1 (en) 2009-06-11 2010-10-05 International Business Machines Corporation Local metallization and use thereof in semiconductor devices
US20100323497A1 (en) * 2009-06-18 2010-12-23 Franck Fournel Method of transferring a thin layer onto a target substrate having a coefficient of thermal expansion different from that of the thin layer
US8193069B2 (en) 2003-07-21 2012-06-05 Commissariat A L'energie Atomique Stacked structure and production method thereof
US8637383B2 (en) 2010-12-23 2014-01-28 Soitec Strain relaxation using metal materials and related structures
US8664084B2 (en) 2005-09-28 2014-03-04 Commissariat A L'energie Atomique Method for making a thin-film element
US8836081B2 (en) 2008-10-30 2014-09-16 Soitec Semiconductor structures, devices and engineered substrates including layers of semiconductor material having reduced lattice strain
US8987079B2 (en) 2009-04-14 2015-03-24 Monolithic 3D Inc. Method for developing a custom device
US8994404B1 (en) 2013-03-12 2015-03-31 Monolithic 3D Inc. Semiconductor device and structure
US9030858B2 (en) 2011-10-02 2015-05-12 Monolithic 3D Inc. Semiconductor device and structure
US9029173B2 (en) 2011-10-18 2015-05-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US9099424B1 (en) 2012-08-10 2015-08-04 Monolithic 3D Inc. Semiconductor system, device and structure with heat removal
US9099526B2 (en) 2010-02-16 2015-08-04 Monolithic 3D Inc. Integrated circuit device and structure
US9117749B1 (en) 2013-03-15 2015-08-25 Monolithic 3D Inc. Semiconductor device and structure
US9197804B1 (en) 2011-10-14 2015-11-24 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US9219005B2 (en) 2011-06-28 2015-12-22 Monolithic 3D Inc. Semiconductor system and device
US9252134B2 (en) 2012-12-22 2016-02-02 Monolithic 3D Inc. Semiconductor device and structure
US9305867B1 (en) 2012-04-09 2016-04-05 Monolithic 3D Inc. Semiconductor devices and structures
US20160155893A1 (en) * 2011-08-31 2016-06-02 Micron Technology, Inc. Engineered substrates for semiconductor devices and associated systems and methods
US9385058B1 (en) 2012-12-29 2016-07-05 Monolithic 3D Inc. Semiconductor device and structure
US9406670B1 (en) 2009-10-12 2016-08-02 Monolithic 3D Inc. System comprising a semiconductor device and structure
US9412645B1 (en) 2009-04-14 2016-08-09 Monolithic 3D Inc. Semiconductor devices and structures
US9419031B1 (en) 2010-10-07 2016-08-16 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US9496271B2 (en) 2013-03-11 2016-11-15 Monolithic 3D Inc. 3DIC system with a two stable state memory and back-bias region
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
US9564432B2 (en) 2010-02-16 2017-02-07 Monolithic 3D Inc. 3D semiconductor device and structure
US9818800B2 (en) 2010-10-11 2017-11-14 Monolithic 3D Inc. Self aligned semiconductor device and structure
US9871034B1 (en) 2012-12-29 2018-01-16 Monolithic 3D Inc. Semiconductor device and structure
US9953925B2 (en) 2011-06-28 2018-04-24 Monolithic 3D Inc. Semiconductor system and device
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10127344B2 (en) 2013-04-15 2018-11-13 Monolithic 3D Inc. Automation for monolithic 3D devices
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US10515981B2 (en) 2015-09-21 2019-12-24 Monolithic 3D Inc. Multilevel semiconductor device and structure with memory
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11937422B2 (en) 2021-07-04 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2842647B1 (en) * 2002-07-17 2004-09-17 Soitec Silicon On Insulator LAYER TRANSFER METHOD
FR2850390B1 (en) * 2003-01-24 2006-07-14 Soitec Silicon On Insulator METHOD FOR REMOVING A PERIPHERAL GLUE ZONE WHEN MANUFACTURING A COMPOSITE SUBSTRATE
US7122095B2 (en) 2003-03-14 2006-10-17 S.O.I.Tec Silicon On Insulator Technologies S.A. Methods for forming an assembly for transfer of a useful layer
US6887751B2 (en) * 2003-09-12 2005-05-03 International Business Machines Corporation MOSFET performance improvement using deformation in SOI structure
US7387946B2 (en) * 2005-06-07 2008-06-17 Freescale Semiconductor, Inc. Method of fabricating a substrate for a planar, double-gated, transistor process
US7749884B2 (en) * 2008-05-06 2010-07-06 Astrowatt, Inc. Method of forming an electronic device using a separation-enhancing species
US8076215B2 (en) * 2008-05-17 2011-12-13 Astrowatt, Inc. Method of forming an electronic device using a separation technique
FR2936357B1 (en) * 2008-09-24 2010-12-10 Commissariat Energie Atomique METHOD FOR DEFLECTING CHIPS ON A SUBSTRATE
JP5417399B2 (en) * 2011-09-15 2014-02-12 信越化学工業株式会社 Manufacturing method of composite wafer

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5238875A (en) * 1990-09-06 1993-08-24 Shin-Etsu Handotai Co., Ltd. Method of producing a bonded wafer
US5374564A (en) * 1991-09-18 1994-12-20 Commissariat A L'energie Atomique Process for the production of thin semiconductor material films
US5494835A (en) * 1993-12-23 1996-02-27 Commissariat A L'energie Atomique Process for the production of a relief structure on a semiconductor material support
US5559043A (en) * 1994-01-26 1996-09-24 Commissariat A L'energie Atomique Method for placing semiconductive plates on a support
EP0807970A1 (en) * 1996-05-15 1997-11-19 Commissariat A L'energie Atomique Method of manufacturing a thin semiconductor layer
US5714395A (en) * 1995-09-13 1998-02-03 Commissariat A L'energie Atomique Process for the manufacture of thin films of semiconductor material
FR2758907A1 (en) * 1997-01-27 1998-07-31 Commissariat Energie Atomique METHOD FOR OBTAINING A THIN FILM, ESPECIALLY A SEMICONDUCTOR, WITH A PROTECTED AREA OF IONS, AND INVOLVING AN ION IMPLANTATION STEP
US5863832A (en) * 1996-06-28 1999-01-26 Intel Corporation Capping layer in interconnect system and method for bonding the capping layer onto the interconnect system
US5877070A (en) * 1997-05-31 1999-03-02 Max-Planck Society Method for the transfer of thin layers of monocrystalline material to a desirable substrate
US5882987A (en) * 1997-08-26 1999-03-16 International Business Machines Corporation Smart-cut process for the production of thin semiconductor material films
US5949108A (en) * 1997-06-30 1999-09-07 Intel Corporation Semiconductor device with reduced capacitance
US5953622A (en) * 1996-11-23 1999-09-14 Hyundai Electronics Industries Co., Ltd. Method for fabricating semiconductor wafers

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4863878A (en) * 1987-04-06 1989-09-05 Texas Instruments Incorporated Method of making silicon on insalator material using oxygen implantation
US5508211A (en) * 1994-02-17 1996-04-16 Lsi Logic Corporation Method of making integrated circuit structure with vertical isolation from single crystal substrate comprising isolation layer formed by implantation and annealing of noble gas atoms in substrate
US5662768A (en) * 1995-09-21 1997-09-02 Lsi Logic Corporation High surface area trenches for an integrated ciruit device
US5976956A (en) * 1997-04-11 1999-11-02 Advanced Micro Devices, Inc. Method of controlling dopant concentrations using transient-enhanced diffusion prior to gate formation in a device

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5238875A (en) * 1990-09-06 1993-08-24 Shin-Etsu Handotai Co., Ltd. Method of producing a bonded wafer
US5374564A (en) * 1991-09-18 1994-12-20 Commissariat A L'energie Atomique Process for the production of thin semiconductor material films
US5494835A (en) * 1993-12-23 1996-02-27 Commissariat A L'energie Atomique Process for the production of a relief structure on a semiconductor material support
US5559043A (en) * 1994-01-26 1996-09-24 Commissariat A L'energie Atomique Method for placing semiconductive plates on a support
US5714395A (en) * 1995-09-13 1998-02-03 Commissariat A L'energie Atomique Process for the manufacture of thin films of semiconductor material
EP0807970A1 (en) * 1996-05-15 1997-11-19 Commissariat A L'energie Atomique Method of manufacturing a thin semiconductor layer
US5863832A (en) * 1996-06-28 1999-01-26 Intel Corporation Capping layer in interconnect system and method for bonding the capping layer onto the interconnect system
US5953622A (en) * 1996-11-23 1999-09-14 Hyundai Electronics Industries Co., Ltd. Method for fabricating semiconductor wafers
FR2758907A1 (en) * 1997-01-27 1998-07-31 Commissariat Energie Atomique METHOD FOR OBTAINING A THIN FILM, ESPECIALLY A SEMICONDUCTOR, WITH A PROTECTED AREA OF IONS, AND INVOLVING AN ION IMPLANTATION STEP
US5877070A (en) * 1997-05-31 1999-03-02 Max-Planck Society Method for the transfer of thin layers of monocrystalline material to a desirable substrate
US5949108A (en) * 1997-06-30 1999-09-07 Intel Corporation Semiconductor device with reduced capacitance
US5882987A (en) * 1997-08-26 1999-03-16 International Business Machines Corporation Smart-cut process for the production of thin semiconductor material films

Non-Patent Citations (16)

* Cited by examiner, † Cited by third party
Title
"Methods for fabricating a self-aligned dual-gate structure on an SOI sbustrate" IBM Tech. Discl. Bul. vol. 39, No. 7 pg. 163-167, Jul. 1996.
"SOI interposer structure," IBM Tech. Discl. Bul. vol. 39, No. 7, ppg. 191-195, Jul. 1996.
Aspar et al "Kinetics of splitting in the Smart-Cut Process," Proc. 1998 IEEE INternational SOI Conf., pg 137-138, Oct.
Aspar et al "Transfer of structured and patterned thin silicon films using the Smart-Cut process," Electronic Letters vol. 32, No. 21, ppg. 1985-1986, Oct. 10 1996.
Aspar et al Kinetics of splitting in the Smart Cut Process, Proc. 1998 IEEE INternational SOI Conf., pg 137 138, Oct. *
Aspar et al Transfer of structured and patterned thin silicon films using the Smart Cut process, Electronic Letters vol. 32, No. 21, ppg. 1985 1986, Oct. 10 1996. *
Bruel et al, "`Smart Cut`: A promising new SOI Material Technology," Proc. 1995 IEEE international SOI Conf., pg. 178-179, Oct. 1995.
Bruel et al, "Smart-Cut: A new silicon on insulator material technology based on Hydrogen implantation and wafer bonding," Jap. J. Appl. Phys. Part 1; Reg. Papers, Short Notes and Reviews, vol. 36, lss, 3B pp. 1636-1641, Mar. 1997.
Bruel et al, Smart Cut : A promising new SOI Material Technology, Proc. 1995 IEEE international SOI Conf., pg. 178 179, Oct. 1995. *
Bruel et al, Smart Cut: A new silicon on insulator material technology based on Hydrogen implantation and wafer bonding, Jap. J. Appl. Phys. Part 1; Reg. Papers, Short Notes and Reviews, vol. 36, lss, 3B pp. 1636 1641, Mar. 1997. *
Methods for fabricating a self aligned dual gate structure on an SOI sbustrate IBM Tech. Discl. Bul. vol. 39, No. 7 pg. 163 167, Jul. 1996. *
Roberds et al, "Investigation of a novel self-aligned dual gate MOSFET structures, " Proc. 1998 IEEE International SOI CONF. pp. 109-110, Oct. 1998.
Roberds et al, Investigation of a novel self aligned dual gate MOSFET structures, Proc. 1998 IEEE International SOI CONF. pp. 109 110, Oct. 1998. *
Roberds, et al "Control of the size and spactial location of viods in silicon by helium and hydrogen implantation," Proceedings 1998 IEEE International SOI Conf., pg. 33-34, Oct. 1998.
Roberds, et al Control of the size and spactial location of viods in silicon by helium and hydrogen implantation, Proceedings 1998 IEEE International SOI Conf., pg. 33 34, Oct. 1998. *
SOI interposer structure, IBM Tech. Discl. Bul. vol. 39, No. 7, ppg. 191 195, Jul. 1996. *

Cited By (260)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8609514B2 (en) 1997-12-10 2013-12-17 Commissariat A L'energie Atomique Process for the transfer of a thin film comprising an inclusion creation step
US20070232025A1 (en) * 1997-12-30 2007-10-04 Commissariat A L'energie Atomique Process for the transfer of a thin film
US7229899B2 (en) 1997-12-30 2007-06-12 Commissariat A L'energie Atomique Process for the transfer of a thin film
US7883994B2 (en) 1997-12-30 2011-02-08 Commissariat A L'energie Atomique Process for the transfer of a thin film
US20040058555A1 (en) * 1997-12-30 2004-03-25 Hubert Moriceau Process for the transfer of a thin film comprising an inclusion creation step
US6475876B2 (en) * 1998-08-21 2002-11-05 Abb Schweiz Holding Ag Process for fabricating a semiconductor component
US20050124142A1 (en) * 1998-12-31 2005-06-09 Bower Robert W. Transposed split of ion cut materials
US20040229443A1 (en) * 1998-12-31 2004-11-18 Bower Robert W. Structures, materials and methods for fabrication of nanostructures by transposed split of ion cut materials
US6740913B2 (en) * 1999-06-28 2004-05-25 Intel Corporation MOS transistor using mechanical stress to control short channel effects
US20060191627A1 (en) * 2000-07-12 2006-08-31 Commissariat A I'energie Atomique (Cea) Process for cutting out a block of material and formation of a thin film
CN102184970A (en) * 2000-09-14 2011-09-14 株式会社半导体能源研究所 Semiconductor device and manufacturing method thereof
US20080283838A1 (en) * 2000-09-14 2008-11-20 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US7642555B2 (en) 2000-09-14 2010-01-05 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US7408193B2 (en) 2000-09-14 2008-08-05 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US20030116802A1 (en) * 2000-10-16 2003-06-26 Seiko Epson Corporation Method of manufacturing semiconductor substrate, semiconductor substrate, electro-optical apparatus and electronic equipment
US6852653B2 (en) * 2000-10-16 2005-02-08 Seiko Epson Corporation Method of manufacturing semiconductor substrate, semiconductor substrate, electro-optical apparatus and electronic equipment
US7094667B1 (en) 2000-12-28 2006-08-22 Bower Robert W Smooth thin film layers produced by low temperature hydrogen ion cut
US7112515B2 (en) 2001-03-01 2006-09-26 Astralux, Inc. Method of making a hybrid substrate having a thin silicon carbide membrane layer
US20040171230A1 (en) * 2001-03-01 2004-09-02 Astralux, Inc. Method of making a hybride substrate having a thin silicon carbide membrane layer
US6699770B2 (en) 2001-03-01 2004-03-02 John Tarje Torvik Method of making a hybride substrate having a thin silicon carbide membrane layer
US20040222500A1 (en) * 2001-04-13 2004-11-11 Bernard Aspar Detachable substrate with controlled mechanical hold and method for production thereof
US20050029224A1 (en) * 2001-04-13 2005-02-10 Bernard Aspar Detachable substrate or detachable structure and method for the production thereof
US7713369B2 (en) * 2001-04-13 2010-05-11 Commissariat A L'energie Atomique Detachable substrate or detachable structure and method for the production thereof
US7902038B2 (en) 2001-04-13 2011-03-08 Commissariat A L'energie Atomique Detachable substrate with controlled mechanical strength and method of producing same
EP1396022A2 (en) * 2001-05-18 2004-03-10 Reveo, Inc. Thin films and production methods thereof
US20040253794A1 (en) * 2001-05-18 2004-12-16 Faris Sadeg M. MEMS and method of manufacturing MEMS
US20020171080A1 (en) * 2001-05-18 2002-11-21 Faris Sadeg M. Thin films and production methods thereof
US7045878B2 (en) 2001-05-18 2006-05-16 Reveo, Inc. Selectively bonded thin film layer and substrate layer for processing of useful devices
US7081657B2 (en) 2001-05-18 2006-07-25 Reveo, Inc. MEMS and method of manufacturing MEMS
US20050059218A1 (en) * 2001-05-18 2005-03-17 Faris Sadeg M. Thin films and production methods thereof
US7145219B2 (en) 2001-09-12 2006-12-05 Reveo, Inc. Vertical integrated circuits
US20050079664A1 (en) * 2001-09-12 2005-04-14 Faris Sadeg M. Method of fabricating multi layer devices on buried oxide layer substrates
US20050112848A1 (en) * 2001-09-12 2005-05-26 Reveo, Inc. Method of fabricating vertical integrated circuits
US7163826B2 (en) 2001-09-12 2007-01-16 Reveo, Inc Method of fabricating multi layer devices on buried oxide layer substrates
US20070105339A1 (en) * 2001-09-12 2007-05-10 Faris Sadeg M Method of fabricating multi layer MEMS and microfluidic devices
US6555451B1 (en) 2001-09-28 2003-04-29 The United States Of America As Represented By The Secretary Of The Navy Method for making shallow diffusion junctions in semiconductors using elemental doping
FR2830983A1 (en) * 2001-10-11 2003-04-18 Commissariat Energie Atomique Fabrication of thin layers containing micro-components involves local implantation of a gaseous species on a substrate and separating the substrate containing micro-components from the rest of the substrate
US20050221583A1 (en) * 2001-10-11 2005-10-06 Bernard Aspar Method for making thin layers containing microcomponents
CN100440477C (en) * 2001-10-11 2008-12-03 原子能委员会 Method for making thin layers containing microcomponents
WO2003032384A1 (en) * 2001-10-11 2003-04-17 Commissariat A L'energie Atomique Method for making thin layers containing microcomponents
US7615463B2 (en) * 2001-10-11 2009-11-10 Commissariat A L'energie Atomique Method for making thin layers containing microcomponents
US6593212B1 (en) 2001-10-29 2003-07-15 The United States Of America As Represented By The Secretary Of The Navy Method for making electro-optical devices using a hydrogenion splitting technique
US6562127B1 (en) 2002-01-16 2003-05-13 The United States Of America As Represented By The Secretary Of The Navy Method of making mosaic array of thin semiconductor material of large substrates
US6607969B1 (en) 2002-03-18 2003-08-19 The United States Of America As Represented By The Secretary Of The Navy Method for making pyroelectric, electro-optical and decoupling capacitors using thin film transfer and hydrogen ion splitting techniques
US6767749B2 (en) 2002-04-22 2004-07-27 The United States Of America As Represented By The Secretary Of The Navy Method for making piezoelectric resonator and surface acoustic wave device using hydrogen implant layer splitting
US20070037363A1 (en) * 2002-11-07 2007-02-15 Bernard Aspar Method for forming a brittle zone in a substrate by co-implantation
US20040171232A1 (en) * 2002-11-07 2004-09-02 Cea Method of detaching a thin film at moderate temperature after co-implantation
US7176108B2 (en) 2002-11-07 2007-02-13 Soitec Silicon On Insulator Method of detaching a thin film at moderate temperature after co-implantation
US8389379B2 (en) 2002-12-09 2013-03-05 Commissariat A L'energie Atomique Method for making a stressed structure designed to be dissociated
US20100167499A1 (en) * 2002-12-09 2010-07-01 Commissariat A L'energie Atomique Method for making a stressed structure designed to be dissociated
US20060205179A1 (en) * 2002-12-09 2006-09-14 Franck Fournel Method for making a stressed structure designed to be dissociated
US20090065471A1 (en) * 2003-02-10 2009-03-12 Faris Sadeg M Micro-nozzle, nano-nozzle, manufacturing methods therefor, applications therefor
US20060199296A1 (en) * 2003-05-30 2006-09-07 Canon Kabushiki Kaisha Solid-state image sensing apparatus and method of manufacturing the same
US7732238B2 (en) * 2003-05-30 2010-06-08 Canon Kabushiki Kaisha Method of manufacturing an image sensing apparatus in which two members are bonded together
US20060252229A1 (en) * 2003-06-24 2006-11-09 Jean-Pierre Joly Integrated circuit on high performance chip
US8048766B2 (en) 2003-06-24 2011-11-01 Commissariat A L'energie Atomique Integrated circuit on high performance chip
US8193069B2 (en) 2003-07-21 2012-06-05 Commissariat A L'energie Atomique Stacked structure and production method thereof
US8309431B2 (en) 2003-10-28 2012-11-13 Commissariat A L'energie Atomique Method for self-supported transfer of a fine layer by pulsation after implantation or co-implantation
US20070281445A1 (en) * 2003-10-28 2007-12-06 Nguyet-Phuong Nguyen Method for Self-Supported Transfer of a Fine Layer by Pulsation after Implantation or Co-Implantation
US7772087B2 (en) 2003-12-19 2010-08-10 Commissariat A L'energie Atomique Method of catastrophic transfer of a thin film after co-implantation
US20050148163A1 (en) * 2003-12-19 2005-07-07 Nguyet-Phuong Nguyen Method of catastrophic transfer of a thin film after co-implantation
US7439092B2 (en) 2005-05-20 2008-10-21 Commissariat A L'energie Atomique Thin film splitting method
US8142593B2 (en) 2005-08-16 2012-03-27 Commissariat A L'energie Atomique Method of transferring a thin film onto a support
US20090120568A1 (en) * 2005-08-16 2009-05-14 Chrystel Deguet Method of transferring a thin film onto a support
US8664084B2 (en) 2005-09-28 2014-03-04 Commissariat A L'energie Atomique Method for making a thin-film element
US7629209B2 (en) * 2005-10-17 2009-12-08 Chunghwa Picture Tubes, Ltd. Methods for fabricating polysilicon film and thin film transistors
US20070087485A1 (en) * 2005-10-17 2007-04-19 Wu Yewchung Sermon Methods for fabricating polysilicon film and thin film transistors
US7670930B2 (en) 2006-03-29 2010-03-02 Commissariat A L 'energie Atomique Method of detaching a thin film by melting precipitates
US20090061594A1 (en) * 2006-03-29 2009-03-05 Aurelie Tauzin Method of detaching a thin film by melting precipitates
US8778775B2 (en) 2006-12-19 2014-07-15 Commissariat A L'energie Atomique Method for preparing thin GaN layers by implantation and recycling of a starting substrate
US20100025228A1 (en) * 2006-12-19 2010-02-04 Tauzin Aurelie Method for Preparing Thin GaN Layers by Implantation and Recycling of a Starting Substrate
US20100216294A1 (en) * 2007-10-12 2010-08-26 Marc Rabarot Method of fabricating a microelectronic structure involving molecular bonding
US20090156016A1 (en) * 2007-12-17 2009-06-18 Lea Di Cioccio Method for transfer of a thin layer
US7960248B2 (en) 2007-12-17 2011-06-14 Commissariat A L'energie Atomique Method for transfer of a thin layer
US8486771B2 (en) 2008-09-24 2013-07-16 Soitec Methods of forming relaxed layers of semiconductor materials, semiconductor structures, devices and engineered substrates including same
US20100176490A1 (en) * 2008-09-24 2010-07-15 Fabrice Letertre Methods of forming relaxed layers of semiconductor materials, semiconductor structures, devices and engineered substrates including same
US7816225B2 (en) 2008-10-30 2010-10-19 Corning Incorporated Methods and apparatus for producing semiconductor on insulator structures using directed exfoliation
WO2010059361A2 (en) * 2008-10-30 2010-05-27 Corning Incorporated Methods and apparatus for producing semiconductor on insulator structures using directed exfoliation
US8003491B2 (en) 2008-10-30 2011-08-23 Corning Incorporated Methods and apparatus for producing semiconductor on insulator structures using directed exfoliation
CN102203934A (en) * 2008-10-30 2011-09-28 康宁股份有限公司 Methods and apparatus for producing semiconductor on insulator structures using directed exfoliation
US8836081B2 (en) 2008-10-30 2014-09-16 Soitec Semiconductor structures, devices and engineered substrates including layers of semiconductor material having reduced lattice strain
US20100112785A1 (en) * 2008-10-30 2010-05-06 Sarko Cherekdjian Methods and apparatus for producing semiconductor on insulator structures using directed exfoliation
US9368344B2 (en) 2008-10-30 2016-06-14 Soitec Semiconductor structures, devices and engineered substrates including layers of semiconductor material having reduced lattice strain
US20100112825A1 (en) * 2008-10-30 2010-05-06 Sarko Cherekdjian Methods and apparatus for producing semiconductor on insulator structures using directed exfoliation
CN102203934B (en) * 2008-10-30 2014-02-12 康宁股份有限公司 Methods and apparatus for producing semiconductor on insulator structures using directed exfoliation
WO2010059361A3 (en) * 2008-10-30 2010-08-12 Corning Incorporated Methods and apparatus for producing semiconductor on insulator structures using directed exfoliation
WO2010059367A3 (en) * 2008-10-30 2010-08-05 Corning Incorporated Methods and apparatus for producing semiconductor on insulator structures using directed exfoliation
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
US8987079B2 (en) 2009-04-14 2015-03-24 Monolithic 3D Inc. Method for developing a custom device
US9412645B1 (en) 2009-04-14 2016-08-09 Monolithic 3D Inc. Semiconductor devices and structures
US7807570B1 (en) 2009-06-11 2010-10-05 International Business Machines Corporation Local metallization and use thereof in semiconductor devices
US20100314689A1 (en) * 2009-06-11 2010-12-16 International Business Machines Corporation Local metallization and use thereof in semiconductor devices
US8106515B2 (en) 2009-06-11 2012-01-31 International Business Machines Corporation Local metallization and use thereof in semiconductor devices
US8252663B2 (en) 2009-06-18 2012-08-28 Commissariat A L'energie Atomique Et Aux Energies Alternatives Method of transferring a thin layer onto a target substrate having a coefficient of thermal expansion different from that of the thin layer
US20100323497A1 (en) * 2009-06-18 2010-12-23 Franck Fournel Method of transferring a thin layer onto a target substrate having a coefficient of thermal expansion different from that of the thin layer
US9406670B1 (en) 2009-10-12 2016-08-02 Monolithic 3D Inc. System comprising a semiconductor device and structure
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US9099526B2 (en) 2010-02-16 2015-08-04 Monolithic 3D Inc. Integrated circuit device and structure
US9564432B2 (en) 2010-02-16 2017-02-07 Monolithic 3D Inc. 3D semiconductor device and structure
US9419031B1 (en) 2010-10-07 2016-08-16 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US9818800B2 (en) 2010-10-11 2017-11-14 Monolithic 3D Inc. Self aligned semiconductor device and structure
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US11374042B1 (en) 2010-10-13 2022-06-28 Monolithic 3D Inc. 3D micro display semiconductor device and structure
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US9312339B2 (en) 2010-12-23 2016-04-12 Soitec Strain relaxation using metal materials and related structures
US8637383B2 (en) 2010-12-23 2014-01-28 Soitec Strain relaxation using metal materials and related structures
US9953925B2 (en) 2011-06-28 2018-04-24 Monolithic 3D Inc. Semiconductor system and device
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
US9219005B2 (en) 2011-06-28 2015-12-22 Monolithic 3D Inc. Semiconductor system and device
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
US20160155893A1 (en) * 2011-08-31 2016-06-02 Micron Technology, Inc. Engineered substrates for semiconductor devices and associated systems and methods
US10431714B2 (en) * 2011-08-31 2019-10-01 QROMIS, Inc. Engineered substrates for semiconductor devices and associated systems and methods
US9030858B2 (en) 2011-10-02 2015-05-12 Monolithic 3D Inc. Semiconductor device and structure
US9197804B1 (en) 2011-10-14 2015-11-24 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US9029173B2 (en) 2011-10-18 2015-05-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US9305867B1 (en) 2012-04-09 2016-04-05 Monolithic 3D Inc. Semiconductor devices and structures
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US9099424B1 (en) 2012-08-10 2015-08-04 Monolithic 3D Inc. Semiconductor system, device and structure with heat removal
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US9252134B2 (en) 2012-12-22 2016-02-02 Monolithic 3D Inc. Semiconductor device and structure
US9871034B1 (en) 2012-12-29 2018-01-16 Monolithic 3D Inc. Semiconductor device and structure
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US9385058B1 (en) 2012-12-29 2016-07-05 Monolithic 3D Inc. Semiconductor device and structure
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US9911627B1 (en) 2012-12-29 2018-03-06 Monolithic 3D Inc. Method of processing a semiconductor device
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US9460991B1 (en) 2012-12-29 2016-10-04 Monolithic 3D Inc. Semiconductor device and structure
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US9460978B1 (en) 2012-12-29 2016-10-04 Monolithic 3D Inc. Semiconductor device and structure
US10355121B2 (en) 2013-03-11 2019-07-16 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US9496271B2 (en) 2013-03-11 2016-11-15 Monolithic 3D Inc. 3DIC system with a two stable state memory and back-bias region
US10964807B2 (en) 2013-03-11 2021-03-30 Monolithic 3D Inc. 3D semiconductor device with memory
US11121246B2 (en) 2013-03-11 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11004967B1 (en) 2013-03-11 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11515413B2 (en) 2013-03-11 2022-11-29 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US8994404B1 (en) 2013-03-12 2015-03-31 Monolithic 3D Inc. Semiconductor device and structure
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US9117749B1 (en) 2013-03-15 2015-08-25 Monolithic 3D Inc. Semiconductor device and structure
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US10127344B2 (en) 2013-04-15 2018-11-13 Monolithic 3D Inc. Automation for monolithic 3D devices
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
US10515981B2 (en) 2015-09-21 2019-12-24 Monolithic 3D Inc. Multilevel semiconductor device and structure with memory
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
US11937422B2 (en) 2021-07-04 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
US11935949B1 (en) 2023-11-12 2024-03-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells

Also Published As

Publication number Publication date
US6727549B1 (en) 2004-04-27

Similar Documents

Publication Publication Date Title
US6054370A (en) Method of delaminating a pre-fabricated transistor layer from a substrate for placement on another wafer
US6423614B1 (en) Method of delaminating a thin film using non-thermal techniques
US5585304A (en) Method of making semiconductor device with multiple transparent layers
US5693976A (en) MOSFET device having denuded zones for forming alignment marks
JP3904228B2 (en) Manufacturing method of three-dimensional circuit device
JP2001237370A (en) Multilayer three-dimensional high density semiconductor element and forming method therefor
US20110042780A1 (en) Methods of manufacturing semiconductor structures and semiconductor structures obtained by such methods
EP0299087A1 (en) Semiconductor device and method of fabricating the same
JPS6281745A (en) Lsi semiconductor device in wafer scale and manufacture thereof
JP2008521214A (en) Thinner semiconductor wafers
JPH04298037A (en) Manufacture of integrated silicon/non-silicon semiconductor device
US5770487A (en) Method of manufacturing a device, by which method a substrate with semiconductor element and conductor tracks is glued to a support body with metallization
JPS58124243A (en) Manufacture of semiconductor device
US20030171001A1 (en) Method of manufacturing semiconductor devices
TWI775241B (en) Wafer with crystalline silicon and trap rich polysilicon layer
KR0150671B1 (en) Manufacturing method of semiconductor having a different isolation structure between peripheral circuit area and cell area
JPH09129524A (en) Method for manufacturing semiconductor device
JPH07249634A (en) Gettering and semiconductor integrated circuit device
JPH0290569A (en) Manufacture of semiconductor device
JPS60198862A (en) Semiconductor integrated circuit device
US20060104583A1 (en) Method of fabricating ridge type waveguide integrated semiconductor optical device
JP2576190B2 (en) Large-scale integrated circuit and manufacturing method thereof
JPS6194367A (en) Semiconductor device and manufacture thereof
KR940005704B1 (en) Method of making silicon thin film
JPH01313959A (en) Semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTEL CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:DOYLE, BRIAN S.;REEL/FRAME:009523/0288

Effective date: 19981002

STCF Information on status: patent grant

Free format text: PATENTED CASE

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12