US5994240A - Method for cleaning semiconductor wafers - Google Patents

Method for cleaning semiconductor wafers Download PDF

Info

Publication number
US5994240A
US5994240A US08/915,517 US91551797A US5994240A US 5994240 A US5994240 A US 5994240A US 91551797 A US91551797 A US 91551797A US 5994240 A US5994240 A US 5994240A
Authority
US
United States
Prior art keywords
layer
approximately
pulsing
furnace
torr
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US08/915,517
Other versions
US5380331A (en
Inventor
Randhir P. S. Thakur
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
US Bank NA
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Priority to US08/915,517 priority Critical patent/US5994240A/en
Application granted granted Critical
Publication of US5994240A publication Critical patent/US5994240A/en
Assigned to U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT reassignment U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MICRON TECHNOLOGY, INC.
Assigned to MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT reassignment MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT PATENT SECURITY AGREEMENT Assignors: MICRON TECHNOLOGY, INC.
Anticipated expiration legal-status Critical
Assigned to U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT reassignment U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT CORRECTIVE ASSIGNMENT TO CORRECT THE REPLACE ERRONEOUSLY FILED PATENT #7358718 WITH THE CORRECT PATENT #7358178 PREVIOUSLY RECORDED ON REEL 038669 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY INTEREST. Assignors: MICRON TECHNOLOGY, INC.
Assigned to MICRON TECHNOLOGY, INC. reassignment MICRON TECHNOLOGY, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT
Assigned to MICRON TECHNOLOGY, INC. reassignment MICRON TECHNOLOGY, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step

Definitions

  • the present invention relates to the formation of semiconductor devices, and in particular to methods for the cleaning of semiconductor wafers and equipment, during fabrication.
  • preclean procedures exist for use prior to semiconductor surface modification in batch furnaces, including wet chemical cleans, hydrogen (H 2 ) bakes and hydrofluoric acid (HF) vapor cleans. Preclean procedures are important to both reduce native oxide and remove other contaminants, such as residual organic and metallic impurities.
  • a method of precleaning surfaces on a semiconductor substrate in-situ comprises the steps of: forming a first layer to be cleaned on a substrate; pulsing a reactive gas in the furnace at a temperature of between approximately 250 to 950 degrees C.; and forming a second layer on top of the cleaned first layer.
  • the pulsing step comprises pulsing at least one reactant gas, comprising NF 3 and GeH 4 , with at least one dilutant gas, comprised of at least one of the following: Ar, H 2 , HBr, and HCl.
  • Partial pressure of the reactant gas is between approximately 10 -8 and 700 Torr and the gas is flowed at a pressure of between approximately 4 ⁇ 10 3 and 20 ⁇ 10 3 Torr.
  • the furnace is comprised of either a horizontal batch-type, a vertical batch-type, or a single wafer chamber.
  • Single wafer chamber furnaces comprise the following: a rapid thermal processing chamber (RTP), a plasma-type chamber, or a rapid thermal chemical vapor deposition (RTCVD) chamber.
  • the first layer comprises a polysilicon bottom plate electrode for a DRAM capacitive cell and the second layer comprises a dielectric.
  • the first layer comprises amorphous silicon and the second layer comprises a hemispherical grain polysilicon bottom plate electrode for a DRAM capacitive cell.
  • further precleans are performed, wherein the first layer comprises a hemispherical grain polysilicon bottom plate electrode for a DRAM and the second layer comprises a dielectric.
  • the first layer comprises active areas of isolated gate field effect transistors and the second layer comprises an oxide layer.
  • Further embodiments of the invention comprise N 2 O, or a thin grown oxide, as the second layer and the further step of annealing in an NH 3 environment following the formation of the oxide.
  • the first layer comprises an insulating layer, which defines a contact hole
  • the second layer comprises a silicide.
  • Subsequent layers include TiN, deposited by chemical vapor deposition, and a metal, such as aluminum or tungsten. Further embodiments comprise metal as the second layer.
  • Precleaning semiconductor surfaces decreases the thermal budget of the process. Furthermore, the invention increases the throughput of the processing steps, as there is no need to move the wafers to a different environment in order to clean the surfaces.
  • a method for in-situ cleaning of equipment utilized in processing semiconductor substrates comprises the steps of: removing the semiconductor substrates from the equipment, which comprises a processing chamber; flowing gas, comprising at least one reactant gas and at least one dilutant gas, in the processing chamber at a temperature of between approximately 300 to 1,000 degrees Celsius; pulsing the reactant gas; and, purging the processing chamber.
  • the equipment comprises one of the following: a horizontal batch processing furnace, a vertical batch processing furnace, or a single wafer chamber.
  • Single wafer chambers are comprised of a rapid thermal processing chamber, a plasma-type chamber, or a rapid thermal chemical vapor deposition chamber.
  • the reactant gas comprises at least one of NF 3 and GeH 4
  • the dilutant gas comprises at least one of the following: Ar, H 2 , HBr, and HCl.
  • Partial pressure of the reactant gas is between approximately 10 -8 and 700 Torr and the gas is flowed at a pressure of between approximately 4 ⁇ 10 3 and 20 ⁇ 10 3 Torr.
  • the purging step comprises flowing inert gas in the processing chamber.
  • Such in-situ cleans remove deposited material from furnace walls and tubes.
  • such cleans are performed after multiple deposition steps. Cleaning the furnace also decreases the possibility of contamination from prior-deposited materials.
  • the method of this invention can be applied towards cleaning any processing equipment used in the manufacture of semiconductor devices.
  • FIG. 1 is a cross-sectional representation of a gate oxide layer formed after being cleaned in accordance with the present invention.
  • FIG. 2 is a cross-sectional representation of a DRAM container-type cell prior to being cleaned in accordance with the present invention.
  • FIG. 3 is a cross-sectional representation of a DRAM bottom plate electrode formed in a container-type cell after being cleaned in accordance with the present invention.
  • FIG. 4 is a cross-sectional representation of a DRAM capacitive layer formed in a container-type cell after being cleaned in accordance with the present invention.
  • FIG. 5 is a cross-sectional representation of a contact hole prior to being cleaned in accordance with the present invention.
  • FIG. 6 is a cross-sectional representation of a contact hole after being cleaned in accordance with the present invention and metallized.
  • a gate is formed on a semiconductor substrate.
  • the first layer formed is a gate oxide layer 110 on the substrate 112, as shown in FIG. 1.
  • the semiconductor substrate 112 Prior to forming the gate oxide layer 110, the semiconductor substrate 112 is precleaned in accordance with the method of the invention.
  • the precleaning step is followed by deposition of a N 2 O layer, or another thin oxide film which forms the gate oxide 110, and a NH 3 postanneal, to passivate the surface. Precleaning the surface in such a way allows for use of thinner gate oxides 110 due to the surface modifications resulting from the preclean.
  • the method of the invention smooths rough surfaces and removes impurities on semiconductor surfaces. Precleaning can be performed on any semiconductor surface, prior to forming any type of gate oxide layer 110.
  • precleaning is performed by applying a diluted reactant gas, NF 3 , to the substrate 112, as shown in FIG. 1, which is situated in a furnace.
  • the furnace type comprises one of the following: horizontal batch, vertical batch, or single wafer.
  • Single wafer furnaces comprise rapid thermal processing chambers (RTP), plasma-type chambers, or rapid thermal chemical vapor deposition (RTCVD) chambers.
  • NF 3 is applied in a pulsed flow, diluted in hydrogen, and pulsed at a temperature of between approximately 250 to 950 degrees Celsius.
  • Further embodiments of the invention comprise utilizing argon, or a similar inert gas, in combination with hydrogen, or alone, as a dilutant gas species.
  • Pulsing allows precise control of the reactant gas, resulting in better control of surface properties. Maintaining a constant concentration of gases in the furnace chamber at all times is critical when using highly dilute gases. Sampling frequency is adjusted accordingly to maintain a constant concentration at all times.
  • the desired concentration of the reactant gas is chosen from a range of a few parts per million to a few parts per billion.
  • Pulsing is applied either to a premixture of gases in a reactor before sending them into the furnace chamber, or to gases within the furnace chamber itself.
  • the same furnace is used as in the formation of a previous layer, or the next layer, to avoid having to move the substrate.
  • Pulsing occurs at a low reactant gas partial pressure of between 10 -8 to 700 Torr. Pressure in the furnace chamber is maintained at between approximately 4 ⁇ 10 3 to 20 ⁇ 10 3 Torr. High-aspect ratio (i.e., ratio of depth to width) holes are able to be modified using such high pressures. Furthermore, when high pressures are used, temperatures at which modification occurs are much lower than those enabled using conventional techniques.
  • Subsequent processing steps comprise depositing a gate oxide layer, such as N 2 O, and annealing in NH 3 . Annealing in an NH 3 ambient passivates the gate oxide layer surface.
  • a gate oxide layer such as N 2 O
  • the process chamber is purged to remove remaining gases by stopping reactant gas flows, flowing an inert gas, and pumping the chamber down to near vacuum levels.
  • a diluted reactant gas germane (GeH 4 ) is applied to the substrate 112, as shown in FIG. 1, which is situated in a furnace.
  • the furnace type can be: horizontal batch, vertical batch, or single wafer (RTP, RTCVD, or plasma-type). Germane is applied in a pulsed flow, diluted in hydrogen, and pulsed at a temperature of between approximately 250 to 950 degrees Celsius.
  • Further embodiments of the invention comprise utilizing argon, or a similar inert gas, in combination with hydrogen, or alone, as a dilutant gas species.
  • germane gas is diluted in a halogen-containing gas (such as HCl, or HBr).
  • a halogen-containing gas such as HCl, or HBr.
  • the halogen species effectively remove metallic contaminants.
  • a different germanium-containing gas may be used, without departing from the scope of the invention.
  • Such gases comprise the following: GeH 4 , Ge 2 H 6 , Ge 3 H 3 , GeH 3 Br, GeH 3 Cl, GeF 3 Cl, GeH 2 Br 2 , GeH 2 Cl 2 , GeCl 2 F 2 , GeHCl 3 , GeCl 2 F 2 , GeCl 4 , GeBr 4 , and GeHBr 3 .
  • concentration of the germane-containing reactant gas species ranges from between a few parts per million to a few parts per billion. Trace amounts of the halogen-containing gases are all that are need to remove metallic contaminants.
  • Pulsing allows precise control of the reactant gases, resulting in better control of surface properties. Maintaining a constant concentration of gases in the furnace chamber at all times is critical when using highly dilute gases. Sampling frequency is adjusted accordingly to maintain a constant concentration at all times.
  • Pulsing is applied either to a premixture of gases in a reactor before sending them into the furnace chamber, or to gases within the furnace chamber itself.
  • the same furnace is used as in the formation of a previous layer, or the next layer, to avoid having to move the substrate.
  • Pulsing occurs at a low reactant gas partial pressure of between 10 -8 to 700 Torr. Pressure in the furnace chamber is maintained at between approximately 4 ⁇ 10 3 to 20 ⁇ 10 3 Torr. High-aspect ratio (i.e., ratio of depth to width) holes are able to be modified using such high pressures. Furthermore, when high pressures are used, temperatures at which modification occurs are much lower than those enabled using conventional techniques. Germane gas is able to be used at low temperatures of between approximately 250 to 950 degrees Celsius to reduce native oxides because germane reacts with native oxides to form germanium oxides (GeO 2 or GeO). Increasing the furnace chamber pressure during the pulsing step allows for sublimation to occur at lower temperatures than in previously utilized conventional techniques.
  • Subsequent processing steps comprise depositing a gate oxide layer, such as N 2 O, and annealing in NH 3 .
  • Annealing in an NH 3 ambient passivates the gate oxide layer surface.
  • subsequent processing steps can, instead, comprise growing a thin oxide layer, such as SiO 2 , and then depositing a thick oxide layer, such as N 2 O, and annealing in NH 3 .
  • the process chamber is purged to remove remaining gases by stopping the reactant gas flows, flowing an inert gas, and pumping the chamber down to near vacuum levels.
  • a pulsed gas in combination with a low temperature anneal, more reliable gate dielectrics are formed.
  • the process is much easier to implement since silicon wafers need not be moved to different process machines between formation of subsequent layers due to the cleaning requirement. This in turn saves time and labor, as well as thermal budget by lowering the cleaning temperature in conjunction with using batch cleaning methods.
  • a semiconductor wafer indicated at 214 in FIG. 2 is made up of a number of different layers of material to form devices such as dynamic random access memory (DRAM) chips, on a semiconductor substrate 214.
  • DRAM dynamic random access memory
  • An important part of the formation of such layers is the cleaning of a newly formed layer to remove impurities and create a clean surface for the formation of the next layer.
  • Demands for increased capacity memory chips make removal of oxides and impurities a crucial step in achieving those demands.
  • an amorphous silicon layer 216 is formed of n+doped silicon and serves as a bottom plate for a memory cell capacitor shown in FIG. 2 is a trenched capacitor. Trenched capacitors are utilized to increase the circuit density on a chip. In order to increase the capacitance, processing steps are taken to roughen the surface of the bottom plate 216.
  • One such method is annealing the deposited amorphous silicon at a temperature of between approximately 580 to 650 degrees Celsius and a pressure of between approximately 10 -7 to 10 -8 Torr for approximately one to ten minutes, to form a layer 318 of hemispherical grain (HSG) polysilicon on the semiconductor substrate 314, as shown in FIG. 3.
  • HSG hemispherical grain
  • the bottom plate electrode may be formed according to other methods well known in the art.
  • it may comprise a polysilicon film. In that case, there is no need for the precleaning step recited above, because a HSG layer is not formed.
  • a thin dielectric layer 420 then be formed over the bottom plate electrode 418 in a DRAM cell to provide a dielectric layer 420 for the DRAM cell on the semiconductor substrate 414, as shown in FIG. 4.
  • a thinner dielectric layer 420 allows a smaller distance between the top and bottom capacitor plates, forming a stronger capacitor. Stronger capacitors provide better memory cell characteristics.
  • one danger of forming a thin dielectric layer is that it may not adequately electrically isolate the plates, resulting in poor cell characteristics.
  • Precleaning the bottom plate 418, after converting the amorphous silicon layer to the HSG layer 418 and prior to formation of the dielectric layer 420, is an important step in helping to eliminate such dangers.
  • the bottom plate electrode 418 is comprised of a plain, polysilicon film, such as that shown in FIG. 3 at 316, precleaning occurs subsequent to deposition of the polysilicon film 316 and prior to formation of the dielectric layer.
  • the process chamber is purged to remove remaining gases by stopping the reactant gas flows, flowing inert gas, and pumping the chamber down to near vacuum levels.
  • the process is much easier to implement since silicon wafers need not be moved to different process machines between formation of subsequent layers due to the cleaning requirement. This in turn saves time and labor, as well as thermal budget by lowering the cleaning temperature in conjunction with using batch cleaning methods.
  • Precleans in accordance with the present invention are also performed during formation of contacts. Contacts are formed to connect active device areas via metal interconnect lines. A contact hole opening 522 is defined within an insulating layer 524 on an active device region, shown in general at 526.
  • a layer of silicide 628 is then formed in accordance with methods well known in the art, as shown in FIG. 6. Such methods typically include deposition of a refractory metal, such as titanium, and annealing. However, other methods for forming silicides are well known in the art. Insulating layer 524 and active device region 526 are renumbered as 624 and 626 in FIG. 6. Prior to formation of the silicide layer 628, the contact hole 522, shown in FIG. 5, is precleaned in accordance with any of the methods of the present invention, as recited previously. Subsequent to formation of the silicide layer 628, process steps well known in the art complete formation of the contact.
  • a titanium nitride layer 630 is deposited by CVD, and a metal layer 632 such as aluminum, or tungsten, is then deposited over the structure.
  • a metal layer 632 such as aluminum, or tungsten
  • In-situ equipment cleans are performed after wafers are removed from the furnace. Such cleans remove deposited material from furnace walls and tubes.
  • the furnace comprises a vertical batch furnace, a horizontal batch furnace, or a single wafer chamber furnace.
  • Single wafer chamber furnaces comprise RTP, RTCVD, or plasmatype systems.
  • the cleaning process is comprised of three steps.
  • the first step comprises introducing reactant and dilutant gases into the furnace, without pulsing them.
  • the reactant gases and dilutant gases used are the same as described previously. Furthermore, they are applied in the same concentration, temperature, and pressure ranges.
  • the second step comprises pulsing germane, NF 3 , or a mixture of both gases, in a manner as described previously in relation to the in-situ wafer precleans.
  • the third step comprises purging the furnace chamber with inert gases. During equipment cleans, the furnace is maintained at elevated temperatures of between approximately 300 to 1,000 degrees C. However, the process is more flexible than that employed in precleaning wafers in-situ.
  • such cleans are performed after multiple deposition steps. Cleaning the furnace removes the possibility of subsequent deposition contamination from the prior-deposited materials. Cleaning in accordance with the present invention reduces the thermal budget considerably during the fabrication process.

Abstract

A low temperature in-situ precleaning process for a semiconductor surface is disclosed. Ambient reactant gases, such as NF3 and GeH4, having a partial pressure of between approximately 10-8 and 700 Torr, are pulsed in a batch furnace at temperatures in the approximate range of 250 to 950 degrees Celsius and pressure in the approximate range of 4×103 to 20×103 Torr. This forms material on the surface that easily vaporizes in that temperature and pressure range, providing a clean surface for formation of the next layer. A similar in-situ cleaning process is performed at temperature ranges of between approximately 300 to 1,000 degrees Celsius for the equipment utilized in processing semiconductor substrates.

Description

This application is a continuation of U.S. patent application Ser. No. 08/674,782, filed on Jul. 3, 1996 now abandoned.
FIELD OF THE INVENTION
The present invention relates to the formation of semiconductor devices, and in particular to methods for the cleaning of semiconductor wafers and equipment, during fabrication.
BACKGROUND OF THE INVENTION
During fabrication of semiconductor devices, many layers are deposited on semiconductor wafers while they are situated in furnaces. Targeted deposition areas are often not precisely controlled. Thus, deposited material accumulates on walls of the furnace, as well as on the semiconductor wafers, where it is desired. Furthermore, during deposition, the furnace tubes accumulate the material which is deposited. During fabrication, steps are taken to clean the tubes and walls of the furnace, in order to avoid obstruction of depositions due to large accumulations of prior-deposited materials. Prior-deposited materials are also unwanted in a fabrication furnace because they tend to introduce impurities into subsequently-deposited layers.
As multiple layers are formed in semiconductor devices, individual layers may also need to be cleaned before the next layer is formed or before surface modification is done. Numerous preclean procedures exist for use prior to semiconductor surface modification in batch furnaces, including wet chemical cleans, hydrogen (H2) bakes and hydrofluoric acid (HF) vapor cleans. Preclean procedures are important to both reduce native oxide and remove other contaminants, such as residual organic and metallic impurities.
One desire, to ensure a low cost of batch production of ultra large scale integrated (ULSI) semiconductor devices, is to clean the surfaces in-situ, or in other words, without moving the semiconductor wafers into a different device specifically for cleaning. Given the large number of wafers being used for semiconductor integrated circuit (IC) manufacturing, which are being processed in batch-type furnaces, the cleaning of furnace tubes and precleaning of wafers at different processing stages becomes difficult. It is expensive and time consuming to move and precisely align the wafers to a separate cleaning area between formation of different layers. The transfer also increases the chances of contamination, or requires increased expense in preventing contamination and reduces throughput.
In-situ cleans have been accomplished somewhat by using H2 bakes and HF vapor cleans in standard cluster types of vertical and horizontal batch furnaces. However, to achieve uniformity of the clean, high temperatures (1,000 to 1,200 degrees Celsius) are required for the H2 bake and chemicals must be utilized to increase the corrosion rate in a HF vapor clean. Such high temperatures, as is well known, increase the thermal budget and cause further undesired impurities, as well as the potential for structural degradation. In the high volume production of semiconductor devices, the cost, time, and throughput of devices is extremely important, and each has a great affect on profitability. There is a need for reducing the cost of IC fabrication, while still maintaining a long useful life of the fabrication equipment and effectively cleaning surfaces on the wafers. There is a further need for an effective cost reduced in-situ cleaning method for the production of semiconductor devices. There is also a need to provide such in-situ cleaning for furnaces capable of processing large batches of semiconductor devices.
SUMMARY OF THE INVENTION
In a furnace, a method of precleaning surfaces on a semiconductor substrate in-situ, comprises the steps of: forming a first layer to be cleaned on a substrate; pulsing a reactive gas in the furnace at a temperature of between approximately 250 to 950 degrees C.; and forming a second layer on top of the cleaned first layer. The pulsing step comprises pulsing at least one reactant gas, comprising NF3 and GeH4, with at least one dilutant gas, comprised of at least one of the following: Ar, H2, HBr, and HCl. Partial pressure of the reactant gas is between approximately 10-8 and 700 Torr and the gas is flowed at a pressure of between approximately 4×103 and 20×103 Torr. The furnace is comprised of either a horizontal batch-type, a vertical batch-type, or a single wafer chamber. Single wafer chamber furnaces comprise the following: a rapid thermal processing chamber (RTP), a plasma-type chamber, or a rapid thermal chemical vapor deposition (RTCVD) chamber.
In the fabrication of dynamic random access memory (DRAM) chips, the first layer comprises a polysilicon bottom plate electrode for a DRAM capacitive cell and the second layer comprises a dielectric. In further embodiments, the first layer comprises amorphous silicon and the second layer comprises a hemispherical grain polysilicon bottom plate electrode for a DRAM capacitive cell. In further embodiments of the invention, further precleans are performed, wherein the first layer comprises a hemispherical grain polysilicon bottom plate electrode for a DRAM and the second layer comprises a dielectric.
In the fabrication of transistors, such as isolated gate field effect transistors, the first layer comprises active areas of isolated gate field effect transistors and the second layer comprises an oxide layer. Further embodiments of the invention comprise N2 O, or a thin grown oxide, as the second layer and the further step of annealing in an NH3 environment following the formation of the oxide.
In the fabrication of contact holes, the first layer comprises an insulating layer, which defines a contact hole, and the second layer comprises a silicide. Subsequent layers include TiN, deposited by chemical vapor deposition, and a metal, such as aluminum or tungsten. Further embodiments comprise metal as the second layer.
Precleaning semiconductor surfaces, in accordance with one of the embodiments of the invention, decreases the thermal budget of the process. Furthermore, the invention increases the throughput of the processing steps, as there is no need to move the wafers to a different environment in order to clean the surfaces.
A method for in-situ cleaning of equipment utilized in processing semiconductor substrates, comprises the steps of: removing the semiconductor substrates from the equipment, which comprises a processing chamber; flowing gas, comprising at least one reactant gas and at least one dilutant gas, in the processing chamber at a temperature of between approximately 300 to 1,000 degrees Celsius; pulsing the reactant gas; and, purging the processing chamber. The equipment comprises one of the following: a horizontal batch processing furnace, a vertical batch processing furnace, or a single wafer chamber. Single wafer chambers are comprised of a rapid thermal processing chamber, a plasma-type chamber, or a rapid thermal chemical vapor deposition chamber.
The reactant gas comprises at least one of NF3 and GeH4, and the dilutant gas comprises at least one of the following: Ar, H2, HBr, and HCl. Partial pressure of the reactant gas is between approximately 10-8 and 700 Torr and the gas is flowed at a pressure of between approximately 4×103 and 20×103 Torr. The purging step comprises flowing inert gas in the processing chamber.
Such in-situ cleans remove deposited material from furnace walls and tubes. In an alternate embodiment, such cleans are performed after multiple deposition steps. Cleaning the furnace also decreases the possibility of contamination from prior-deposited materials. The method of this invention can be applied towards cleaning any processing equipment used in the manufacture of semiconductor devices.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 is a cross-sectional representation of a gate oxide layer formed after being cleaned in accordance with the present invention.
FIG. 2 is a cross-sectional representation of a DRAM container-type cell prior to being cleaned in accordance with the present invention.
FIG. 3 is a cross-sectional representation of a DRAM bottom plate electrode formed in a container-type cell after being cleaned in accordance with the present invention.
FIG. 4 is a cross-sectional representation of a DRAM capacitive layer formed in a container-type cell after being cleaned in accordance with the present invention.
FIG. 5 is a cross-sectional representation of a contact hole prior to being cleaned in accordance with the present invention.
FIG. 6 is a cross-sectional representation of a contact hole after being cleaned in accordance with the present invention and metallized.
DESCRIPTION OF THE EMBODIMENTS
In the following detailed description, reference is made to the accompanying drawings which form a part hereof, and in which is shown by way of illustration specific embodiments in which the invention may be practiced. These embodiments are described in sufficient detail to enable those skilled in the art to practice the invention, and it is to be understood that other embodiments may be utilized and that structural, logical and electrical changes may be made without departing from the spirit and scope of the present invention. The following detailed description is, therefore, not to be taken in a limiting sense, and the scope of the present invention is defined by the appended claims.
In the formation of a transistor, such as an insulated-gate field effect transistor, a gate is formed on a semiconductor substrate. The first layer formed is a gate oxide layer 110 on the substrate 112, as shown in FIG. 1. Prior to forming the gate oxide layer 110, the semiconductor substrate 112 is precleaned in accordance with the method of the invention. The precleaning step is followed by deposition of a N2 O layer, or another thin oxide film which forms the gate oxide 110, and a NH3 postanneal, to passivate the surface. Precleaning the surface in such a way allows for use of thinner gate oxides 110 due to the surface modifications resulting from the preclean. The method of the invention smooths rough surfaces and removes impurities on semiconductor surfaces. Precleaning can be performed on any semiconductor surface, prior to forming any type of gate oxide layer 110.
In one embodiment, precleaning is performed by applying a diluted reactant gas, NF3, to the substrate 112, as shown in FIG. 1, which is situated in a furnace. The furnace type comprises one of the following: horizontal batch, vertical batch, or single wafer. Single wafer furnaces comprise rapid thermal processing chambers (RTP), plasma-type chambers, or rapid thermal chemical vapor deposition (RTCVD) chambers. NF3 is applied in a pulsed flow, diluted in hydrogen, and pulsed at a temperature of between approximately 250 to 950 degrees Celsius. Further embodiments of the invention comprise utilizing argon, or a similar inert gas, in combination with hydrogen, or alone, as a dilutant gas species.
Pulsing allows precise control of the reactant gas, resulting in better control of surface properties. Maintaining a constant concentration of gases in the furnace chamber at all times is critical when using highly dilute gases. Sampling frequency is adjusted accordingly to maintain a constant concentration at all times. The desired concentration of the reactant gas is chosen from a range of a few parts per million to a few parts per billion.
Pulsing is applied either to a premixture of gases in a reactor before sending them into the furnace chamber, or to gases within the furnace chamber itself. Preferably, the same furnace is used as in the formation of a previous layer, or the next layer, to avoid having to move the substrate.
Pulsing occurs at a low reactant gas partial pressure of between 10-8 to 700 Torr. Pressure in the furnace chamber is maintained at between approximately 4×103 to 20×103 Torr. High-aspect ratio (i.e., ratio of depth to width) holes are able to be modified using such high pressures. Furthermore, when high pressures are used, temperatures at which modification occurs are much lower than those enabled using conventional techniques.
Subsequent processing steps comprise depositing a gate oxide layer, such as N2 O, and annealing in NH3. Annealing in an NH3 ambient passivates the gate oxide layer surface.
After each precleaning step, the process chamber is purged to remove remaining gases by stopping reactant gas flows, flowing an inert gas, and pumping the chamber down to near vacuum levels. By cleaning with a pulsed gas in combination with a low temperature anneal, more reliable gate dielectrics are formed. The process is much easier to implement since wafers need not be moved to different process machines between formation of subsequent layers due to the cleaning requirement. This in turn saves time and labor, as well as thermal budget by lowering the cleaning temperature in conjunction with using batch cleaning methods.
In another embodiment of the invention, a diluted reactant gas, germane (GeH4), is applied to the substrate 112, as shown in FIG. 1, which is situated in a furnace. The furnace type can be: horizontal batch, vertical batch, or single wafer (RTP, RTCVD, or plasma-type). Germane is applied in a pulsed flow, diluted in hydrogen, and pulsed at a temperature of between approximately 250 to 950 degrees Celsius.
Further embodiments of the invention comprise utilizing argon, or a similar inert gas, in combination with hydrogen, or alone, as a dilutant gas species. In yet a further embodiment, germane gas is diluted in a halogen-containing gas (such as HCl, or HBr). The halogen species effectively remove metallic contaminants. Furthermore, a different germanium-containing gas may be used, without departing from the scope of the invention. Such gases comprise the following: GeH4, Ge2 H6, Ge3 H3, GeH3 Br, GeH3 Cl, GeF3 Cl, GeH2 Br2, GeH2 Cl2, GeCl2 F2, GeHCl3, GeCl2 F2, GeCl4, GeBr4, and GeHBr3. The concentration of the germane-containing reactant gas species ranges from between a few parts per million to a few parts per billion. Trace amounts of the halogen-containing gases are all that are need to remove metallic contaminants.
Pulsing allows precise control of the reactant gases, resulting in better control of surface properties. Maintaining a constant concentration of gases in the furnace chamber at all times is critical when using highly dilute gases. Sampling frequency is adjusted accordingly to maintain a constant concentration at all times.
Pulsing is applied either to a premixture of gases in a reactor before sending them into the furnace chamber, or to gases within the furnace chamber itself. Preferably, the same furnace is used as in the formation of a previous layer, or the next layer, to avoid having to move the substrate.
Pulsing occurs at a low reactant gas partial pressure of between 10-8 to 700 Torr. Pressure in the furnace chamber is maintained at between approximately 4×103 to 20×103 Torr. High-aspect ratio (i.e., ratio of depth to width) holes are able to be modified using such high pressures. Furthermore, when high pressures are used, temperatures at which modification occurs are much lower than those enabled using conventional techniques. Germane gas is able to be used at low temperatures of between approximately 250 to 950 degrees Celsius to reduce native oxides because germane reacts with native oxides to form germanium oxides (GeO2 or GeO). Increasing the furnace chamber pressure during the pulsing step allows for sublimation to occur at lower temperatures than in previously utilized conventional techniques.
Subsequent processing steps comprise depositing a gate oxide layer, such as N2 O, and annealing in NH3. Annealing in an NH3 ambient passivates the gate oxide layer surface. However, subsequent processing steps can, instead, comprise growing a thin oxide layer, such as SiO2, and then depositing a thick oxide layer, such as N2 O, and annealing in NH3.
After each precleaning step, the process chamber is purged to remove remaining gases by stopping the reactant gas flows, flowing an inert gas, and pumping the chamber down to near vacuum levels. By cleaning with a pulsed gas in combination with a low temperature anneal, more reliable gate dielectrics are formed. The process is much easier to implement since silicon wafers need not be moved to different process machines between formation of subsequent layers due to the cleaning requirement. This in turn saves time and labor, as well as thermal budget by lowering the cleaning temperature in conjunction with using batch cleaning methods.
A semiconductor wafer indicated at 214 in FIG. 2 is made up of a number of different layers of material to form devices such as dynamic random access memory (DRAM) chips, on a semiconductor substrate 214. An important part of the formation of such layers is the cleaning of a newly formed layer to remove impurities and create a clean surface for the formation of the next layer. Demands for increased capacity memory chips make removal of oxides and impurities a crucial step in achieving those demands.
As indicated in FIG. 2, an amorphous silicon layer 216 is formed of n+doped silicon and serves as a bottom plate for a memory cell capacitor shown in FIG. 2 is a trenched capacitor. Trenched capacitors are utilized to increase the circuit density on a chip. In order to increase the capacitance, processing steps are taken to roughen the surface of the bottom plate 216. One such method is annealing the deposited amorphous silicon at a temperature of between approximately 580 to 650 degrees Celsius and a pressure of between approximately 10-7 to 10-8 Torr for approximately one to ten minutes, to form a layer 318 of hemispherical grain (HSG) polysilicon on the semiconductor substrate 314, as shown in FIG. 3. Prior to annealing the deposited amorphous silicon layer 216 in FIG. 2 to form the HSG layer 318 in FIG. 3, a precleaning step is performed, utilizing one of the embodiments described previously. In further embodiments of the invention, the bottom plate electrode may be formed according to other methods well known in the art. For example, it may comprise a polysilicon film. In that case, there is no need for the precleaning step recited above, because a HSG layer is not formed.
It is important that a thin dielectric layer 420 then be formed over the bottom plate electrode 418 in a DRAM cell to provide a dielectric layer 420 for the DRAM cell on the semiconductor substrate 414, as shown in FIG. 4. A thinner dielectric layer 420 allows a smaller distance between the top and bottom capacitor plates, forming a stronger capacitor. Stronger capacitors provide better memory cell characteristics. However, one danger of forming a thin dielectric layer is that it may not adequately electrically isolate the plates, resulting in poor cell characteristics. Precleaning the bottom plate 418, after converting the amorphous silicon layer to the HSG layer 418 and prior to formation of the dielectric layer 420, is an important step in helping to eliminate such dangers. However, if the bottom plate electrode 418 is comprised of a plain, polysilicon film, such as that shown in FIG. 3 at 316, precleaning occurs subsequent to deposition of the polysilicon film 316 and prior to formation of the dielectric layer.
After each precleaning, the process chamber is purged to remove remaining gases by stopping the reactant gas flows, flowing inert gas, and pumping the chamber down to near vacuum levels. By cleaning with a pulsed gas in combination with a low temperature anneal, more reliable capacitor dielectrics are formed. The process is much easier to implement since silicon wafers need not be moved to different process machines between formation of subsequent layers due to the cleaning requirement. This in turn saves time and labor, as well as thermal budget by lowering the cleaning temperature in conjunction with using batch cleaning methods.
Precleans in accordance with the present invention are also performed during formation of contacts. Contacts are formed to connect active device areas via metal interconnect lines. A contact hole opening 522 is defined within an insulating layer 524 on an active device region, shown in general at 526.
A layer of silicide 628 is then formed in accordance with methods well known in the art, as shown in FIG. 6. Such methods typically include deposition of a refractory metal, such as titanium, and annealing. However, other methods for forming silicides are well known in the art. Insulating layer 524 and active device region 526 are renumbered as 624 and 626 in FIG. 6. Prior to formation of the silicide layer 628, the contact hole 522, shown in FIG. 5, is precleaned in accordance with any of the methods of the present invention, as recited previously. Subsequent to formation of the silicide layer 628, process steps well known in the art complete formation of the contact. Typically, a titanium nitride layer 630 is deposited by CVD, and a metal layer 632 such as aluminum, or tungsten, is then deposited over the structure. By precleaning contacts prior to silicidation, the resistance of the contacts is decreased, forming better contacts. The method of the invention can, however, be applied to precleaning contact hole surfaces prior to metallization, in which suicides are not utilized, without departing from the scope of the invention. In that case, the first layer to be precleaned is an insulating layer, and the second layer comprises a metal.
In-situ equipment cleans are performed after wafers are removed from the furnace. Such cleans remove deposited material from furnace walls and tubes. The furnace comprises a vertical batch furnace, a horizontal batch furnace, or a single wafer chamber furnace. Single wafer chamber furnaces comprise RTP, RTCVD, or plasmatype systems.
Such furnace cleans are performed after each deposition step. The cleaning process is comprised of three steps. The first step comprises introducing reactant and dilutant gases into the furnace, without pulsing them. The reactant gases and dilutant gases used are the same as described previously. Furthermore, they are applied in the same concentration, temperature, and pressure ranges. The second step comprises pulsing germane, NF3, or a mixture of both gases, in a manner as described previously in relation to the in-situ wafer precleans. The third step comprises purging the furnace chamber with inert gases. During equipment cleans, the furnace is maintained at elevated temperatures of between approximately 300 to 1,000 degrees C. However, the process is more flexible than that employed in precleaning wafers in-situ.
In an alternate embodiment, such cleans are performed after multiple deposition steps. Cleaning the furnace removes the possibility of subsequent deposition contamination from the prior-deposited materials. Cleaning in accordance with the present invention reduces the thermal budget considerably during the fabrication process.
It is to be understood that the above description is intended to be illustrative, and not restrictive. At any point in the fabrication process during which a preclean step is necessary, the invention can be utilized. The method of the invention can be utilized to clean any equipment utilized in the manufacture of semiconductor devices. The results of using the invention are a decrease in thermal budget and an increase in the throughput of the fabrication process. Many other embodiments will be apparent to those of skill in the art upon reviewing the above description. The scope of the invention should, therefore, be determined with reference to the appended claims, along with the full scope of equivalents to which such claims are entitled.

Claims (33)

What is claimed is:
1. In a furnace, a method of precleaning surfaces on a semiconductor substrate in-situ, comprising:
a) forming a first layer to be cleaned on a substrate;
b) pulsing at least one reactant gas, comprising NF3 and GeH4 in the furnace, wherein the pulsing step comprises a processing chamber reactant gas partial pressure of between approximately 10-8 and 700 Torr, and wherein the pulsing step comprises a processing chamber pressure of between approximately 4×103 and 20×103 Torr, at a temperature of between approximately 250 to 950 degrees Celsius; and,
c) forming a second layer on top of the cleaned first layer.
2. The method of claim 1, wherein the first layer comprises amorphous silicon.
3. The method of claim 2, wherein the second layer comprises a hemispherical grain polysilicon bottom plate electrode for a dynamic random access memory device capacitive cell.
4. The method of claim 1, wherein the first layer comprises a polysilicon bottom plate electrode for a dynamic random access memory device capacitive cell.
5. The method of claim 1, wherein the first layer comprises a hemispherical grain polysilicon bottom plate electrode for a dynamic random access memory device capacitive cell.
6. The method of claim 1, wherein the second layer comprises a dielectric layer.
7. The method of claim 1, wherein the first layer comprises a semiconductor substrate and the second layer comprises an oxide layer.
8. The method of claim 7, wherein the second layer comprises N2 O, and further comprising the step of annealing in an NH3 environment following the formation of the second layer.
9. The method of claim 7, wherein the second layer comprises a thin grown oxide and further comprising the steps of depositing a thick oxide layer and annealing in an NH3 environment following the formation of the second layer.
10. The method of claim 1, wherein the first layer comprises an insulating layer, which defines a contact hole, and the second layer comprises a silicide.
11. The method of claim 1, wherein the first layer comprises an insulating layer, which defines a contact hole, and the second layer comprises a metal.
12. The method of claim 1, wherein the pulsing step comprises pulsing at least one reactant gas, comprising NF3 and GeH4, with another gas, comprised of at least one of the following: Ar, H2, HBr, and HCl.
13. The method of claim 1, wherein the furnace is comprised of either a horizontal batch-type, a vertical batch-type, or a single wafer chamber.
14. The method of claim 13, wherein the single wafer chamber is comprised of a rapid thermal processing chamber, a plasma-type chamber, or a rapid thermal chemical vapor deposition chamber.
15. In a furnace, a method of precleaning surfaces on a semiconductor substrate in-situ, comprising the steps of:
a) forming a first layer to be cleaned on a substrate;
b) providing NF3 and GeH4 in the furnace at a temperature of between approximately 250 to 950 degrees Celsius and a partial pressure of between approximately 10-8 and 700 Torr to clean the first layer; and,
c) forming a second layer on top of the cleaned first layer.
16. A method for in-situ cleaning of equipment utilized in processing semiconductor substrates, comprising the steps of:
a) removing the semiconductor substrates from the equipment, which comprises a processing chamber;
b) flowing gas, comprising at least one reactant gas and at least one dilutant gas, in the processing chamber at a temperature of between approximately 300 to 1,000 degrees Celsius and a reactant gas partial pressure of between approximately 10-8 and 700 Torr; and,
c) purging the processing chamber.
17. In a furnace, a method of precleaning surfaces on a semiconductor substrate in-situ, comprising:
forming a first layer to be cleaned on a substrate; and
pulsing at least one reactant gas, comprising NF3 and GeH4 in the furnace, wherein the pulsing step comprises a processing chamber reactant gas partial pressure of between approximately 10-8 and 700 Torr, and wherein the pulsing step comprises a processing chamber pressure of between approximately 4×103 and 20×103 Torr, at a temperature of between approximately 250 to 950 degrees Celsius.
18. The method of claim 17, wherein the first layer comprises a polysilicon bottom plate electrode for a dynamic random access memory device capacitive cell.
19. The method of claim 17, wherein the first layer comprises a hemispherical grain polysilicon bottom plate electrode for a dynamic random access memory device capacitive cell.
20. The method of claim 17, wherein the pulsing step comprises pulsing at least one reactant gas, comprising NF3 and GeH4, with another gas, comprised of at least one of the following: Ar, H2, HBr, and HCl.
21. In a furnace, a method of precleaning surfaces on a semiconductor substrate in-situ, comprising:
a) forming a first layer to be cleaned on a substrate;
b) pulsing at least one reactant gas, comprising GeH4 in the furnace, wherein the pulsing step comprises a processing chamber reactant gas partial pressure of between approximately 10-8 and 700 Torr, and wherein the pulsing step comprises a processing chamber pressure of between approximately 4×103 and 20×103 Torr, at a temperature of between approximately 250 to 950 degrees Celsius to clean the first layer; and,
c) forming a second layer on top of the cleaned first layer following cleaning of the first layer.
22. The method of claim 21, wherein the first layer comprises a polysilicon bottom plate electrode for a dynamic random access memory device capacitive cell.
23. The method of claim 21, wherein the first layer comprises a hemispherical grain polysilicon bottom plate electrode for a dynamic random access memory device capacitive cell.
24. The method of claim 21, wherein the pulsing step comprises pulsing at least one reactant gas, comprising NF3 and GeH4, with another gas, comprised of at least one of the following: Ar, H2, HBr, and HCl.
25. The method of claim 21, wherein the second layer comprises a dielectric layer.
26. The method of claim 21, wherein the first layer comprises a semiconductor substrate and the second layer comprises an oxide layer.
27. The method of claim 21, wherein the second layer comprises N2 O, and further comprising the step of annealing in an NH3 environment following the formation of the second layer.
28. The method of claim 21, wherein the second layer comprises a thin grown oxide and further comprising the steps of depositing a thick oxide layer and annealing in an NH3 environment following the formation of the second layer.
29. The method of claim 21, wherein the first layer comprises an insulating layer, which defines a contact hole, and the second layer comprises a silicide.
30. The method of claim 21, wherein the first layer comprises an insulating layer, which defines a contact hole, and the second layer comprises a metal.
31. In a furnace, a method of precleaning surfaces on a semiconductor substrate in-situ, comprising:
a) forming a first layer to be cleaned on a substrate; and
b) pulsing at least one reactant gas, comprising GeH4 in the furnace, wherein the pulsing step comprises a processing chamber reactant gas partial pressure of between approximately 10-8 and 700 Torr, and wherein the pulsing step comprises a processing chamber pressure of between approximately 4×103 and 20×103 Torr, at a temperature of between approximately 250 to 950 degrees Celsius.
32. In a furnace, a method of precleaning surfaces on a semiconductor substrate in-situ, comprising:
forming a first layer to be cleaned on a substrate; and
pulsing at least one of NF3 and GeH4 with a dilutant gas comprised of at least one of the following: Ar, H2, HBr, and HCl to clean the first layer, wherein the pulsing step comprises a processing chamber reactant gas partial pressure of between approximately 10-8 and 700 Torr, and wherein the pulsing step comprises a processing chamber pressure of between approximately 4×103 and 20×103 Torr.
33. In a furnace, a method of precleaning surfaces on a semiconductor substrate in-situ, comprising:
pulsing at least one of NF3 and GeH4 with a dilutant gas comprised of at least one of the following: Ar, H2, HBr, and HCl to clean the substrate, wherein the pulsing step comprises a processing chamber reactant gas partial pressure of between approximately 10-8 and 700 Torr, and wherein the pulsing step comprises a processing chamber pressure of between approximately 4×103 and 20×103 Torr.
US08/915,517 1996-07-03 1997-08-13 Method for cleaning semiconductor wafers Expired - Lifetime US5994240A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US08/915,517 US5994240A (en) 1996-07-03 1997-08-13 Method for cleaning semiconductor wafers

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US67478296A 1996-07-03 1996-07-03
US08/915,517 US5994240A (en) 1996-07-03 1997-08-13 Method for cleaning semiconductor wafers

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US67478296A Continuation 1996-07-03 1996-07-03

Publications (1)

Publication Number Publication Date
US5994240A true US5994240A (en) 1999-11-30

Family

ID=24707869

Family Applications (2)

Application Number Title Priority Date Filing Date
US08/831,611 Expired - Lifetime US5963833A (en) 1996-07-03 1997-04-10 Method for cleaning semiconductor wafers and
US08/915,517 Expired - Lifetime US5994240A (en) 1996-07-03 1997-08-13 Method for cleaning semiconductor wafers

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US08/831,611 Expired - Lifetime US5963833A (en) 1996-07-03 1997-04-10 Method for cleaning semiconductor wafers and

Country Status (1)

Country Link
US (2) US5963833A (en)

Cited By (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6124210A (en) * 1999-03-05 2000-09-26 Canon Sales Co., Inc. Method of cleaning surface of substrate and method of manufacturing semiconductor device
US6509278B1 (en) 1999-09-02 2003-01-21 Micron Technology, Inc. Method of forming a semiconductor contact that includes selectively removing a Ti-containing layer from the surface
US20030020111A1 (en) * 2001-07-16 2003-01-30 Bevan Malcolm J. Economic and low thermal budget spacer nitride process
US6528427B2 (en) 2001-03-30 2003-03-04 Lam Research Corporation Methods for reducing contamination of semiconductor substrates
US6531389B1 (en) * 1999-12-20 2003-03-11 Taiwan Semiconductor Manufacturing Company Method for forming incompletely landed via with attenuated contact resistance
US20030168001A1 (en) * 2002-03-08 2003-09-11 Sundew Technologies, Llc ALD method and apparatus
US20030201185A1 (en) * 2002-04-29 2003-10-30 Applied Materials, Inc. In-situ pre-clean for electroplating process
US20040118697A1 (en) * 2002-10-01 2004-06-24 Applied Materials, Inc. Metal deposition process with pre-cleaning before electrochemical deposition
US6852167B2 (en) 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US20050229947A1 (en) * 2002-06-14 2005-10-20 Mykrolis Corporation Methods of inserting or removing a species from a substrate
US7205218B2 (en) 2002-06-05 2007-04-17 Micron Technology, Inc. Method including forming gate dielectrics having multiple lanthanide oxide layers
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US7554161B2 (en) 2002-06-05 2009-06-30 Micron Technology, Inc. HfAlO3 films for gate dielectrics
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7670646B2 (en) 2002-05-02 2010-03-02 Micron Technology, Inc. Methods for atomic-layer deposition
US7728626B2 (en) 2002-07-08 2010-06-01 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US7759747B2 (en) 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
US7776765B2 (en) 2006-08-31 2010-08-17 Micron Technology, Inc. Tantalum silicon oxynitride high-k dielectrics and metal gates
US7869242B2 (en) 1999-07-30 2011-01-11 Micron Technology, Inc. Transmission lines for CMOS integrated circuits
US7972974B2 (en) 2006-01-10 2011-07-05 Micron Technology, Inc. Gallium lanthanide oxide films
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8501563B2 (en) 2005-07-20 2013-08-06 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US11380556B2 (en) 2018-05-25 2022-07-05 Lam Research Corporation Thermal atomic layer etch with rapid temperature cycling
US11637022B2 (en) 2018-07-09 2023-04-25 Lam Research Corporation Electron excitation atomic layer etch

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5644166A (en) * 1995-07-17 1997-07-01 Micron Technology, Inc. Sacrificial CVD germanium layer for formation of high aspect ratio submicron VLSI contacts
US6331482B1 (en) * 1996-06-26 2001-12-18 Micron Technology, Inc. Method of VLSI contact, trench, and via filling using a germanium underlayer with metallization
US6362096B1 (en) 1998-07-31 2002-03-26 Streag Cvd Systems Ltd Wafer processing with water vapor pumping
US6191011B1 (en) * 1998-09-28 2001-02-20 Ag Associates (Israel) Ltd. Selective hemispherical grain silicon deposition
DE19921847C2 (en) * 1999-05-11 2002-08-01 Bosch Gmbh Robert Structural body with stochastic surface structuring and capacitive sensor with such a structural body
US6949450B2 (en) * 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7074677B1 (en) * 2002-11-29 2006-07-11 Fasl Llc Memory with improved charge-trapping dielectric layer
JP3574651B2 (en) * 2002-12-05 2004-10-06 東京エレクトロン株式会社 Film forming method and film forming apparatus
JP4811870B2 (en) * 2004-04-09 2011-11-09 東京エレクトロン株式会社 Ti film and TiN film forming method and contact structure, and computer-readable storage medium and computer program
US20060276038A1 (en) * 2005-06-03 2006-12-07 Pun Arthur F Thermal desorption of oxide from surfaces
US20070267143A1 (en) * 2006-05-16 2007-11-22 Applied Materials, Inc. In situ cleaning of CVD system exhaust
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US9159571B2 (en) * 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8252679B2 (en) * 2010-02-10 2012-08-28 United Microelectronics Corp. Semiconductor process
US8828850B2 (en) 2010-05-20 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing variation by using combination epitaxy growth
KR101271248B1 (en) * 2011-08-02 2013-06-07 주식회사 유진테크 Equipment for manufacturing semiconductor
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
CN113862634A (en) 2012-03-27 2021-12-31 诺发系统公司 Tungsten feature fill
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
CN103556127A (en) * 2013-11-13 2014-02-05 上海华力微电子有限公司 Cleaning method of vapor deposition film-forming equipment
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
CN111095488A (en) 2017-08-14 2020-05-01 朗姆研究公司 Metal filling process for three-dimensional vertical NAND word lines
KR20200140391A (en) 2018-05-03 2020-12-15 램 리써치 코포레이션 Method of depositing tungsten and other metals on 3D NAND structures

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4786352A (en) * 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning
US5089441A (en) * 1990-04-16 1992-02-18 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafers
US5180435A (en) * 1987-09-24 1993-01-19 Research Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semiconductor layer
US5264396A (en) * 1993-01-14 1993-11-23 Micron Semiconductor, Inc. Method for enhancing nitridation and oxidation growth by introducing pulsed NF3
US5296258A (en) * 1992-09-30 1994-03-22 Northern Telecom Limited Method of forming silicon carbide
US5326723A (en) * 1992-09-09 1994-07-05 Intel Corporation Method for improving stability of tungsten chemical vapor deposition
US5403434A (en) * 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
US5413670A (en) * 1993-07-08 1995-05-09 Air Products And Chemicals, Inc. Method for plasma etching or cleaning with diluted NF3
US5472508A (en) * 1991-08-09 1995-12-05 Saxena; Arjun N. Apparatus for selective chemical vapor deposition of dielectric, semiconductor and conductive films on semiconductor and metallic substrates
US5658391A (en) * 1993-06-22 1997-08-19 Micron Technology, Inc. Method of chamber cleaning in MOCVD application

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4786352A (en) * 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning
US5180435A (en) * 1987-09-24 1993-01-19 Research Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semiconductor layer
US5089441A (en) * 1990-04-16 1992-02-18 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafers
US5472508A (en) * 1991-08-09 1995-12-05 Saxena; Arjun N. Apparatus for selective chemical vapor deposition of dielectric, semiconductor and conductive films on semiconductor and metallic substrates
US5326723A (en) * 1992-09-09 1994-07-05 Intel Corporation Method for improving stability of tungsten chemical vapor deposition
US5296258A (en) * 1992-09-30 1994-03-22 Northern Telecom Limited Method of forming silicon carbide
US5264396A (en) * 1993-01-14 1993-11-23 Micron Semiconductor, Inc. Method for enhancing nitridation and oxidation growth by introducing pulsed NF3
US5658391A (en) * 1993-06-22 1997-08-19 Micron Technology, Inc. Method of chamber cleaning in MOCVD application
US5413670A (en) * 1993-07-08 1995-05-09 Air Products And Chemicals, Inc. Method for plasma etching or cleaning with diluted NF3
US5403434A (en) * 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer

Cited By (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6124210A (en) * 1999-03-05 2000-09-26 Canon Sales Co., Inc. Method of cleaning surface of substrate and method of manufacturing semiconductor device
US7869242B2 (en) 1999-07-30 2011-01-11 Micron Technology, Inc. Transmission lines for CMOS integrated circuits
US6815368B2 (en) 1999-09-02 2004-11-09 Micron Technology, Inc. Semiconductor substrate cleaning
US6509278B1 (en) 1999-09-02 2003-01-21 Micron Technology, Inc. Method of forming a semiconductor contact that includes selectively removing a Ti-containing layer from the surface
US20050023684A1 (en) * 1999-09-02 2005-02-03 Micron Technology, Inc. Semiconductor substrate cleaning
US20030107074A1 (en) * 1999-09-02 2003-06-12 Micron Technology, Inc. Semiconductor substrate cleaning
US7087534B2 (en) 1999-09-02 2006-08-08 Micron Technology, Inc. Semiconductor substrate cleaning
US6531389B1 (en) * 1999-12-20 2003-03-11 Taiwan Semiconductor Manufacturing Company Method for forming incompletely landed via with attenuated contact resistance
US6852167B2 (en) 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US6528427B2 (en) 2001-03-30 2003-03-04 Lam Research Corporation Methods for reducing contamination of semiconductor substrates
US20040137741A1 (en) * 2001-03-30 2004-07-15 Robert Chebi Methods for reducing contamination of semiconductor substrates
US6759336B1 (en) 2001-03-30 2004-07-06 Lam Research Corporation Methods for reducing contamination of semiconductor substrates
US20030020111A1 (en) * 2001-07-16 2003-01-30 Bevan Malcolm J. Economic and low thermal budget spacer nitride process
US20030168001A1 (en) * 2002-03-08 2003-09-11 Sundew Technologies, Llc ALD method and apparatus
US7608539B2 (en) 2002-03-08 2009-10-27 Sundew Technologies, Llc ALD method and apparatus
US20100003404A1 (en) * 2002-03-08 2010-01-07 Sundew Technologies, Llc Ald method and apparatus
US7250083B2 (en) 2002-03-08 2007-07-31 Sundew Technologies, Llc ALD method and apparatus
US20070243325A1 (en) * 2002-03-08 2007-10-18 Sundew Technologies, Llc ALD method and apparatus
US20030201185A1 (en) * 2002-04-29 2003-10-30 Applied Materials, Inc. In-situ pre-clean for electroplating process
US7670646B2 (en) 2002-05-02 2010-03-02 Micron Technology, Inc. Methods for atomic-layer deposition
US7205218B2 (en) 2002-06-05 2007-04-17 Micron Technology, Inc. Method including forming gate dielectrics having multiple lanthanide oxide layers
US7554161B2 (en) 2002-06-05 2009-06-30 Micron Technology, Inc. HfAlO3 films for gate dielectrics
US8093638B2 (en) 2002-06-05 2012-01-10 Micron Technology, Inc. Systems with a gate dielectric having multiple lanthanide oxide layers
US20050229947A1 (en) * 2002-06-14 2005-10-20 Mykrolis Corporation Methods of inserting or removing a species from a substrate
US8228725B2 (en) 2002-07-08 2012-07-24 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US7728626B2 (en) 2002-07-08 2010-06-01 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US20040118697A1 (en) * 2002-10-01 2004-06-24 Applied Materials, Inc. Metal deposition process with pre-cleaning before electrochemical deposition
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
WO2006076438A1 (en) * 2005-01-13 2006-07-20 Entegris, Inc. Methods of inserting or removing a species from a semiconductor substrate
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US8921914B2 (en) 2005-07-20 2014-12-30 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US8501563B2 (en) 2005-07-20 2013-08-06 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US9583334B2 (en) 2006-01-10 2017-02-28 Micron Technology, Inc. Gallium lanthanide oxide films
US7972974B2 (en) 2006-01-10 2011-07-05 Micron Technology, Inc. Gallium lanthanide oxide films
US9129961B2 (en) 2006-01-10 2015-09-08 Micron Technology, Inc. Gallium lathanide oxide films
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US8043972B1 (en) 2006-06-30 2011-10-25 Novellus Systems, Inc. Adsorption based material removal process
US8772851B2 (en) 2006-08-31 2014-07-08 Micron Technology, Inc. Dielectrics containing at least one of a refractory metal or a non-refractory metal
US8759170B2 (en) 2006-08-31 2014-06-24 Micron Technology, Inc. Hafnium tantalum oxynitride dielectric
US8168502B2 (en) 2006-08-31 2012-05-01 Micron Technology, Inc. Tantalum silicon oxynitride high-K dielectrics and metal gates
US7776765B2 (en) 2006-08-31 2010-08-17 Micron Technology, Inc. Tantalum silicon oxynitride high-k dielectrics and metal gates
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
US8084370B2 (en) 2006-08-31 2011-12-27 Micron Technology, Inc. Hafnium tantalum oxynitride dielectric
US8466016B2 (en) 2006-08-31 2013-06-18 Micron Technolgy, Inc. Hafnium tantalum oxynitride dielectric
US8951880B2 (en) 2006-08-31 2015-02-10 Micron Technology, Inc. Dielectrics containing at least one of a refractory metal or a non-refractory metal
US8519466B2 (en) 2006-08-31 2013-08-27 Micron Technology, Inc. Tantalum silicon oxynitride high-K dielectrics and metal gates
US8557672B2 (en) 2006-08-31 2013-10-15 Micron Technology, Inc. Dielectrics containing at least one of a refractory metal or a non-refractory metal
US7759747B2 (en) 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
US8114763B2 (en) 2006-08-31 2012-02-14 Micron Technology, Inc. Tantalum aluminum oxynitride high-K dielectric
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
US8617348B1 (en) 2007-12-13 2013-12-31 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
US10679868B2 (en) 2015-01-06 2020-06-09 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
US11380556B2 (en) 2018-05-25 2022-07-05 Lam Research Corporation Thermal atomic layer etch with rapid temperature cycling
US11637022B2 (en) 2018-07-09 2023-04-25 Lam Research Corporation Electron excitation atomic layer etch

Also Published As

Publication number Publication date
US5963833A (en) 1999-10-05

Similar Documents

Publication Publication Date Title
US5994240A (en) Method for cleaning semiconductor wafers
KR101084590B1 (en) Semiconductor device and manufacturing method thereof
US6350708B1 (en) Silicon nitride deposition method
US7288284B2 (en) Post-cleaning chamber seasoning method
US20060223308A1 (en) Apparatus for manufacturing a semiconductor device and method of forming the same
JP2004193575A (en) Semiconductor process and device related therewith
EP0454308B1 (en) Process for fabricating low defect polysilicon
KR100482372B1 (en) Method of forming gate oxide layer in semiconductor devices
KR100297628B1 (en) Method for manufacturing semiconductor devices
US20010012667A1 (en) Clustered system and method for formation of integrated circuit devices
US5492854A (en) Method of manufacturing semiconductor device
US7384486B2 (en) Chamber cleaning method
US6143618A (en) Procedure for elimating flourine degradation of WSix /oxide/polysilicon capacitors
US6800553B2 (en) Method for manufacturing a silicide layer of semiconductor device
KR100495921B1 (en) Method of fabrication semiconductor device for remove stress
KR100250519B1 (en) Method for manufacturing semiconductor device
KR100292218B1 (en) Method of fabricating semiconductor device
JP3034377B2 (en) Method for manufacturing capacitor electrode in semiconductor device
KR100292088B1 (en) Method of fabricating semiconductor device
KR100329614B1 (en) Capacitor Formation Method of Semiconductor Device
KR19990048782A (en) Capacitor Formation Method of Semiconductor Device
KR100256244B1 (en) Deposition method of poly silicon contact film in a semiconductor device
KR20030050652A (en) Method for forming tungsten layer
KR100364804B1 (en) Method for Fabricating of Semiconductor Device
KR100338939B1 (en) Fabricating method of capacitor

Legal Events

Date Code Title Description
STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12

AS Assignment

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT, CALIFORNIA

Free format text: SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038669/0001

Effective date: 20160426

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGEN

Free format text: SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038669/0001

Effective date: 20160426

AS Assignment

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT, MARYLAND

Free format text: PATENT SECURITY AGREEMENT;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038954/0001

Effective date: 20160426

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL

Free format text: PATENT SECURITY AGREEMENT;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038954/0001

Effective date: 20160426

AS Assignment

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT, CALIFORNIA

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE REPLACE ERRONEOUSLY FILED PATENT #7358718 WITH THE CORRECT PATENT #7358178 PREVIOUSLY RECORDED ON REEL 038669 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:043079/0001

Effective date: 20160426

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGEN

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE REPLACE ERRONEOUSLY FILED PATENT #7358718 WITH THE CORRECT PATENT #7358178 PREVIOUSLY RECORDED ON REEL 038669 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:043079/0001

Effective date: 20160426

AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT;REEL/FRAME:047243/0001

Effective date: 20180629

AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT;REEL/FRAME:050937/0001

Effective date: 20190731