US5693541A - Method for manufacturing a semiconductor device using a silicon nitride mask - Google Patents

Method for manufacturing a semiconductor device using a silicon nitride mask Download PDF

Info

Publication number
US5693541A
US5693541A US08/518,364 US51836495A US5693541A US 5693541 A US5693541 A US 5693541A US 51836495 A US51836495 A US 51836495A US 5693541 A US5693541 A US 5693541A
Authority
US
United States
Prior art keywords
film
amorphous silicon
silicon film
substrate
silicon nitride
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US08/518,364
Inventor
Shunpei Yamazaki
Hongyong Zhang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Energy Laboratory Co Ltd
Original Assignee
Semiconductor Energy Laboratory Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Energy Laboratory Co Ltd filed Critical Semiconductor Energy Laboratory Co Ltd
Assigned to SEMICONDUCTOR ENERGY LABORATORY CO., LTD. reassignment SEMICONDUCTOR ENERGY LABORATORY CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: YAMAZAKI, SHUNPEI, ZHANG, HONGYONG
Application granted granted Critical
Publication of US5693541A publication Critical patent/US5693541A/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02422Non-crystalline insulating materials, e.g. glass, polymers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02488Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02672Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using crystallisation enhancing elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • H01L21/02686Pulsed laser beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • H01L29/66757Lateral single gate single channel transistors with non-inverted structure, i.e. the channel layer is formed before the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • H01L29/78621Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure with LDD structure or an extension or an offset region or characterised by the doping profile
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/016Catalyst

Definitions

  • the present invention relates to an apparatus and a method for manufacturing semiconductor devices which use semiconductor material having crystallinity.
  • a thin film transistor (hereinafter referred to as "TFT") using thin film semiconductor material has been well known.
  • the TFT is constructed by forming thin film semiconductor on a substrate and using the thin film semiconductor.
  • the TFT has been used for various integrated circuits, especially an electrooptical device, and particularly much attention is paid to the TFT as a switching device which is provided to each pixel of an active matrix type of liquid crystal display device, or a driver device formed in its peripheral circuits.
  • Amorphous silicon film is most easily used as thin film semiconductor for the TFT, however, it has a problem that its electric characteristics is not good. In order to improve the characteristics of the TFT, it is a better way to use a silicon film having crystallinity as thin film semiconductor.
  • the crystalline silicon film is known as polycrystal silicon, polysilicon or microcrystal silicon or the like. In order to obtain this crystalline silicon, an amorphous silicon film is first formed and then crystallized by heating.
  • the crystallization by heating requires a heating temperature of 600° C. or more and a heating time above 10 hours, so that it is difficult to use a glass substrate as a substrate.
  • a glass strain temperature of Corning 7059 glass which is used for an active matrix type liquid crystal display device is equal to 593° C. Therefore, the heating temperature exceeding 600° C. causes some problem when a large-scale substrate is required to be used.
  • an area where crystal growth is made in a substantially perpendicular direction to the substrate in a region which is directly doped with catalysts (hereinafter referred to as “longitudinal growth area”) is used as a device-forming area.
  • catalysts are selectively added, and an area where crystal growth is made in a substantially horizontal direction to the substrate in a peripheral region of the catalysts-doped region (hereinafter referred to as "lateral growth area) is used as a device-forming area.
  • An object of the present invention is to improve the apparatus and method disclosed in the Japanese Patent Application No. Hei-5-294633, and it is to provide an apparatus and a method of manufacturing thin film semiconductor having crystallinity by a heating treatment at a temperature of 600° C. or less using catalysts, which has higher controllability, larger process margin and higher productivity than the Japanese patent Application No. Hei-5-294633.
  • a method of manufacturing a semiconductor device comprises a step of forming a silicon oxide film and an amorphous silicon film on a substrate having an insulating surface, a step of performing a heat treatment on the film-formed substrate sequentially to the film-forming step without exposing the film-formed substrate to atmospheric air to thereby remove hydrogen, a step of forming a silicon nitride film on the hydrogen-removed substrate sequentially to the hydrogen-removing step, a step of patterning the silicon nitride film to selectively expose the amorphous silicon film, a step of doping metal elements so as to be contacted with the exposed amorphous silicon film to promote crystal growth of the exposed amorphous silicon film, and a step of performing a heat treatment on the amorphous silicon film to crystallize the amorphous silicon film in a direction parallel to the substrate from an area in which the metal elements are doped.
  • an apparatus for manufacturing semiconductor devices comprises a first treatment chamber for forming a silicon oxide film and an amorphous silicon film on a substrate having an insulating surface, a second treatment chamber for performing a heat treatment on the film-formed substrate to remove hydrogen therefrom sequentially to the film-forming operation in the first treatment chamber without exposing the substrate to atmospheric air, a third treatment chamber for forming a silicon nitride film on the hydrogen-removed substrate sequentially to the hydrogen-removing operation in the second treatment chamber, and a common chamber which commonly intercommunicates with the first treatment chamber, the second treatment chamber and the third treatment chamber, wherein the first, second and third treatment chambers are designed in a hermetic structure, and the common chamber has means for feeding a substrate or sample.
  • a method of manufacturing semiconductor devices comprises a step of forming a silicon oxide film and an amorphous silicon film on a substrate having an insulating surface, a step of performing a heat treatment on the film-formed substrate sequentially to the film-forming step without exposing the film-formed substrate to atmospheric air to thereby remove hydrogen, a step of forming a silicon nitride film on the hydrogen-removed substrate sequentially to the hydrogen-removing step, a step of patterning the silicon nitride film in the form of an active layer to selectively expose the amorphous silicon film, a step of doping metal elements so as to be contacted with the exposed amorphous silicon film to promote crystal growth of the exposed amorphous silicon film, a step of performing a heat treatment on the amorphous silicon film to crystallize the amorphous silicon film in a direction parallel to the substrate from an area in which the metal elements are doped, and a step of patterning the crystallized silicon film using the silicon
  • a method of manufacturing semiconductor devices comprises a step of forming a silicon nitride film as a mask to form an active layer on an amorphous silicon film which is formed on a substrate having an insulating surface, a step of doping metal elements using the silicon nitride film as a mask to promote crystal growth of the amorphous silicon film, a step of performing a heat treatment to crystallize the amorphous silicon film, and a step of forming an active layer using the silicon nitride film as a mask.
  • FIGS. 1A to 1C are diagrams showing a series of processes of manufacturing a semiconductor device of an embodiment according to the present invention.
  • FIG. 2 shows an apparatus of manufacturing a semiconductor device
  • FIG. 3 shows the apparatus of manufacturing a semiconductor device
  • FIGS. 4A to 4F are diagrams showing a series of processes of manufacturing a semiconductor device
  • FIGS. 5A to 5D are diagrams showing a series of processes of manufacturing a semiconductor device.
  • FIG. 6 shows a semiconductor device which is manufactured according to the present invention.
  • an undercoat film of silicon oxide is formed on a glass substrate such as a Corning 7059 substrate or the like, and an amorphous silicon film 12 is formed at a thickness of 100 to 5000 ⁇ , preferably from 500 to 800 ⁇ on the substrate 11 by a plasma CVD treatment or a pressure-reduced heating CVD treatment.
  • a mask material film 21 which is typically formed of silicon oxide film is formed on the amorphous silicon film 12, and an opening portion through which nickel will be added is formed in the mask material 21 so that the amorphous silicon film below the mask material 21 is exposed to the opening portion.
  • the surface of the amorphous silicon film which is exposed to the opening portion is oxidized thinly if occasion demands (it is represented by reference numeral 20 in FIG. 1), and nickel is doped into the amorphous silicon film with solution 14 containing nickel.
  • the substrate which has been doped with nickel by the method as described above is subjected to a heat treatment at 450° to 600° C., typically at about 550° C. under an atmosphere of inert gas such as N 2 or the like or under an oxidizing atmosphere to form a crystalline silicon film 25 whose crystal growth is made in a lateral direction.
  • a heat treatment at 450° to 600° C., typically at about 550° C. under an atmosphere of inert gas such as N 2 or the like or under an oxidizing atmosphere to form a crystalline silicon film 25 whose crystal growth is made in a lateral direction.
  • the following crystal growth method using the lateral crystal growth having high reproducibility and high controllability includes; a step of continuously (sequentially) forming a silicon oxide film and an amorphous silicon film on a glass substrate, a step of performing a heat treatment on the film-formed substrate sequentially to the film-forming step without being exposed to atmospheric air to remove hydrogen from the substrate, a step of forming a silicon nitride film on the hydrogen-removed substrate sequentially to the hydrogen-removing step, a step of subjecting the substrate having the silicon oxide film, the amorphous silicon film and the silicon nitride film formed thereon to a patterning treatment and an etching treatment of the silicon nitride film to partially expose the amorphous silicon film, a step of coating the substrate with solution containing nickel to doped nickel into the selectively exposed amorphous silicon film, and a step of performing a heat treatment on the nickel-coated substrate to crystallize the
  • an apparatus in which a silicon oxide film, an amorphous silicon film and a silicon nitride film can be formed in succession and a heat treatment (hydrogen removing process) can be performed without exposing these films to atmospheric air even only once is required as a multipurpose substrate treatment device.
  • the multipurpose substrate treatment includes plural pressure-reducible treatment chambers, and a common pressure-reducible chamber through which the plural treatment chambers intercommunicate with one another, and which has substrate feeding means for feeding the substrate between the common chamber and each treatment chamber, wherein at least one of the treatment chambers is capable of forming a silicon oxide film using a plasma CVD method, at least one of the treatment chambers is capable of forming silicon nitride film using a plasma CVD method, at least one of the treatment chambers is capable of forming an amorphous silicon film using a plasma CVD method, and at least one of the treatment chambers is capable of performing a heat treatment at 400° C. or more on plural substrates at the same time.
  • FIGS. 2 and 3 The apparatus thus constructed is shown in FIGS. 2 and 3.
  • the apparatus shown in FIG. 2 can be used for multipurpose, and it can be constructed by combining the desired number of treatment chambers which are used to perform desired film forming processes and annealing processes.
  • the substrate to be treated in the apparatus shown in FIG. 2 may be used a glass substrate, a silicon substrate, an insulating substrate, a semiconductor substrate or the like. Namely, any substrate may be used insofar as it has an insulating surface.
  • a glass substrate which is inexpensive is generally used for an electrooptical device such as an active matrix type liquid crystal display device, an image sensor or the like.
  • the apparatus may be constructed as follows. That is, a chamber 301 is used as a substrate carry chamber which corresponds to the common chamber, chambers 306 and 307 of treatment chambers in which various kinds of treatments are performed are used as spare chambers, one of which is used for feed-in of the substrate and the other of which is used for feed-out of the substrate, a chamber 302 is used as a plasma CVD apparatus for forming an insulation film, a chamber 303 is used as a plasma CVD apparatus for forming an amorphous silicon film, a chamber 304 is used as a plasma CVD apparatus for forming a silicon nitride film, and a chamber 305 is used as a heat treatment furnace to remove hydrogen.
  • a chamber 301 is used as a substrate carry chamber which corresponds to the common chamber
  • chambers 306 and 307 of treatment chambers in which various kinds of treatments are performed are used as spare chambers, one of which is used for feed-in of the substrate and the other of which is used for feed-out of the substrate
  • the apparatus In the apparatus thus constructed, only the heat treatment process needs a long treatment time of several hours and it becomes the main factor of reducing the total throughput of this process. Therefore, it is important to construct the apparatus so that plural substrates 322 are simultaneously heated by a heater 310, carried to a substrate feeding position by a stage 315 if necessary, and then carried to a next process while fed by a robot arm 314.
  • the spare chambers may be also called as treatment chambers in the meaning that these chambers have functions of feeding in and out the substrate.
  • the respective treatment chambers are partitioned from one another by gate valves 308-313, and they can be independently and individually decompressed by vacuum pumps 319-321 respectively, so that gas occurring at a treatment time can be prevented from contaminating into another treatment chamber.
  • the substrates 322 are carried by the robot arm 314, and the throughput can be improved by the multitask.
  • any combination like the combination shown in FIG. 2 can be freely performed.
  • the elements to be combined may be used plasma CVD, pressure-reduced heat CVD (hereinafter referred to as "LPCVD"), photo CVD, microwave CVD, heating furnace, anneal furnace by light irradiation, sputtering, plasma anneal, plasma etching (isotropic or anisotropic).
  • LPCVD pressure-reduced heat CVD
  • photo CVD microwave CVD
  • heating furnace anneal furnace by light irradiation, sputtering, plasma anneal, plasma etching (isotropic or anisotropic).
  • nickel used as catalyst.
  • Another material usable as catalyst may be preferably used one or several kinds of elements selected from Ni, Pd, Pt, Cu, Ag, Au, In, Sn, Pd, Sn, Pd, P, As, Sb.
  • a silicon nitride film of 500 ⁇ is selectively formed and nickel is selectively doped using this silicon nitride film as a mask.
  • a silicon oxide film of 2000 ⁇ and an amorphous silicon film 12 of 100-1500 ⁇ are sequentially formed on a glass substrate (Corning 7059, 10 cm square) by the plasma CVD method using the apparatus shown in FIGS. 2 and 3.
  • the amorphous silicon film 12 is formed at a thickness of 1000 ⁇ .
  • a film forming condition of the silicon oxide film is as follows: film forming pressure of 0.1-1 torr (0.3 torr in this embodiment), the ratio of TEOS:O 2 is set to 1:10, RF power of 1-500 W (300 W in this embodiment) and a substrate temperature of 100°-500° C. (400° C. in this embodiment).
  • a film forming condition of the amorphous silicon film is as follows: film forming pressure of 0.1-1 torr (0.3 torr in this embodiment), film forming gas of monosilane, RF power of 1-100 W (35 W in this embodiment) and a substrate temperature of 100°-300° C. (160° C. in this embodiment). (FIG. 1(A))
  • the substrate is fed to the heat treatment chamber 305 without exposing the substrate to atmospheric air, and a heat treatment at 350°-550° C., 400° C. in this case, for one hour under N 2 atmosphere is performed on the substrate to remove hydrogen from the amorphous silicon film 12 which is formed by the plasma CVD method.
  • the silicon nitride film 21 is patterned in a desired pattern by an ordinary photolitho-patterning process, and a thin silicon oxide film 20 is formed by irradiation of uv rays under an oxygen atmosphere.
  • the formation of the silicon oxide film 20 is performed by irradiation of uv rays for 5 minutes under the oxygen atmosphere.
  • the thickness of the silicon oxide film 20 is guessed to be about 20-50 ⁇ (FIG. 1A).
  • an adequate doping can be performed with only hydrophilic property of the silicon oxide film when the solution and the pattern size are matched to each other. However, such a case is very rare, and it is generally safety to use the silicon oxide film 20.
  • a heat treatment is performed for 8 hours at 550° C. (nitrogen atmosphere) to crystallize the amorphous silicon film 12.
  • the crystal growth is made in a lateral (horizontal) direction by about 40 ⁇ m from a nickel-doped region 22 to a nickel-undoped region as indicated by an arrow 23.
  • reference numeral 24 represents the region in which nickel was directly doped and thus crystallization occurred
  • reference numeral 25 represents the region in which the lateral crystallization occurred. It was confirmed that the crystal growth was made substantially along ⁇ 111> axis in the region 25.
  • the nickel concentration in the nickel-doped region where nickel was directly doped can be controlled to be in the range from 1 ⁇ 10 16 atoms cm -3 to 1 ⁇ 10 19 atoms cm -3 by changing the solution concentration and the keeping time.
  • the concentration in the lateral growth region can be also controlled to be below the above range.
  • the concentration of the catalysts is small and the degree of crystallization is excellent in the region where the lateral crystal growth is made, and thus it is useful to utilize this region as an active region for a semiconductor device. For example, it is remarkably effective to use this region as a channel-forming region for a thin film transistor.
  • an electronic device is formed using a region which was selectively doped with nickel in the same manner as the embodiment 1 and in which the crystal growth was performed in a lateral direction (a direction parallel to the substrate) from a nickel-doped area.
  • the nickel concentration can be reduced to a lower level, and thus this construction is remarkably preferable in view of electric stability and reliability of the device.
  • FIG. 4 shows a series of manufacturing processes of this embodiment.
  • a substrate 201 is cleaned, and then an undercoat film 202 of silicon oxide is formed at a thickness of 2000 ⁇ by a plasma CVD method using TEOS (tetraethoxysilane) and oxygen as source gas in the multipurpose substrate treatment apparatus shown in FIGS. 2 and 3.
  • TEOS tetraethoxysilane
  • oxygen as source gas in the multipurpose substrate treatment apparatus shown in FIGS. 2 and 3.
  • an intrinsic (type I) amorphous silicon film 203 of 500-1500 ⁇ in thickness, for example 1000 ⁇ , is formed by the plasma CVD method.
  • a heat treatment at 450° C. for one hour is performed using a heat treatment furnace 305 to remove hydrogen.
  • solution (acetate solution in this embodiment) containing nickel which is catalyst prompting crystallization is coated on the substrate according to the method as described in the embodiment 1.
  • the nickel concentration in the acetate solution is set to 100 ppm.
  • the other processes and conditions are the same as those of the embodiment 1.
  • a heat annealing treatment at 500°-620° C., for example, at 550° C. under nitrogen atmosphere is performed for four hours to crystallize a silicon film 303.
  • the crystallization starts from an area where nickel and silicon film are contacted with each other, and progresses in parallel to the substrate as shown by an arrow.
  • the region 204 represents a portion where nickel was directly doped and crystallized
  • the region 203 represents a portion where the crystallization progressed in the lateral direction. It is confirmed that the crystallization in the lateral direction as represented by reference numeral 203 is extended by about 25 microns, and the direction of the crystal growth is substantially in parallel to the direction of ⁇ 111> axis. (FIG. 4A).
  • the crystalline silicon film 204 is subjected to the dry etching treatment to form islands using the silicon nitride film 205 as a mask.
  • an etch-off treatment can be performed on the directly-doped region 206 having high nickel concentration.
  • the region having high nickel concentration was designed not to be overlapped with a channel-forming region in an active layer 208.
  • the silicon nitride film 208 is etched with heated phosphoric acid to form the islandish active layer region 208.
  • the active layer (silicon film) 208 is left under an atmosphere of 10 atms containing 100 vol. % water vapor at 500°-600° C., typically 550° C. to oxidize the surface of the active layer and form a silicon oxide film 209.
  • the thickness of the silicon oxide film is set to 1000 ⁇ .
  • the substrate is kept at 400° C. temperature under an ammonia atmosphere (1 atm, 100%). In this state, infrared rays having a peak in the range 0.6-4 ⁇ m, for example 0.8-1.4 ⁇ m is irradiated to the substrate for 30-180 seconds, and a nitridation treatment is performed on the silicon oxide film 209. In this case, 0.1-10% HCl may be mixed into the atmosphere.
  • a halogen lamp is used as the infrared ray source.
  • the intensity of the infrared ray is controlled so that the temperature on a single crystal silicon wafer which is used as a monitor is in the range of 900°-1200° C.
  • the temperature of a thermo couple which is buried in the silicon wafer is monitored, and fed back to the infrared ray source.
  • a temperature increasing (heating) rate is set to be constant, 50°-200° C./sec
  • a temperature decreasing (cooling) rate is set to be a naturally cooling rate, 20°-100° C./sec.
  • the silicon film is selectively heated by the infrared ray irradiation, so that it can suppress the heating of the glass substrate to the minimum. (FIG. 4B).
  • an aluminum (containing 0.01-0.2% of scandium) film of 3000-8000 ⁇ in thickness, for example 6000 ⁇ , is formed by a sputtering method.
  • the aluminum film is subjected to the patterning treatment to form a gate electrode 210 (FIG. 2C).
  • an oxide layer 211 is formed on the surface of the aluminum electrode by performing anodic oxidization on the surface.
  • the anodic oxidization is performed in ethylene glycol solution containing 1-5% of tartaric acid.
  • the thickness of the oxide layer 211 is equal to 2000 ⁇ .
  • the thickness of the oxide layer 211 corresponds to an offset gate region which will be formed in a subsequent ion-doping process, and thus the length of the offset gate region can be determined in the above anodic oxidation process (FIG. 4D).
  • impurities in this case, phosphorus which can provide N-type conductivity is doped in self-alignment into an active region (constructing source/drain and channel regions) using a gate electrode portion, that is, a gate electrode 210 and its peripheral oxide layer 211 as a mask by an ion-doping method (called as a plasma doping method).
  • Phosphine (PH 3 ) is used as doping gas, and an acceleration voltage is set to 60-90 kV, for example 80 kV.
  • a dose amount is set to 1 ⁇ 10 15 -8 ⁇ 10 15 cm -2 , for example 4 ⁇ 10 15 cm -2 .
  • N-type impurity regions 212 and 213 can be formed.
  • the impurity region and the gate electrode are kept in such an offset state as to be away from each other at a distance x.
  • the offset state like this is particularly effective to reduce a leak current (called as "off current") occurring when a reverse voltage (minus voltage for N channel TFT) is applied to the gate electrode.
  • off current a leak current occurring when a reverse voltage (minus voltage for N channel TFT) is applied to the gate electrode.
  • the leak current is desired to be low so that no charges stored in pixel electrodes leak to obtain a good image in the case of TFTs which control active matrix pixels like this embodiment.
  • an anneal process is performed by laser irradiation.
  • a KrF excimer laser (wavelength 248 nm, pulse width 20 nsec) is utilized as a laser light source, but another type of laser may be used.
  • the condition of laser irradiation is as follows: the energy density of irradiated laser is 200-400 mJ/cm 2 , for example 250 mJ/cm 2 , and irradiation is repeated at 2-10 times, for example 2 times every irradiation target point. In this case, the effect could be enhanced if the substrate is heated at 200°-450° C. during the laser irradiation process (FIG. 4E).
  • a silicon oxide film 214 of 6000 ⁇ thickness is formed as a layer insulator by the plasma CVD method.
  • a transparent polyimide film 215 is formed by a spin coating method, and the surface thereof is flattened.
  • a transparent electroconductive film (ITO film) of 800 ⁇ thickness is formed on the surface of the polyimide film thus formed by a sputtering method, and then patterned to form a pixel electrode 216.
  • contact holes are formed in layer insulators 214, 215, and electrodes/wires 217 and 218 of the TFTs are formed of metal material, for example, a multilayer film of titanium nitride and aluminum.
  • an anneal treatment is performed at 350° C. for 30 minutes under a hydrogen atmosphere of 1 atom to complete an active matrix pixel circuit having the TFTs (FIG. 4F).
  • FIGS. 5A to 5D are cross-sectional views showing a series of manufacturing processes in a third embodiment of the present invention.
  • an undercoat film 102 of silicon oxide is formed at a thickness of 2000 ⁇ on a glass substrate (Corning 7059) 501 by the sputtering method.
  • the substrate is annealed at a temperature higher than the distortion temperature and then gradually cooled to a temperature below the distortion temperature at 0.1°-1.0° C./minute before or after the undercoat film is formed, a mask alignment work can be facilitated because contraction of the substrate can be suppressed in a subsequent process containing a temperature increasing step (containing a thermal oxidization process and subsequent thermal anneal process of this invention).
  • the substrate it is preferable to anneal the substrate at 620°-660° C. for 1-4 hours, then gradually cool the substrate at 0.03°-1.0° C./min, preferably 0.1°-0.3° C./min and then take out the substrate at a time when the temperature is reduced to 400°-500° C.
  • a silicon oxide film, an amorphous silicon film and a silicon nitride film are sequentially formed by the plasma CVD method in the same manner as the embodiment 2.
  • the amorphous silicon film is crystallized by the same method as the embodiment 2, and then the annealing treatment is performed under a nitrogen atmosphere (1 atm) at 600° C. for 48 hours to crystallize the silicon film.
  • the silicon film is subjected to the patterning treatment into 10°-1000 ⁇ m square parts to form islandish silicon films (active layers of TFTs) 503 (FIG. 5A).
  • an oxygen atmosphere of 1 atm, 500°-750° C., typically 600° C. containing 70-90% of water vapor was formed by a pyrogenetic reaction method at hydrogen/oxygen ratio of 1.5-1.9.
  • the substrate is kept in this atmosphere for 3 to 5 hours to oxidize the surface of the silicon surface and form a silicon oxide film 504 of 500-1500 ⁇ , for example, 1000 ⁇ in thickness.
  • the thickness of the surface of the initial silicon film is reduced by 50 ⁇ or more by the oxidization, so that contamination on the uppermost surface of the silicon film does not extend to the silicon-silicon oxide interface, that is, clean silicon-silicon oxide interface can be obtained.
  • the thickness of the silicon oxide film is set to be twice as large as that of the silicon film to be oxidized, and thus the thickness of the residual silicon film is 500 ⁇ when the silicon film of 1000 ⁇ is oxidized to form the silicon oxide film of 1000 ⁇ .
  • the initial amorphous silicon film is thicker, the crystallization of the amorphous silicon film is more facilitated. Accordingly, there have been conventionally some conflict between characteristics and easiness of the process with respect to the thickness of the active layer.
  • the invention gives the first solution to the conflict. Namely, a high-quality crystalline silicon film is obtained by forming a thicker amorphous silicon film before crystallization, and then the silicon film is oxidized to thin the silicon film, whereby the characteristics of the TFT is improved.
  • the substrate is annealed at 600° C. for 2 hours under dinitrogen monoxide atmosphere (1 atm, 100%) (FIG. 5B).
  • polycrystal silicon (containing 0.01-0.2% of phosphorus) of 3000-8000 ⁇ thickness, 6000 ⁇ for example, is formed by a low pressure CVD method.
  • a gate electrode 505 is formed by patterning the silicon film.
  • impurities phosphorus in this case
  • impurities which provide N-type conductivity are doped in self-alignment into an active region (constructing source/drain and channel regions) using the silicon film as a mask by the ion-doping method (called as "plasma doping method”).
  • Phosphine (PH 3 ) is used as doping gas and an acceleration voltage is set to 60-90 kV, 80 kV for example.
  • a dose amount is set to 1 ⁇ 10 15 -8 ⁇ 10 15 cm -2 , 5 ⁇ 10 15 cm -2 for example.
  • N-type impurity regions 506 and 507 are formed.
  • an anneal process is performed by laser irradiation.
  • a KrF excimer laser (wavelength 248 nm, pulse width 20 nsec) is utilized as a laser light source, however, another type of laser may be used.
  • the condition of laser irradiation is as follows: the energy density of irradiated laser is 200-400 mJ/cm 2 , for example 250 mJ/cm 2 , and irradiation is repeated at 2-10 times, for example 2 times every irradiation point.
  • the enhancement of the effect can be performed if the substrate is heated at 200°-450° C. during the irradiation process (FIG. 5C).
  • This process may be performed using a Lamp anneal method using near infrared ray.
  • the near infrared ray is more liable to be absorbed by crystallized silicon than amorphous silicon, and thus an effective annealing treatment which is equivalent to the thermal anneal of 1000° C. or more can be performed.
  • the near infrared rays are hard to be adsorbed by the glass substrate (far infrared rays are absorbed by the glass substrate but visible light and near infrared rays (wavelength 0.5-4 ⁇ m) are hard to be absorbed by the glass substrate), it is unnecessary to heat the glass substrate to a high temperature and a process time is very short. Accordingly, this is the best method in a process which induces a contraction problem of a glass substrate.
  • a silicon oxide film 508 of 6000 ⁇ is formed as a layer insulator by the plasma CVD method.
  • Polyimide may be used as the layer insulator.
  • contact holes are formed, and then electrode/wire 509 and 510 of a TFT are formed of metal material, for example a multilayer film of titanium nitride and aluminum.
  • the anneal treatment is performed at 350° C. for 30 minutes under 1 atm hydrogen atmosphere to complete the TFT (FIG. 5D).
  • the mobility and S-value of the TFT obtained by the method as described above is measured to be 110-150 cm 2 /Vs and 0.2-0.5 V/digit, respectively. Further, when P-channel type TFT in which boron is doped into a source/drain region in the same method is formed, the mobility of 90-120 cm 2 /Vs and S-value of 0.4 to 0.6 V/digit are obtained. This mobility value is higher by 20% or more and this S-value is lower by 20% as compared with those of a case where a gate insulating film is formed by a well-known PVD method or CVD method.
  • the TFT which is obtained according to the method of this embodiment has equivalent or more excellent reliability as compared with the TFT which is produced by the high temperature thermal oxidization of 1000° C.
  • FIG. 6 is an plan view showing the outline of one substrate of the active matrix type liquid crystal display device.
  • reference numeral 61 represents a glass substrate and reference numeral 63 represents a pixel area in which pixels of several hundreds X several hundreds are arranged in a matrix form. Each of these pixels is provided with a TFT as a switching element.
  • Driver TFTs for driving the TFTs in the pixel area are provided in peripheral driver areas 62.
  • the pixel area 63 and the driver area 62 are integrally formed into one body on the same substrate 61.
  • the TFTs placed in the driver regions 62 require a high mobility characteristic because large current are required to flow through these TFTs.
  • the TFTs placed in the pixel region 63 requires a small off-current (leak current) characteristic because they must keep charges at the pixel electrodes fixedly.
  • TFTs which are produced by a simple laser crystallization method using no nickel can be used as the TFTs placed in the pixel region 63. In this case, the laser anneal is performed with the same energy as the crystallization of the nickel-doped peripheral region.
  • a crystalline silicon film which is crystallized with low energy without nickel as described above has a lower mobility due to low crystallinity as compared to a crystalline silicon film produced with use of nickel.
  • it has an advantage that the off-current is low as a whole, and thus no problem occurs even when it is used for pixels.

Abstract

An amorphous silicon film is formed on a glass substrate by a CVD method, and a mask is formed of a silicon nitride film. Then, nickel is selectively doped into the amorphous silicon film by spin-coating solution containing nickel onto the amorphous silicon film. Thereafter, the amorphous silicon film is crystallized by a thermal treatment.

Description

BACKGROUND OF THE INVENTION
1. Field of the Invention
The present invention relates to an apparatus and a method for manufacturing semiconductor devices which use semiconductor material having crystallinity.
2. Description of the Related Art
A thin film transistor (hereinafter referred to as "TFT") using thin film semiconductor material has been well known. The TFT is constructed by forming thin film semiconductor on a substrate and using the thin film semiconductor. The TFT has been used for various integrated circuits, especially an electrooptical device, and particularly much attention is paid to the TFT as a switching device which is provided to each pixel of an active matrix type of liquid crystal display device, or a driver device formed in its peripheral circuits.
Amorphous silicon film is most easily used as thin film semiconductor for the TFT, however, it has a problem that its electric characteristics is not good. In order to improve the characteristics of the TFT, it is a better way to use a silicon film having crystallinity as thin film semiconductor. The crystalline silicon film is known as polycrystal silicon, polysilicon or microcrystal silicon or the like. In order to obtain this crystalline silicon, an amorphous silicon film is first formed and then crystallized by heating.
However, the crystallization by heating requires a heating temperature of 600° C. or more and a heating time above 10 hours, so that it is difficult to use a glass substrate as a substrate. For example, a glass strain temperature of Corning 7059 glass which is used for an active matrix type liquid crystal display device is equal to 593° C. Therefore, the heating temperature exceeding 600° C. causes some problem when a large-scale substrate is required to be used.
SUMMARY OF THE INVENTION
In order to solve the above problems, the same inventors as this application previously proposed a method of manufacturing semiconductor devices as disclosed in Japanese patent Application No. Hei-5-294633. In the method as disclosed in this patent application, a crystalline silicon film was obtained by adding catalysts, especially nickel to an amorphous silicon film with solution and performing a heating treatment at a low temperature and for a short time. The present invention has been made to improve the apparatus and method of manufacturing semiconductor devices according to the Japanese patent Application No. Hei-5-294633 which was previously proposed by the inventors of this application, and through various studies on the above apparatus and method, the inventors of this application have proposed the following two crystallizing methods. In one method, an area where crystal growth is made in a substantially perpendicular direction to the substrate in a region which is directly doped with catalysts (hereinafter referred to as "longitudinal growth area") is used as a device-forming area. On the other hand, in the other method, catalysts are selectively added, and an area where crystal growth is made in a substantially horizontal direction to the substrate in a peripheral region of the catalysts-doped region (hereinafter referred to as "lateral growth area) is used as a device-forming area.
Through various studies of these two crystallizing methods, it has been concluded that the latter method using the lateral crystal growth process is more preferable on the characteristics of completed devices, and the inventors have made a further consideration on this lateral-growth crystallizing method.
An object of the present invention is to improve the apparatus and method disclosed in the Japanese Patent Application No. Hei-5-294633, and it is to provide an apparatus and a method of manufacturing thin film semiconductor having crystallinity by a heating treatment at a temperature of 600° C. or less using catalysts, which has higher controllability, larger process margin and higher productivity than the Japanese patent Application No. Hei-5-294633.
In order to attain above object, according to a first aspect of the present invention, a method of manufacturing a semiconductor device, comprises a step of forming a silicon oxide film and an amorphous silicon film on a substrate having an insulating surface, a step of performing a heat treatment on the film-formed substrate sequentially to the film-forming step without exposing the film-formed substrate to atmospheric air to thereby remove hydrogen, a step of forming a silicon nitride film on the hydrogen-removed substrate sequentially to the hydrogen-removing step, a step of patterning the silicon nitride film to selectively expose the amorphous silicon film, a step of doping metal elements so as to be contacted with the exposed amorphous silicon film to promote crystal growth of the exposed amorphous silicon film, and a step of performing a heat treatment on the amorphous silicon film to crystallize the amorphous silicon film in a direction parallel to the substrate from an area in which the metal elements are doped.
According to another aspect of the present invention, an apparatus for manufacturing semiconductor devices, comprises a first treatment chamber for forming a silicon oxide film and an amorphous silicon film on a substrate having an insulating surface, a second treatment chamber for performing a heat treatment on the film-formed substrate to remove hydrogen therefrom sequentially to the film-forming operation in the first treatment chamber without exposing the substrate to atmospheric air, a third treatment chamber for forming a silicon nitride film on the hydrogen-removed substrate sequentially to the hydrogen-removing operation in the second treatment chamber, and a common chamber which commonly intercommunicates with the first treatment chamber, the second treatment chamber and the third treatment chamber, wherein the first, second and third treatment chambers are designed in a hermetic structure, and the common chamber has means for feeding a substrate or sample.
According to another aspect of the present invention, a method of manufacturing semiconductor devices, comprises a step of forming a silicon oxide film and an amorphous silicon film on a substrate having an insulating surface, a step of performing a heat treatment on the film-formed substrate sequentially to the film-forming step without exposing the film-formed substrate to atmospheric air to thereby remove hydrogen, a step of forming a silicon nitride film on the hydrogen-removed substrate sequentially to the hydrogen-removing step, a step of patterning the silicon nitride film in the form of an active layer to selectively expose the amorphous silicon film, a step of doping metal elements so as to be contacted with the exposed amorphous silicon film to promote crystal growth of the exposed amorphous silicon film, a step of performing a heat treatment on the amorphous silicon film to crystallize the amorphous silicon film in a direction parallel to the substrate from an area in which the metal elements are doped, and a step of patterning the crystallized silicon film using the silicon nitride film as a mask to form an active layer.
According to another aspect of the present invention, a method of manufacturing semiconductor devices, comprises a step of forming a silicon nitride film as a mask to form an active layer on an amorphous silicon film which is formed on a substrate having an insulating surface, a step of doping metal elements using the silicon nitride film as a mask to promote crystal growth of the amorphous silicon film, a step of performing a heat treatment to crystallize the amorphous silicon film, and a step of forming an active layer using the silicon nitride film as a mask.
BRIEF DESCRIPTION OF THE DRAWINGS
FIGS. 1A to 1C are diagrams showing a series of processes of manufacturing a semiconductor device of an embodiment according to the present invention;
FIG. 2 shows an apparatus of manufacturing a semiconductor device;
FIG. 3 shows the apparatus of manufacturing a semiconductor device;
FIGS. 4A to 4F are diagrams showing a series of processes of manufacturing a semiconductor device;
FIGS. 5A to 5D are diagrams showing a series of processes of manufacturing a semiconductor device; and
FIG. 6 shows a semiconductor device which is manufactured according to the present invention.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
Preferred embodiments according to the present invention will be described hereunder with reference to the accompanying drawings.
Before describing preferred embodiments according to the present invention, the lateral-growth crystallizing method as disclosed in the Japanese Patent Application No. Hei-5-294633 will be briefly described with reference to FIGS. 1A to 1C.
First, an undercoat film of silicon oxide is formed on a glass substrate such as a Corning 7059 substrate or the like, and an amorphous silicon film 12 is formed at a thickness of 100 to 5000 Å, preferably from 500 to 800 Å on the substrate 11 by a plasma CVD treatment or a pressure-reduced heating CVD treatment.
Subsequently, a mask material film 21 which is typically formed of silicon oxide film is formed on the amorphous silicon film 12, and an opening portion through which nickel will be added is formed in the mask material 21 so that the amorphous silicon film below the mask material 21 is exposed to the opening portion. Thereafter, the surface of the amorphous silicon film which is exposed to the opening portion is oxidized thinly if occasion demands (it is represented by reference numeral 20 in FIG. 1), and nickel is doped into the amorphous silicon film with solution 14 containing nickel.
The substrate which has been doped with nickel by the method as described above is subjected to a heat treatment at 450° to 600° C., typically at about 550° C. under an atmosphere of inert gas such as N2 or the like or under an oxidizing atmosphere to form a crystalline silicon film 25 whose crystal growth is made in a lateral direction.
An attempt was made to change the mask material from the silicon oxide film to a silicon nitride film for a series of processes as described above, and it was experimentally proved that a long-term heat treatment caused nickel to pass through the silicon oxide film and reach the amorphous silicon film when the silicon oxide film was used as the mask material. This induces a phenomenon of longitudinal growth of passed nickel occurs, and thus it was observed that the lateral growth was disturbed by the longitudinal growth. On the other hand, the above phenomenon was not observed when the silicon nitride film is used as the mask material. However, in this case, it was also observed that the lateral growth degree was somewhat smaller as compared with the silicon oxide mask. As a result of an additional experiment, it was found out that the reduction in the lateral growth degree can be avoided by removing hydrogen in advance. That is, it is required as a pre-treatment for crystallization to remove hydrogen from the amorphous silicon film. However, when the silicon nitride film is used, it is difficult to remove hydrogen from the amorphous silicon film.
Next, a comparison experiment was made for the following two cases, a case where the undercoat film, the amorphous silicon film and the mask material film were sequentially (continuously) formed on the substrate without being exposed to the atmospheric air (hereinafter referred to as "sequential film-forming process") and a case where these films were separately formed on the substrate with being exposed to the atmospheric air (hereinafter referred to as "separate film-forming process"). Through this comparison experiment, it was proved that the lateral growth distance was longer and the crystallinity was higher in the sequential film forming process than the separate film forming process even though the same film quality was set in both the cases. This fact would mean that the lateral growth process that crystal growth is made in a substantially parallel direction to the substrate is strongly affected by the condition of the interface.
Accordingly, on the basis of the series of experiments as described above, the following crystal growth method using the lateral crystal growth having high reproducibility and high controllability includes; a step of continuously (sequentially) forming a silicon oxide film and an amorphous silicon film on a glass substrate, a step of performing a heat treatment on the film-formed substrate sequentially to the film-forming step without being exposed to atmospheric air to remove hydrogen from the substrate, a step of forming a silicon nitride film on the hydrogen-removed substrate sequentially to the hydrogen-removing step, a step of subjecting the substrate having the silicon oxide film, the amorphous silicon film and the silicon nitride film formed thereon to a patterning treatment and an etching treatment of the silicon nitride film to partially expose the amorphous silicon film, a step of coating the substrate with solution containing nickel to doped nickel into the selectively exposed amorphous silicon film, and a step of performing a heat treatment on the nickel-coated substrate to crystallize the amorphous silicon film.
In order to achieve a series of processes as described above, an apparatus in which a silicon oxide film, an amorphous silicon film and a silicon nitride film can be formed in succession and a heat treatment (hydrogen removing process) can be performed without exposing these films to atmospheric air even only once is required as a multipurpose substrate treatment device.
Specifically, the multipurpose substrate treatment includes plural pressure-reducible treatment chambers, and a common pressure-reducible chamber through which the plural treatment chambers intercommunicate with one another, and which has substrate feeding means for feeding the substrate between the common chamber and each treatment chamber, wherein at least one of the treatment chambers is capable of forming a silicon oxide film using a plasma CVD method, at least one of the treatment chambers is capable of forming silicon nitride film using a plasma CVD method, at least one of the treatment chambers is capable of forming an amorphous silicon film using a plasma CVD method, and at least one of the treatment chambers is capable of performing a heat treatment at 400° C. or more on plural substrates at the same time.
The apparatus thus constructed is shown in FIGS. 2 and 3.
The apparatus shown in FIG. 2 can be used for multipurpose, and it can be constructed by combining the desired number of treatment chambers which are used to perform desired film forming processes and annealing processes. As the substrate to be treated in the apparatus shown in FIG. 2 may be used a glass substrate, a silicon substrate, an insulating substrate, a semiconductor substrate or the like. Namely, any substrate may be used insofar as it has an insulating surface. For example, a glass substrate which is inexpensive is generally used for an electrooptical device such as an active matrix type liquid crystal display device, an image sensor or the like.
For example, in the case of FIG. 2, the apparatus may be constructed as follows. That is, a chamber 301 is used as a substrate carry chamber which corresponds to the common chamber, chambers 306 and 307 of treatment chambers in which various kinds of treatments are performed are used as spare chambers, one of which is used for feed-in of the substrate and the other of which is used for feed-out of the substrate, a chamber 302 is used as a plasma CVD apparatus for forming an insulation film, a chamber 303 is used as a plasma CVD apparatus for forming an amorphous silicon film, a chamber 304 is used as a plasma CVD apparatus for forming a silicon nitride film, and a chamber 305 is used as a heat treatment furnace to remove hydrogen. In the apparatus thus constructed, only the heat treatment process needs a long treatment time of several hours and it becomes the main factor of reducing the total throughput of this process. Therefore, it is important to construct the apparatus so that plural substrates 322 are simultaneously heated by a heater 310, carried to a substrate feeding position by a stage 315 if necessary, and then carried to a next process while fed by a robot arm 314. Here, the spare chambers may be also called as treatment chambers in the meaning that these chambers have functions of feeding in and out the substrate. The respective treatment chambers are partitioned from one another by gate valves 308-313, and they can be independently and individually decompressed by vacuum pumps 319-321 respectively, so that gas occurring at a treatment time can be prevented from contaminating into another treatment chamber. The substrates 322 are carried by the robot arm 314, and the throughput can be improved by the multitask.
Any combination like the combination shown in FIG. 2 can be freely performed. As the elements to be combined may be used plasma CVD, pressure-reduced heat CVD (hereinafter referred to as "LPCVD"), photo CVD, microwave CVD, heating furnace, anneal furnace by light irradiation, sputtering, plasma anneal, plasma etching (isotropic or anisotropic). In order to construct the apparatus of the present invention, at least the elements as described above are required.
In the present invention, the most remarkable effect can be obtained when nickel is used as catalyst. Another material usable as catalyst may be preferably used one or several kinds of elements selected from Ni, Pd, Pt, Cu, Ag, Au, In, Sn, Pd, Sn, Pd, P, As, Sb.
Next, preferred embodiments according to the present invention will be described.
(Embodiment 1)
In this embodiment, a silicon nitride film of 500 Å is selectively formed and nickel is selectively doped using this silicon nitride film as a mask.
A series of processes in the manufacturing method of this embodiment will be briefly described with reference to FIG. 1.
First, a silicon oxide film of 2000 Å and an amorphous silicon film 12 of 100-1500 Å are sequentially formed on a glass substrate (Corning 7059, 10 cm square) by the plasma CVD method using the apparatus shown in FIGS. 2 and 3. In this case, the amorphous silicon film 12 is formed at a thickness of 1000 Å. A film forming condition of the silicon oxide film is as follows: film forming pressure of 0.1-1 torr (0.3 torr in this embodiment), the ratio of TEOS:O2 is set to 1:10, RF power of 1-500 W (300 W in this embodiment) and a substrate temperature of 100°-500° C. (400° C. in this embodiment). A film forming condition of the amorphous silicon film is as follows: film forming pressure of 0.1-1 torr (0.3 torr in this embodiment), film forming gas of monosilane, RF power of 1-100 W (35 W in this embodiment) and a substrate temperature of 100°-300° C. (160° C. in this embodiment). (FIG. 1(A))
Subsequently, the substrate is fed to the heat treatment chamber 305 without exposing the substrate to atmospheric air, and a heat treatment at 350°-550° C., 400° C. in this case, for one hour under N2 atmosphere is performed on the substrate to remove hydrogen from the amorphous silicon film 12 which is formed by the plasma CVD method.
Thereafter, the substrate 322 is fed to the treatment chamber 304 without exposing the substrate to the atmospheric air, and a silicon nitride film 21 serving as a mask is formed at a thickness of 200 Å or more, at 500 Å in this case. A film forming condition is as follows: film forming pressure of 0.1-1 torr (0.3 torr in this embodiment), the ratio of monosilane:ammonia=1:4, RF power of 100-500 W (300 W in this embodiment) and the substrate temperature of 200°-500° C. (400° C. in this embodiment). On the basis of the experiments which had been performed by the inventors of this application, it was confirmed that no problem occurred even when the silicon nitride film 21 was equal to 100 Å, and thus it is expected that the thickness of the silicon nitride may be smaller if film quality is fine.
Thereafter, the silicon nitride film 21 is patterned in a desired pattern by an ordinary photolitho-patterning process, and a thin silicon oxide film 20 is formed by irradiation of uv rays under an oxygen atmosphere. The formation of the silicon oxide film 20 is performed by irradiation of uv rays for 5 minutes under the oxygen atmosphere. The thickness of the silicon oxide film 20 is guessed to be about 20-50 Å (FIG. 1A). With respect to the silicon oxide film to improve wettability, an adequate doping can be performed with only hydrophilic property of the silicon oxide film when the solution and the pattern size are matched to each other. However, such a case is very rare, and it is generally safety to use the silicon oxide film 20.
In this condition, 5 ml of acetate solution containing 100 ppm nickel is dropped (in case of 10 cm square substrate). At this time, the solution can be prevented from leaking to the back surface of the substrate by coating the substrate with solution while rotating a spinner at 150 rpm. After keeping the substrate in the above state for 5 minutes, a spin dry is performed at 2000 rpm for 60 sec spinning (FIG. 1(B)).
Thereafter, a heat treatment is performed for 8 hours at 550° C. (nitrogen atmosphere) to crystallize the amorphous silicon film 12. At this time, the crystal growth is made in a lateral (horizontal) direction by about 40 μm from a nickel-doped region 22 to a nickel-undoped region as indicated by an arrow 23. In FIG. 1(C), reference numeral 24 represents the region in which nickel was directly doped and thus crystallization occurred, and reference numeral 25 represents the region in which the lateral crystallization occurred. It was confirmed that the crystal growth was made substantially along <111> axis in the region 25.
In this embodiment, the nickel concentration in the nickel-doped region where nickel was directly doped can be controlled to be in the range from 1×1016 atoms cm-3 to 1×1019 atoms cm-3 by changing the solution concentration and the keeping time. Likewise, the concentration in the lateral growth region can be also controlled to be below the above range.
It is necessary to exfoliate the mask material if a device is afterwards formed on the substrate thus formed. In this case, when the silicon oxide mask is used like the prior art, the glass substrate and the undercoat silicon oxide would be greatly damaged because hydrofluoric acid etchant or a dry etching with fluoride gas must be used. On the other hand, when the silicon nitride mask is used, heated phosphoric acid can be used as an etchant, and it little damages the crystalline silicon film, the silicon oxide film and the glass substrate.
As described above, the concentration of the catalysts is small and the degree of crystallization is excellent in the region where the lateral crystal growth is made, and thus it is useful to utilize this region as an active region for a semiconductor device. For example, it is remarkably effective to use this region as a channel-forming region for a thin film transistor.
EMBODIMENT 2!
In this embodiment, an electronic device is formed using a region which was selectively doped with nickel in the same manner as the embodiment 1 and in which the crystal growth was performed in a lateral direction (a direction parallel to the substrate) from a nickel-doped area. When such a construction is adopted, the nickel concentration can be reduced to a lower level, and thus this construction is remarkably preferable in view of electric stability and reliability of the device.
This embodiment relates to a process of manufacturing TFTs which are used to control active matrix pixels. FIG. 4 shows a series of manufacturing processes of this embodiment. First, a substrate 201 is cleaned, and then an undercoat film 202 of silicon oxide is formed at a thickness of 2000 Å by a plasma CVD method using TEOS (tetraethoxysilane) and oxygen as source gas in the multipurpose substrate treatment apparatus shown in FIGS. 2 and 3. Sequentially to the above process, an intrinsic (type I) amorphous silicon film 203 of 500-1500 Å in thickness, for example 1000 Å, is formed by the plasma CVD method. Thereafter, a heat treatment at 450° C. for one hour is performed using a heat treatment furnace 305 to remove hydrogen. After that, an silicon nitride film 205 of 500-2000 Å thickness, for example 1000 Å, is sequentially formed in the same apparatus by the plasma CVD method. Subsequently, the silicon nitride film 205 is selectively etched to form a region 205 in which amorphous silicon is exposed. If the patterning treatment of this region 205 is performed so that the silicon nitride film remains on a region in which islands will be afterwards formed, it is very useful in the process because the patterning treatment of the amorphous silicon film can be performed using the silicon nitride film as a mask after the crystallizing process.
Thereafter, solution (acetate solution in this embodiment) containing nickel which is catalyst prompting crystallization is coated on the substrate according to the method as described in the embodiment 1. The nickel concentration in the acetate solution is set to 100 ppm. The other processes and conditions are the same as those of the embodiment 1.
Thereafter, a heat annealing treatment at 500°-620° C., for example, at 550° C. under nitrogen atmosphere is performed for four hours to crystallize a silicon film 303. The crystallization starts from an area where nickel and silicon film are contacted with each other, and progresses in parallel to the substrate as shown by an arrow. In FIGS. 4A to 4F, the region 204 represents a portion where nickel was directly doped and crystallized, and the region 203 represents a portion where the crystallization progressed in the lateral direction. It is confirmed that the crystallization in the lateral direction as represented by reference numeral 203 is extended by about 25 microns, and the direction of the crystal growth is substantially in parallel to the direction of <111> axis. (FIG. 4A).
Subsequently, the crystalline silicon film 204 is subjected to the dry etching treatment to form islands using the silicon nitride film 205 as a mask. Through this process, an etch-off treatment can be performed on the directly-doped region 206 having high nickel concentration. As a result, in this embodiment, the region having high nickel concentration was designed not to be overlapped with a channel-forming region in an active layer 208. Thereafter, the silicon nitride film 208 is etched with heated phosphoric acid to form the islandish active layer region 208.
Subsequently, the active layer (silicon film) 208 is left under an atmosphere of 10 atms containing 100 vol. % water vapor at 500°-600° C., typically 550° C. to oxidize the surface of the active layer and form a silicon oxide film 209. The thickness of the silicon oxide film is set to 1000 Å. After the silicon oxide film 209 is formed by the heat oxidization, the substrate is kept at 400° C. temperature under an ammonia atmosphere (1 atm, 100%). In this state, infrared rays having a peak in the range 0.6-4 μm, for example 0.8-1.4 μm is irradiated to the substrate for 30-180 seconds, and a nitridation treatment is performed on the silicon oxide film 209. In this case, 0.1-10% HCl may be mixed into the atmosphere.
A halogen lamp is used as the infrared ray source. The intensity of the infrared ray is controlled so that the temperature on a single crystal silicon wafer which is used as a monitor is in the range of 900°-1200° C. Specifically, the temperature of a thermo couple which is buried in the silicon wafer is monitored, and fed back to the infrared ray source. In this embodiment, a temperature increasing (heating) rate is set to be constant, 50°-200° C./sec, and a temperature decreasing (cooling) rate is set to be a naturally cooling rate, 20°-100° C./sec. The silicon film is selectively heated by the infrared ray irradiation, so that it can suppress the heating of the glass substrate to the minimum. (FIG. 4B).
Subsequently, an aluminum (containing 0.01-0.2% of scandium) film of 3000-8000 Å in thickness, for example 6000 Å, is formed by a sputtering method. The aluminum film is subjected to the patterning treatment to form a gate electrode 210 (FIG. 2C).
Subsequently, an oxide layer 211 is formed on the surface of the aluminum electrode by performing anodic oxidization on the surface. The anodic oxidization is performed in ethylene glycol solution containing 1-5% of tartaric acid. The thickness of the oxide layer 211 is equal to 2000 Å. The thickness of the oxide layer 211 corresponds to an offset gate region which will be formed in a subsequent ion-doping process, and thus the length of the offset gate region can be determined in the above anodic oxidation process (FIG. 4D).
Subsequently, impurities (in this case, phosphorus) which can provide N-type conductivity is doped in self-alignment into an active region (constructing source/drain and channel regions) using a gate electrode portion, that is, a gate electrode 210 and its peripheral oxide layer 211 as a mask by an ion-doping method (called as a plasma doping method). Phosphine (PH3) is used as doping gas, and an acceleration voltage is set to 60-90 kV, for example 80 kV. A dose amount is set to 1×1015 -8×1015 cm-2, for example 4×1015 cm-2. As a result, N- type impurity regions 212 and 213 can be formed. As is apparent from the figures, the impurity region and the gate electrode are kept in such an offset state as to be away from each other at a distance x. The offset state like this is particularly effective to reduce a leak current (called as "off current") occurring when a reverse voltage (minus voltage for N channel TFT) is applied to the gate electrode. Especially, it is effective to provide the offset because the leak current is desired to be low so that no charges stored in pixel electrodes leak to obtain a good image in the case of TFTs which control active matrix pixels like this embodiment.
Thereafter, an anneal process is performed by laser irradiation. A KrF excimer laser (wavelength 248 nm, pulse width 20 nsec) is utilized as a laser light source, but another type of laser may be used. The condition of laser irradiation is as follows: the energy density of irradiated laser is 200-400 mJ/cm2, for example 250 mJ/cm2, and irradiation is repeated at 2-10 times, for example 2 times every irradiation target point. In this case, the effect could be enhanced if the substrate is heated at 200°-450° C. during the laser irradiation process (FIG. 4E).
Subsequently, a silicon oxide film 214 of 6000 Å thickness is formed as a layer insulator by the plasma CVD method. Further, a transparent polyimide film 215 is formed by a spin coating method, and the surface thereof is flattened. A transparent electroconductive film (ITO film) of 800 Å thickness is formed on the surface of the polyimide film thus formed by a sputtering method, and then patterned to form a pixel electrode 216.
Thereafter, contact holes are formed in layer insulators 214, 215, and electrodes/ wires 217 and 218 of the TFTs are formed of metal material, for example, a multilayer film of titanium nitride and aluminum. Finally, an anneal treatment is performed at 350° C. for 30 minutes under a hydrogen atmosphere of 1 atom to complete an active matrix pixel circuit having the TFTs (FIG. 4F).
EMBODIMENT 3!
FIGS. 5A to 5D are cross-sectional views showing a series of manufacturing processes in a third embodiment of the present invention. First, an undercoat film 102 of silicon oxide is formed at a thickness of 2000 Å on a glass substrate (Corning 7059) 501 by the sputtering method. In a case where the substrate is annealed at a temperature higher than the distortion temperature and then gradually cooled to a temperature below the distortion temperature at 0.1°-1.0° C./minute before or after the undercoat film is formed, a mask alignment work can be facilitated because contraction of the substrate can be suppressed in a subsequent process containing a temperature increasing step (containing a thermal oxidization process and subsequent thermal anneal process of this invention). For the Corning 7059 substrate, it is preferable to anneal the substrate at 620°-660° C. for 1-4 hours, then gradually cool the substrate at 0.03°-1.0° C./min, preferably 0.1°-0.3° C./min and then take out the substrate at a time when the temperature is reduced to 400°-500° C.
Subsequently, a silicon oxide film, an amorphous silicon film and a silicon nitride film are sequentially formed by the plasma CVD method in the same manner as the embodiment 2. Thereafter, the amorphous silicon film is crystallized by the same method as the embodiment 2, and then the annealing treatment is performed under a nitrogen atmosphere (1 atm) at 600° C. for 48 hours to crystallize the silicon film. Thereafter, the silicon film is subjected to the patterning treatment into 10°-1000 μm square parts to form islandish silicon films (active layers of TFTs) 503 (FIG. 5A).
Subsequently, an oxygen atmosphere of 1 atm, 500°-750° C., typically 600° C. containing 70-90% of water vapor was formed by a pyrogenetic reaction method at hydrogen/oxygen ratio of 1.5-1.9. The substrate is kept in this atmosphere for 3 to 5 hours to oxidize the surface of the silicon surface and form a silicon oxide film 504 of 500-1500 Å, for example, 1000 Å in thickness. it should be noted that the thickness of the surface of the initial silicon film is reduced by 50 Å or more by the oxidization, so that contamination on the uppermost surface of the silicon film does not extend to the silicon-silicon oxide interface, that is, clean silicon-silicon oxide interface can be obtained. The thickness of the silicon oxide film is set to be twice as large as that of the silicon film to be oxidized, and thus the thickness of the residual silicon film is 500 Å when the silicon film of 1000 Å is oxidized to form the silicon oxide film of 1000 Å.
Generally, the thinner the silicon oxide film (gate insulating film) and the active layer are, the more excellent the characteristics are, for example, mobility becomes larger and off-current reduces. On the other hand, as the initial amorphous silicon film is thicker, the crystallization of the amorphous silicon film is more facilitated. Accordingly, there have been conventionally some conflict between characteristics and easiness of the process with respect to the thickness of the active layer. The invention gives the first solution to the conflict. Namely, a high-quality crystalline silicon film is obtained by forming a thicker amorphous silicon film before crystallization, and then the silicon film is oxidized to thin the silicon film, whereby the characteristics of the TFT is improved. In addition, in the thermal oxidation process, amorphous portions and crystal grain boundaries where recombination centers tend to exist are easily oxidized, so that the number of recombination centers in the active layer can be reduced. Therefore, the yield for products can be improved.
After the silicon oxide film 504 is formed by the thermal oxidation, the substrate is annealed at 600° C. for 2 hours under dinitrogen monoxide atmosphere (1 atm, 100%) (FIG. 5B).
Sequentially, polycrystal silicon (containing 0.01-0.2% of phosphorus) of 3000-8000 Å thickness, 6000 Å for example, is formed by a low pressure CVD method. A gate electrode 505 is formed by patterning the silicon film. Subsequently, impurities (phosphorus in this case) which provide N-type conductivity are doped in self-alignment into an active region (constructing source/drain and channel regions) using the silicon film as a mask by the ion-doping method (called as "plasma doping method"). Phosphine (PH3) is used as doping gas and an acceleration voltage is set to 60-90 kV, 80 kV for example. A dose amount is set to 1×1015 -8×1015 cm-2, 5×1015 cm-2 for example. Through this process, N- type impurity regions 506 and 507 are formed.
Thereafter, an anneal process is performed by laser irradiation. A KrF excimer laser (wavelength 248 nm, pulse width 20 nsec) is utilized as a laser light source, however, another type of laser may be used. The condition of laser irradiation is as follows: the energy density of irradiated laser is 200-400 mJ/cm2, for example 250 mJ/cm2, and irradiation is repeated at 2-10 times, for example 2 times every irradiation point. The enhancement of the effect can be performed if the substrate is heated at 200°-450° C. during the irradiation process (FIG. 5C).
This process may be performed using a Lamp anneal method using near infrared ray. The near infrared ray is more liable to be absorbed by crystallized silicon than amorphous silicon, and thus an effective annealing treatment which is equivalent to the thermal anneal of 1000° C. or more can be performed. On the other hand, the near infrared rays are hard to be adsorbed by the glass substrate (far infrared rays are absorbed by the glass substrate but visible light and near infrared rays (wavelength 0.5-4 μm) are hard to be absorbed by the glass substrate), it is unnecessary to heat the glass substrate to a high temperature and a process time is very short. Accordingly, this is the best method in a process which induces a contraction problem of a glass substrate.
Subsequently, a silicon oxide film 508 of 6000 Å is formed as a layer insulator by the plasma CVD method. Polyimide may be used as the layer insulator. Thereafter, contact holes are formed, and then electrode/ wire 509 and 510 of a TFT are formed of metal material, for example a multilayer film of titanium nitride and aluminum. Finally, the anneal treatment is performed at 350° C. for 30 minutes under 1 atm hydrogen atmosphere to complete the TFT (FIG. 5D).
The mobility and S-value of the TFT obtained by the method as described above is measured to be 110-150 cm2 /Vs and 0.2-0.5 V/digit, respectively. Further, when P-channel type TFT in which boron is doped into a source/drain region in the same method is formed, the mobility of 90-120 cm2 /Vs and S-value of 0.4 to 0.6 V/digit are obtained. This mobility value is higher by 20% or more and this S-value is lower by 20% as compared with those of a case where a gate insulating film is formed by a well-known PVD method or CVD method.
The TFT which is obtained according to the method of this embodiment has equivalent or more excellent reliability as compared with the TFT which is produced by the high temperature thermal oxidization of 1000° C.
EMBODIMENT 4!
In the embodiment 4, the present invention is applied to an active matrix type liquid crystal display device. FIG. 6 is an plan view showing the outline of one substrate of the active matrix type liquid crystal display device.
In FIG. 6, reference numeral 61 represents a glass substrate and reference numeral 63 represents a pixel area in which pixels of several hundreds X several hundreds are arranged in a matrix form. Each of these pixels is provided with a TFT as a switching element. Driver TFTs for driving the TFTs in the pixel area are provided in peripheral driver areas 62. The pixel area 63 and the driver area 62 are integrally formed into one body on the same substrate 61.
The TFTs placed in the driver regions 62 require a high mobility characteristic because large current are required to flow through these TFTs. On the other hand, the TFTs placed in the pixel region 63 requires a small off-current (leak current) characteristic because they must keep charges at the pixel electrodes fixedly. For example, TFTs which are produced by a simple laser crystallization method using no nickel can be used as the TFTs placed in the pixel region 63. In this case, the laser anneal is performed with the same energy as the crystallization of the nickel-doped peripheral region. A crystalline silicon film which is crystallized with low energy without nickel as described above has a lower mobility due to low crystallinity as compared to a crystalline silicon film produced with use of nickel. However, it has an advantage that the off-current is low as a whole, and thus no problem occurs even when it is used for pixels.

Claims (19)

What is claimed is:
1. A method of manufacturing a semiconductor device comprising the steps of:
forming a silicon oxide film and an amorphous silicon film on a substrate having an insulating surface;
performing a heat treatment on the substrate sequentially to said silicon oxide film forming step without exposing the substrate to atmospheric air to thereby remove hydrogen from the amorphous silicon film;
forming a silicon nitride film on the amorphous silicon film sequentially to said hydrogen-removing step;
patterning the silicon nitride film to selectively expose the amorphous silicon film;
doping a metal element so as to be contacted with the exposed amorphous silicon film to promote crystal growth of the amorphous silicon film; and
performing a heat treatment on the amorphous silicon film to crystallize the amorphous silicon film in a direction parallel to the substrate from an area in which the metal element is doped.
2. The method of claim 1 wherein the heat treatment performed to crystallize the amorphous silicon film is performed at a temperature of 450° to 600° C.
3. The method of claim 1 wherein the heat treatment performed to remove the hydrogen from the amorphous silicon film is performed at a temperature of 350° to 550° C.
4. The method of claim 1 wherein the silicon nitride film is formed at a thickness of 200 Å or more.
5. The method of claim 1 further comprising the step of removing the patterned silicon nitride film from on the amorphous silicon film by heated phosphoric acid after the heat treatment performed to crystallize the amorphous silicon film.
6. The method of claim 1 wherein the crystallized silicon film is used in a thin film transistor for an active matrix type electro-optical device.
7. The method of claim 6 wherein the substrate comprises a glass.
8. The method of claim 1 wherein the metal element doping step is carried out by applying a solution containing the metal element therein over the exposed amorphous silicon film.
9. The method of claim 1 wherein the metal element is selected from the group consisting of Ni, Pd, Pt, Cu, Ag, Au, In, Sn, P, As and Sb.
10. A method of manufacturing a semiconductor device comprising the steps of:
forming a silicon oxide film and an amorphous silicon film on a substrate having an insulating surface;
performing a heat treatment on the substrate sequentially to said silicon oxide film forming step without exposing the substrate to atmospheric air to thereby remove hydrogen from the amorphous silicon film;
forming a silicon nitride film on the substrate sequentially to said hydrogen-removing step;
patterning the silicon nitride film in the form of an active layer to selectively expose the amorphous silicon film;
doping a metal element so as to be contacted with the exposed amorphous silicon film to promote crystal growth of the amorphous silicon film;
performing a heat treatment on the amorphous silicon film to crystallize the amorphous silicon film in a direction parallel to the substrate from an area in which the metal element is doped; and
patterning the crystallized silicon film using the patterned silicon nitride film as a mask to form an active layer.
11. The method of claim 10 wherein the heat treatment performed to crystallize the amorphous silicon film is performed at a temperature of 450° to 600° C.
12. The method of claim 10 wherein the heat treatment performed to remove the hydrogen from the amorphous silicon film is performed at a temperature of 350° to 550° C.
13. The method of claim 10 wherein the silicon nitride film is formed at a thickness of 200 Å or more.
14. The method of claim 10 wherein the active layer is used in a thin film transistor for an active matrix type electro-optical device.
15. The method of claim 14 wherein the substrate comprises a glass.
16. The method of claim 10 wherein the metal element doping step is carried out by applying a solution containing the metal element therein over the exposed amorphous silicon film.
17. The method of claim 10 wherein the metal element is selected from the group consisting of Ni, Pd, Pt, Cu, Ag, Au, In, Sn, P, As and Sb.
18. A method of manufacturing a semiconductor device comprising the steps of:
forming a silicon nitride film on an amorphous silicon film which is formed on a substrate having an insulating surface;
doping a metal element using the silicon nitride film as a mask to promote crystal growth of the amorphous silicon film;
performing a heat treatment to crystallize the amorphous silicon film; and
forming an active layer using the silicon nitride film as a mask.
19. The method of claim 18 wherein the metal element is selected from the group consisting of Ni, Pd, Pt, Cu, Ag, Au, In, Sn, P, As and Sb.
US08/518,364 1994-08-26 1995-08-23 Method for manufacturing a semiconductor device using a silicon nitride mask Expired - Lifetime US5693541A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP6-225598 1994-08-26
JP22559894A JP3464285B2 (en) 1994-08-26 1994-08-26 Method for manufacturing semiconductor device

Publications (1)

Publication Number Publication Date
US5693541A true US5693541A (en) 1997-12-02

Family

ID=16831842

Family Applications (1)

Application Number Title Priority Date Filing Date
US08/518,364 Expired - Lifetime US5693541A (en) 1994-08-26 1995-08-23 Method for manufacturing a semiconductor device using a silicon nitride mask

Country Status (2)

Country Link
US (1) US5693541A (en)
JP (1) JP3464285B2 (en)

Cited By (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1998057372A1 (en) * 1997-06-10 1998-12-17 The Board Of Trustees Of The Leland Stanford Junior University LATERALLY CRYSTALLIZED TFTs AND METHODS FOR MAKING LATERALLY CRYSTALLIZED TFTs
US5893730A (en) * 1996-02-23 1999-04-13 Semiconductor Energy Laboratory Co., Ltd. Thin film semiconductor and method for manufacturing the same, semiconductor device and method for manufacturing the same
US5966596A (en) * 1995-11-30 1999-10-12 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating semiconductor devices by crystallizing amorphous silicon with nickel
US6027987A (en) * 1996-10-31 2000-02-22 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a crystalline semiconductor
US6057234A (en) * 1996-04-29 2000-05-02 Semiconductor Energy Laboratory Co., Ltd. Method for fabricating semiconductor device
US6127199A (en) * 1996-11-12 2000-10-03 Seiko Epson Corporation Manufacturing method of active matrix substrate, active matrix substrate and liquid crystal display device
US6194254B1 (en) * 1993-08-27 2001-02-27 Semiconductor Energy Laboratories Co., Ltd. Semiconductor device and method for manufacturing the same
US6204101B1 (en) * 1995-12-15 2001-03-20 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device
US6225218B1 (en) 1995-12-20 2001-05-01 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and its manufacturing method
US6225152B1 (en) 1996-01-20 2001-05-01 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and fabrication method thereof
US6251758B1 (en) * 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
US6316810B1 (en) 1996-01-19 2001-11-13 Semiconductor Energy Laboratory Co., Ltd. Display switch with double layered gate insulation and resinous interlayer dielectric
US6436827B1 (en) 1996-11-07 2002-08-20 Semiconductor Energy Laboratory Co., Ltd. Fabrication method of a semiconductor device
US6444506B1 (en) * 1995-10-25 2002-09-03 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing silicon thin film devices using laser annealing in a hydrogen mixture gas followed by nitride formation
US6465287B1 (en) * 1996-01-27 2002-10-15 Semiconductor Energy Laboratory Co., Ltd. Method for fabricating a semiconductor device using a metal catalyst and high temperature crystallization
US6478263B1 (en) 1997-01-17 2002-11-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and its manufacturing method
US20020182785A1 (en) * 2001-06-01 2002-12-05 Hidekazu Miyairi Method of manufacturing a semiconductor device
US6504174B1 (en) 1996-01-19 2003-01-07 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for fabricating the same
US6525864B1 (en) 2000-07-20 2003-02-25 Nayna Networks, Inc. Integrated mirror array and circuit device
US6528820B1 (en) 1996-01-19 2003-03-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating same
US6548828B2 (en) 1997-09-30 2003-04-15 Sanyo Electric Co., Ltd. Thin-film transistor and method of manufacturing thin-film transistor with tapered gate of 20 degrees or less
US6555455B1 (en) * 1998-09-03 2003-04-29 Micron Technology, Inc. Methods of passivating an oxide surface subjected to a conductive material anneal
US6555419B2 (en) 1997-10-03 2003-04-29 Sanyo Electric Co., Ltd. Thin film transistor and manufacturing method of thin film transistor
US6559036B1 (en) 1998-08-07 2003-05-06 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of manufacturing the same
US20040016924A1 (en) * 2002-03-11 2004-01-29 Tsutomu Yamada Top gate type thin film transistor
USRE38466E1 (en) 1996-11-12 2004-03-16 Seiko Epson Corporation Manufacturing method of active matrix substrate, active matrix substrate and liquid crystal display device
US20040072393A1 (en) * 1998-07-17 2004-04-15 Semiconductor Energy Laboratory Co., Ltd. Crystalline semiconductor thin film, method of fabricating the same, semiconductor device, and method of fabricating the same
US6744069B1 (en) 1996-01-19 2004-06-01 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and its manufacturing method
US6771851B1 (en) 2001-06-19 2004-08-03 Nayna Networks Fast switching method for a micro-mirror device for optical switching applications
US6794274B2 (en) * 2001-04-03 2004-09-21 Wen-Chang Yeh Method for fabricating a polycrystalline silicon film
US20040235276A1 (en) * 2003-05-02 2004-11-25 Kun-Chih Lin Method of fabricating polysilicon film by excimer laser crystallization process
US20050064641A1 (en) * 1994-09-26 2005-03-24 Semiconductor Energy Laboratory Co., Ltd. Solution applying apparatus and method
US6913986B2 (en) 2000-04-04 2005-07-05 Matsushita Electric Industrial Co., Ltd. Method and apparatus for fabricating a thin film and thin film transistor and method of fabricating same
US20060024925A1 (en) * 2001-08-30 2006-02-02 Semiconductor Energy Laboratory Co., Ltd. Crystalline semiconductor film, method of manufacturing the same, and semiconductor device
US7153729B1 (en) 1998-07-15 2006-12-26 Semiconductor Energy Laboratory Co., Ltd. Crystalline semiconductor thin film, method of fabricating the same, semiconductor device, and method of fabricating the same
US20070196960A1 (en) * 2001-07-02 2007-08-23 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of manufacturing the same
US7282398B2 (en) * 1998-07-17 2007-10-16 Semiconductor Energy Laboratory Co., Ltd. Crystalline semiconductor thin film, method of fabricating the same, semiconductor device and method of fabricating the same
US7294535B1 (en) 1998-07-15 2007-11-13 Semiconductor Energy Laboratory Co., Ltd. Crystalline semiconductor thin film, method of fabricating the same, semiconductor device, and method of fabricating the same
US20080122900A1 (en) * 2005-09-16 2008-05-29 Piatt Michael J Continuous ink jet apparatus with integrated drop action devices and control circuitry
US7402467B1 (en) 1999-03-26 2008-07-22 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US7655513B2 (en) 2001-03-29 2010-02-02 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US7709837B2 (en) 1996-01-19 2010-05-04 Semiconductor Energy Laboratory Co., Ltd Semiconductor device and its manufacturing method
US7709302B2 (en) 2001-04-27 2010-05-04 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a semiconductor device
US20120154456A1 (en) * 2006-05-31 2012-06-21 Semiconductor Energy Laboratory Co., Ltd. Display device, driving method of display device, and electronic appliance

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3072005B2 (en) * 1994-08-25 2000-07-31 シャープ株式会社 Semiconductor device and manufacturing method thereof
JP2000031070A (en) * 1998-07-16 2000-01-28 Ulvac Corp AMORPHOUS-Si THIN FILM DEPOSITION DEVICE
JP2000174282A (en) * 1998-12-03 2000-06-23 Semiconductor Energy Lab Co Ltd Semiconductor device
JP3599679B2 (en) * 2000-04-04 2004-12-08 松下電器産業株式会社 Method for manufacturing thin film transistor
KR100656495B1 (en) * 2004-08-13 2006-12-11 삼성에스디아이 주식회사 Thin film transistor and method fabricating thereof
TWI715645B (en) * 2015-10-22 2021-01-11 美商應用材料股份有限公司 Deposition of conformal and gap-fill amorphous silicon thin-films

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4335161A (en) * 1980-11-03 1982-06-15 Xerox Corporation Thin film transistors, thin film transistor arrays, and a process for preparing the same
US4343081A (en) * 1979-06-22 1982-08-10 L'etat Francais Represente Par Le Secretaire D'etat Aux Postes Et Telecommunications Et A La Telediffusion (Centre National D'etudes Des Telecommunications) Process for making semi-conductor devices
JPS58102560A (en) * 1981-12-14 1983-06-18 Fujitsu Ltd Manufacture of thin film transistor
US5120667A (en) * 1990-05-17 1992-06-09 Sharp Kabushiki Kaisha Process for fabricating a thin film transistor
US5147826A (en) * 1990-08-06 1992-09-15 The Pennsylvania Research Corporation Low temperature crystallization and pattering of amorphous silicon films
US5275851A (en) * 1993-03-03 1994-01-04 The Penn State Research Foundation Low temperature crystallization and patterning of amorphous silicon films on electrically insulating substrates
US5403772A (en) * 1992-12-04 1995-04-04 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US5608232A (en) * 1993-02-15 1997-03-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor, semiconductor device, and method for fabricating the same

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4343081A (en) * 1979-06-22 1982-08-10 L'etat Francais Represente Par Le Secretaire D'etat Aux Postes Et Telecommunications Et A La Telediffusion (Centre National D'etudes Des Telecommunications) Process for making semi-conductor devices
US4335161A (en) * 1980-11-03 1982-06-15 Xerox Corporation Thin film transistors, thin film transistor arrays, and a process for preparing the same
JPS58102560A (en) * 1981-12-14 1983-06-18 Fujitsu Ltd Manufacture of thin film transistor
US5120667A (en) * 1990-05-17 1992-06-09 Sharp Kabushiki Kaisha Process for fabricating a thin film transistor
US5147826A (en) * 1990-08-06 1992-09-15 The Pennsylvania Research Corporation Low temperature crystallization and pattering of amorphous silicon films
US5403772A (en) * 1992-12-04 1995-04-04 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US5608232A (en) * 1993-02-15 1997-03-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor, semiconductor device, and method for fabricating the same
US5275851A (en) * 1993-03-03 1994-01-04 The Penn State Research Foundation Low temperature crystallization and patterning of amorphous silicon films on electrically insulating substrates

Non-Patent Citations (16)

* Cited by examiner, † Cited by third party
Title
A.V. Dvurechenskii et al., "Transport Phenomena in Amorphous Silicon Doped by Ion Implantation of 3d Metals", Phys. Stat. Sol. A95(1986)635.
A.V. Dvurechenskii et al., Transport Phenomena in Amorphous Silicon Doped by Ion Implantation of 3d Metals , Phys. Stat. Sol. A95(1986)635. *
C. Hayzelden et al., "In Situ Transmission Electron Microscopy Studies of Silicide-Mediated Crystallization of Amorphous Silicon" Appl. Phys. Lett. 60(1992) 225.
C. Hayzelden et al., In Situ Transmission Electron Microscopy Studies of Silicide Mediated Crystallization of Amorphous Silicon Appl. Phys. Lett. 60(1992) 225. *
G. Liu et al., "Polycrystalline silicon thin film transistors on Corning 7059 glass substrates using short time, low-temperature processing," Appl. Phys. Lett. 62(20), May 17, 1993, pp. 2554-2556.
G. Liu et al., "Selective area crystallization of amorphous silicon films by low-temperature rapid thermal annealing," Appl. Phys. Lett. 55(7), Aug. 14, 1989, pp. 660-662.
G. Liu et al., Polycrystalline silicon thin film transistors on Corning 7059 glass substrates using short time, low temperature processing, Appl. Phys. Lett. 62(20), May 17, 1993, pp. 2554 2556. *
G. Liu et al., Selective area crystallization of amorphous silicon films by low temperature rapid thermal annealing, Appl. Phys. Lett. 55(7), Aug. 14, 1989, pp. 660 662. *
R. Kakkad et al., "Crystallized Si films by low-temperature rapid thermal annealing of amorphous silicon," J. Appl. Phys., 65(5), Mar. 1, 1989, pp. 2069-2072.
R. Kakkad et al., "Low Temperature Selective Crystallization of Amorphous Silicon," Journal of Non-Crystalline Solids, 115, 1989, pp. 66-68.
R. Kakkad et al., Crystallized Si films by low temperature rapid thermal annealing of amorphous silicon, J. Appl. Phys. , 65(5), Mar. 1, 1989, pp. 2069 2072. *
R. Kakkad et al., Low Temperature Selective Crystallization of Amorphous Silicon, Journal of Non Crystalline Solids , 115, 1989, pp. 66 68. *
S. Takenaka et al., Jpn. J. Appl. Phys., 29(12)(1990)L2380 "High Mobility Poly-Si TFTs using SPC a-Si Films Deposited by PECVD", Dec. 1990.
S. Takenaka et al., Jpn. J. Appl. Phys., 29(12)(1990)L2380 High Mobility Poly Si TFTs using SPC a Si Films Deposited by PECVD , Dec. 1990. *
T. Hempel et al., "Needle-Like Crystallization of Ni Doped Amorphous Silicon Thin Films", Solid State Communications, vol. 85, No. 11, pp. 921-924, 1993.
T. Hempel et al., Needle Like Crystallization of Ni Doped Amorphous Silicon Thin Films , Solid State Communications , vol. 85, No. 11, pp. 921 924, 1993. *

Cited By (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6194254B1 (en) * 1993-08-27 2001-02-27 Semiconductor Energy Laboratories Co., Ltd. Semiconductor device and method for manufacturing the same
US6482686B1 (en) 1993-08-27 2002-11-19 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a semiconductor device
US7875508B2 (en) 1993-08-27 2011-01-25 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US7045819B2 (en) 1993-08-27 2006-05-16 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US7410849B2 (en) 1993-08-27 2008-08-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US8133770B2 (en) 1993-08-27 2012-03-13 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US7271109B2 (en) 1994-09-26 2007-09-18 Semiconductor Energy Laboratory Co., Ltd. Solution applying apparatus and method
US20050064641A1 (en) * 1994-09-26 2005-03-24 Semiconductor Energy Laboratory Co., Ltd. Solution applying apparatus and method
US6251758B1 (en) * 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
US6500742B1 (en) * 1994-11-14 2002-12-31 Applied Materials, Inc. Construction of a film on a semiconductor wafer
US6444506B1 (en) * 1995-10-25 2002-09-03 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing silicon thin film devices using laser annealing in a hydrogen mixture gas followed by nitride formation
US7573110B1 (en) * 1995-11-30 2009-08-11 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating semiconductor devices
US5966596A (en) * 1995-11-30 1999-10-12 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating semiconductor devices by crystallizing amorphous silicon with nickel
US6204101B1 (en) * 1995-12-15 2001-03-20 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device
US6225218B1 (en) 1995-12-20 2001-05-01 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and its manufacturing method
US7750476B2 (en) 1995-12-20 2010-07-06 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device having a reliable contact
US7679087B2 (en) 1996-01-19 2010-03-16 Semiconductor Energy Laboratory Co., Ltd. Semiconductor active region of TFTs having radial crystal grains through the whole area of the region
US6528358B1 (en) 1996-01-19 2003-03-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for fabricating the same
US7709837B2 (en) 1996-01-19 2010-05-04 Semiconductor Energy Laboratory Co., Ltd Semiconductor device and its manufacturing method
US6316810B1 (en) 1996-01-19 2001-11-13 Semiconductor Energy Laboratory Co., Ltd. Display switch with double layered gate insulation and resinous interlayer dielectric
US6504174B1 (en) 1996-01-19 2003-01-07 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for fabricating the same
US6744069B1 (en) 1996-01-19 2004-06-01 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and its manufacturing method
US6528820B1 (en) 1996-01-19 2003-03-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating same
US6541315B2 (en) 1996-01-20 2003-04-01 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and fabrication method thereof
US6225152B1 (en) 1996-01-20 2001-05-01 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and fabrication method thereof
US6465287B1 (en) * 1996-01-27 2002-10-15 Semiconductor Energy Laboratory Co., Ltd. Method for fabricating a semiconductor device using a metal catalyst and high temperature crystallization
US6458637B1 (en) * 1996-02-23 2002-10-01 Semiconductor Energy Laboratory Co., Ltd. Thin film semiconductor and method for manufacturing the same, semiconductor device and method for manufacturing the same
US5893730A (en) * 1996-02-23 1999-04-13 Semiconductor Energy Laboratory Co., Ltd. Thin film semiconductor and method for manufacturing the same, semiconductor device and method for manufacturing the same
US6057234A (en) * 1996-04-29 2000-05-02 Semiconductor Energy Laboratory Co., Ltd. Method for fabricating semiconductor device
US6027987A (en) * 1996-10-31 2000-02-22 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a crystalline semiconductor
US7470580B2 (en) 1996-11-07 2008-12-30 Semiconductor Energy Laboratory Co., Ltd. Fabrication method of a semiconductor device
US7163854B2 (en) 1996-11-07 2007-01-16 Semiconductor Energy Laboratory Co., Ltd. Fabrication method of a semiconductor device
US20070111511A1 (en) * 1996-11-07 2007-05-17 Semiconductor Energy Laboratory Co., Ltd. Fabrication method of a semiconductor device
US6436827B1 (en) 1996-11-07 2002-08-20 Semiconductor Energy Laboratory Co., Ltd. Fabrication method of a semiconductor device
USRE38466E1 (en) 1996-11-12 2004-03-16 Seiko Epson Corporation Manufacturing method of active matrix substrate, active matrix substrate and liquid crystal display device
USRE40601E1 (en) 1996-11-12 2008-12-09 Seiko Epson Corporation Manufacturing method of active matrix substrate, active matrix substrate and liquid crystal display device
US6127199A (en) * 1996-11-12 2000-10-03 Seiko Epson Corporation Manufacturing method of active matrix substrate, active matrix substrate and liquid crystal display device
US6478263B1 (en) 1997-01-17 2002-11-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and its manufacturing method
WO1998057372A1 (en) * 1997-06-10 1998-12-17 The Board Of Trustees Of The Leland Stanford Junior University LATERALLY CRYSTALLIZED TFTs AND METHODS FOR MAKING LATERALLY CRYSTALLIZED TFTs
US6548828B2 (en) 1997-09-30 2003-04-15 Sanyo Electric Co., Ltd. Thin-film transistor and method of manufacturing thin-film transistor with tapered gate of 20 degrees or less
US6867075B2 (en) 1997-10-03 2005-03-15 Sanyo Electric Co Manufacturing method of thin film transistor in which a total film thickness of silicon oxide films is defined
US6555419B2 (en) 1997-10-03 2003-04-29 Sanyo Electric Co., Ltd. Thin film transistor and manufacturing method of thin film transistor
US7294535B1 (en) 1998-07-15 2007-11-13 Semiconductor Energy Laboratory Co., Ltd. Crystalline semiconductor thin film, method of fabricating the same, semiconductor device, and method of fabricating the same
US7153729B1 (en) 1998-07-15 2006-12-26 Semiconductor Energy Laboratory Co., Ltd. Crystalline semiconductor thin film, method of fabricating the same, semiconductor device, and method of fabricating the same
US7667235B2 (en) 1998-07-15 2010-02-23 Semiconductor Energy Laboratory Co., Ltd. Crystalline semiconductor thin film, method of fabricating the same, semiconductor device, and method of fabricating the same
US8143625B2 (en) 1998-07-15 2012-03-27 Semiconductor Energy Laboratory Co., Ltd. Crystalline semiconductor thin film, method of fabricating the same, semiconductor device, and method of fabricating the same
US8809133B2 (en) 1998-07-15 2014-08-19 Semiconductor Energy Laboratory Co., Ltd. Crystalline semiconductor thin film, method of fabricating the same, semiconductor device, and method of fabricating the same
US7192813B2 (en) 1998-07-17 2007-03-20 Semiconductor Energy Laboratory Co., Ltd. Crystalline semiconductor thin film, method of fabricating the same, semiconductor device, and method of fabricating the same
US7282398B2 (en) * 1998-07-17 2007-10-16 Semiconductor Energy Laboratory Co., Ltd. Crystalline semiconductor thin film, method of fabricating the same, semiconductor device and method of fabricating the same
US7619253B2 (en) 1998-07-17 2009-11-17 Semiconductor Energy Laboratory Co., Ltd. Crystalline semiconductor thin film, method of fabricating the same, semiconductor device, and method of fabricating the same
US20040072393A1 (en) * 1998-07-17 2004-04-15 Semiconductor Energy Laboratory Co., Ltd. Crystalline semiconductor thin film, method of fabricating the same, semiconductor device, and method of fabricating the same
US7084016B1 (en) 1998-07-17 2006-08-01 Semiconductor Energy Laboratory Co., Ltd. Crystalline semiconductor thin film, method of fabricating the same, semiconductor device, and method of fabricating the same
US20060051907A1 (en) * 1998-07-17 2006-03-09 Semiconductor Energy Laboratory Co., Ltd. Crystalline semiconductor thin film, method of fabricating the same, semiconductor device, and method of fabricating the same
US6911698B2 (en) 1998-07-17 2005-06-28 Semiconductor Energy Laboratory Co., Ltd. Crystalline semiconductor thin film, method of fabricating the same, semiconductor device, and method of fabricating the same
US20070120189A1 (en) * 1998-07-17 2007-05-31 Semiconductor Energy Laboratory Co., Ltd. Crystalline semiconductor thin film, method of fabricating the same, semiconductor device, and method of fabricating the same
US6559036B1 (en) 1998-08-07 2003-05-06 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of manufacturing the same
US7186600B2 (en) 1998-08-07 2007-03-06 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of manufacturing the same
US7847294B2 (en) 1998-08-07 2010-12-07 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of manufacturing the same
US6930029B2 (en) 1998-09-03 2005-08-16 Micron Technology, Inc. Method of passivating an oxide surface subjected to a conductive material anneal
US6774022B2 (en) 1998-09-03 2004-08-10 Micron Technology, Inc. Method of passivating an oxide surface subjected to a conductive material anneal
US6559053B1 (en) 1998-09-03 2003-05-06 Micron Technology, Inc. Method of passivating an oxide surface subjected to a conductive material anneal
US6555455B1 (en) * 1998-09-03 2003-04-29 Micron Technology, Inc. Methods of passivating an oxide surface subjected to a conductive material anneal
US7402467B1 (en) 1999-03-26 2008-07-22 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US20080305569A1 (en) * 1999-03-26 2008-12-11 Semiconductor Energy Laboratory Co., Ltd. Semiconductor Device and a Method of Manufacturing the Same
US9876033B2 (en) 1999-03-26 2018-01-23 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and a method of manufacturing the same
US9620573B2 (en) 1999-03-26 2017-04-11 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device including light-emitting element
US9105523B2 (en) 1999-03-26 2015-08-11 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and a method of manufacturing the same
US8686553B2 (en) 1999-03-26 2014-04-01 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and a method of manufacturing the same
US8658481B2 (en) 1999-03-26 2014-02-25 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US8274083B2 (en) 1999-03-26 2012-09-25 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and a method of manufacturing the same
US20110101367A1 (en) * 1999-03-26 2011-05-05 Semiconductor Energy Laboratory Co., Ltd Semiconductor Device and A Method of Manufacturing the Same
US7871936B2 (en) 1999-03-26 2011-01-18 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing active matrix display device
US6913986B2 (en) 2000-04-04 2005-07-05 Matsushita Electric Industrial Co., Ltd. Method and apparatus for fabricating a thin film and thin film transistor and method of fabricating same
US6525864B1 (en) 2000-07-20 2003-02-25 Nayna Networks, Inc. Integrated mirror array and circuit device
US7655513B2 (en) 2001-03-29 2010-02-02 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US6794274B2 (en) * 2001-04-03 2004-09-21 Wen-Chang Yeh Method for fabricating a polycrystalline silicon film
US8389342B2 (en) 2001-04-27 2013-03-05 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a semiconductor device
US7709302B2 (en) 2001-04-27 2010-05-04 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a semiconductor device
US6803296B2 (en) 2001-06-01 2004-10-12 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device with leveling of a surface of a semiconductor film through irradiation
US20020182785A1 (en) * 2001-06-01 2002-12-05 Hidekazu Miyairi Method of manufacturing a semiconductor device
US6771851B1 (en) 2001-06-19 2004-08-03 Nayna Networks Fast switching method for a micro-mirror device for optical switching applications
US20070196960A1 (en) * 2001-07-02 2007-08-23 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of manufacturing the same
US7998845B2 (en) 2001-07-02 2011-08-16 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of manufacturing the same
US7960296B2 (en) 2001-08-30 2011-06-14 Semiconductor Energy Laboratory Co., Ltd. Crystalline semiconductor film, method of manufacturing the same, and semiconductor device
US7452791B2 (en) * 2001-08-30 2008-11-18 Semiconductor Energy Laboratory Co., Ltd. Crystalline semiconductor film, method of manufacturing the same, and semiconductor device
US20060024925A1 (en) * 2001-08-30 2006-02-02 Semiconductor Energy Laboratory Co., Ltd. Crystalline semiconductor film, method of manufacturing the same, and semiconductor device
US20040016924A1 (en) * 2002-03-11 2004-01-29 Tsutomu Yamada Top gate type thin film transistor
US7071083B2 (en) * 2003-05-02 2006-07-04 Au Optronics Corp. Method of fabricating polysilicon film by excimer laser crystallization process
US20040235276A1 (en) * 2003-05-02 2004-11-25 Kun-Chih Lin Method of fabricating polysilicon film by excimer laser crystallization process
US20080122900A1 (en) * 2005-09-16 2008-05-29 Piatt Michael J Continuous ink jet apparatus with integrated drop action devices and control circuitry
US20120154456A1 (en) * 2006-05-31 2012-06-21 Semiconductor Energy Laboratory Co., Ltd. Display device, driving method of display device, and electronic appliance

Also Published As

Publication number Publication date
JPH0864545A (en) 1996-03-08
JP3464285B2 (en) 2003-11-05

Similar Documents

Publication Publication Date Title
US5693541A (en) Method for manufacturing a semiconductor device using a silicon nitride mask
JP2860869B2 (en) Semiconductor device and manufacturing method thereof
US5843833A (en) Method for producing semiconductor device
JP3562590B2 (en) Semiconductor device manufacturing method
US7470575B2 (en) Process for fabricating semiconductor device
US6184068B1 (en) Process for fabricating semiconductor device
US20080286950A1 (en) Semiconductor device and method for manufacturing the same
JPH0758339A (en) Semiconductor device and its production
US7186601B2 (en) Method of fabricating a semiconductor device utilizing a catalyst material solution
JP4162727B2 (en) Method for manufacturing semiconductor device
JP2762219B2 (en) Semiconductor device and manufacturing method thereof
JP2762218B2 (en) Semiconductor device and manufacturing method thereof
JP3488361B2 (en) Method for manufacturing semiconductor device
JP3545289B2 (en) Semiconductor device manufacturing method
JP4011404B2 (en) Method for manufacturing semiconductor device
JP3618604B2 (en) Semiconductor device manufacturing method
JP3488441B2 (en) Method for manufacturing active liquid crystal display device
JP3999042B2 (en) Method for manufacturing semiconductor device
JP3602344B2 (en) Thin film transistor and method for manufacturing the same
JP3602430B2 (en) Method for manufacturing semiconductor device
JP3950307B2 (en) Method for manufacturing semiconductor device
JP3600092B2 (en) Semiconductor device manufacturing method
JP3488360B2 (en) Method for manufacturing semiconductor device
JP2001338877A (en) Manufacturing method of semiconductor device
JP2001332496A (en) Method of manufacturing semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: SEMICONDUCTOR ENERGY LABORATORY CO., LTD., JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:YAMAZAKI, SHUNPEI;ZHANG, HONGYONG;REEL/FRAME:007633/0534

Effective date: 19950818

STCF Information on status: patent grant

Free format text: PATENTED CASE

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12