US5173442A - Methods of forming channels and vias in insulating layers - Google Patents

Methods of forming channels and vias in insulating layers Download PDF

Info

Publication number
US5173442A
US5173442A US07/857,011 US85701192A US5173442A US 5173442 A US5173442 A US 5173442A US 85701192 A US85701192 A US 85701192A US 5173442 A US5173442 A US 5173442A
Authority
US
United States
Prior art keywords
channel
insulating layer
etch
mask
soft mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US07/857,011
Inventor
David H. Carey
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Microelectronics and Computer Technology Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
US case filed in California Northern District Court litigation Critical https://portal.unifiedpatents.com/litigation/California%20Northern%20District%20Court/case/3%3A08-cv-00986 Source: District Court Jurisdiction: California Northern District Court "Unified Patents Litigation Data" by Unified Patents is licensed under a Creative Commons Attribution 4.0 International License.
US case filed in Texas Eastern District Court litigation https://portal.unifiedpatents.com/litigation/Texas%20Eastern%20District%20Court/case/6%3A06-cv-00154 Source: District Court Jurisdiction: Texas Eastern District Court "Unified Patents Litigation Data" by Unified Patents is licensed under a Creative Commons Attribution 4.0 International License.
US case filed in Texas Eastern District Court litigation https://portal.unifiedpatents.com/litigation/Texas%20Eastern%20District%20Court/case/2%3A05-cv-00440 Source: District Court Jurisdiction: Texas Eastern District Court "Unified Patents Litigation Data" by Unified Patents is licensed under a Creative Commons Attribution 4.0 International License.
First worldwide family litigation filed litigation https://patents.darts-ip.com/?family=27415750&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=US5173442(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Priority claimed from US07/557,427 external-priority patent/US5091339A/en
Priority claimed from US07/840,428 external-priority patent/US5219787A/en
Priority to US07/857,011 priority Critical patent/US5173442A/en
Application filed by Microelectronics and Computer Technology Corp filed Critical Microelectronics and Computer Technology Corp
Publication of US5173442A publication Critical patent/US5173442A/en
Application granted granted Critical
Assigned to SAMSUNG ELECTRONICS CO., LTD. reassignment SAMSUNG ELECTRONICS CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MICROELECTRONICS AND COMPUTER TECHNOLOGY CORPORATION
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76817Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics using printing or stamping techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/0011Working of insulating substrates or insulating layers
    • H05K3/0017Etching of the substrate by chemical or physical means
    • H05K3/0026Etching of the substrate by chemical or physical means by laser ablation
    • H05K3/0032Etching of the substrate by chemical or physical means by laser ablation of organic insulating material
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/0011Working of insulating substrates or insulating layers
    • H05K3/0017Etching of the substrate by chemical or physical means
    • H05K3/0041Etching of the substrate by chemical or physical means by plasma etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/0011Working of insulating substrates or insulating layers
    • H05K3/0044Mechanical working of the substrate, e.g. drilling or punching
    • H05K3/005Punching of holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1031Dual damascene by forming vias in the via-level dielectric prior to deposition of the trench-level dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/095Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00 with a principal constituent of the material being a combination of two or more materials provided in the groups H01L2924/013 - H01L2924/0715
    • H01L2924/097Glass-ceramics, e.g. devitrified glass
    • H01L2924/09701Low temperature co-fired ceramic [LTCC]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3011Impedance
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/01Dielectrics
    • H05K2201/0137Materials
    • H05K2201/0166Polymeric layer used for special processing, e.g. resist for etching insulating material or photoresist used as a mask during plasma etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/09Shape and layout
    • H05K2201/09209Shape and layout details of conductors
    • H05K2201/095Conductive through-holes or vias
    • H05K2201/09563Metal filled via
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2203/00Indexing scheme relating to apparatus or processes for manufacturing printed circuits covered by H05K3/00
    • H05K2203/01Tools for processing; Objects used during processing
    • H05K2203/0104Tools for processing; Objects used during processing for patterning or coating
    • H05K2203/0108Male die used for patterning, punching or transferring
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2203/00Indexing scheme relating to apparatus or processes for manufacturing printed circuits covered by H05K3/00
    • H05K2203/02Details related to mechanical or acoustic processing, e.g. drilling, punching, cutting, using ultrasound
    • H05K2203/025Abrading, e.g. grinding or sand blasting
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2203/00Indexing scheme relating to apparatus or processes for manufacturing printed circuits covered by H05K3/00
    • H05K2203/05Patterning and lithography; Masks; Details of resist
    • H05K2203/0548Masks
    • H05K2203/0554Metal used as mask for etching vias, e.g. by laser ablation
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2203/00Indexing scheme relating to apparatus or processes for manufacturing printed circuits covered by H05K3/00
    • H05K2203/05Patterning and lithography; Masks; Details of resist
    • H05K2203/0562Details of resist
    • H05K2203/0574Stacked resist layers used for different processes
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2203/00Indexing scheme relating to apparatus or processes for manufacturing printed circuits covered by H05K3/00
    • H05K2203/10Using electric, magnetic and electromagnetic fields; Using laser light
    • H05K2203/107Using laser light
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2203/00Indexing scheme relating to apparatus or processes for manufacturing printed circuits covered by H05K3/00
    • H05K2203/11Treatments characterised by their effect, e.g. heating, cooling, roughening
    • H05K2203/1189Pressing leads, bumps or a die through an insulating layer
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/0011Working of insulating substrates or insulating layers
    • H05K3/0017Etching of the substrate by chemical or physical means
    • H05K3/0023Etching of the substrate by chemical or physical means by exposure and development of a photosensitive insulating layer
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/0011Working of insulating substrates or insulating layers
    • H05K3/0017Etching of the substrate by chemical or physical means
    • H05K3/0026Etching of the substrate by chemical or physical means by laser ablation
    • H05K3/0032Etching of the substrate by chemical or physical means by laser ablation of organic insulating material
    • H05K3/0035Etching of the substrate by chemical or physical means by laser ablation of organic insulating material of blind holes, i.e. having a metal layer at the bottom
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/10Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern
    • H05K3/107Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern by filling grooves in the support with conductive material
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/38Improvement of the adhesion between the insulating substrate and the metal
    • H05K3/388Improvement of the adhesion between the insulating substrate and the metal by the use of a metallic or inorganic thin film adhesion layer
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/40Forming printed elements for providing electric connections to or between printed circuits
    • H05K3/4038Through-connections; Vertical interconnect access [VIA] connections
    • H05K3/4076Through-connections; Vertical interconnect access [VIA] connections by thin-film techniques
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/40Forming printed elements for providing electric connections to or between printed circuits
    • H05K3/42Plated through-holes or plated via connections
    • H05K3/422Plated through-holes or plated via connections characterised by electroless plating method; pretreatment therefor
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/46Manufacturing multilayer circuits
    • H05K3/4644Manufacturing multilayer circuits by building the multilayer layer by layer, i.e. build-up multilayer circuits
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/46Manufacturing multilayer circuits
    • H05K3/4644Manufacturing multilayer circuits by building the multilayer layer by layer, i.e. build-up multilayer circuits
    • H05K3/4661Adding a circuit layer by direct wet plating, e.g. electroless plating; insulating materials adapted therefor
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/94Laser ablative material removal

Definitions

  • the present invention is directed to trenching techniques for conductive electronic interconnects, and more particularly to methods for fabricating channels and vias in high density multilayers such as substrates and integrated circuits in few and simple steps.
  • High density copper/polyimide interconnects can be fabricated by providing conductors on a base, building interlayer pillars on the conductors, and coating the conductor-pillar structure with polyimide.
  • the present invention provides trenching techniques for fabrication of electrical interconnects by forming the conductor channels and vias using well known low cost processes such as die stamping, etching, plating and polishing in relatively few steps while retaining design flexibility.
  • Multilevel electrical interconnects suitable for the present invention include substrates, printed circuit boards, printed wiring boards, thin film interconnects, planar integrated circuit interconnects, multilevel integrated circuit wiring and the like.
  • An object of the present invention is to form vias and channels in an insulating layer with relatively few and simple process steps, as well as filling the vias and channels with a conductor with relatively few and simple process steps.
  • Still another object of the present invention is to form conductive channels and vias simultaneously as well as to provide via stacking.
  • Another object of the present invention is to minimize yield loss mechanisms that often accompany multilayer interconnect assembly, such as pinholes and mechanical weakness.
  • a method for fabricating an electrically conductive interconnect comprising the sequential steps of providing an insulating layer on a base, forming a channel in the top surface and partially through the thickness of the insulating layer, forming a via in the top surface and completely through the thickness of the insulating layer adjacent the channel, depositing an electrical conductor into the channel and via, and planarizing the interconnect top surface so that the electrically conductive layer remains only in the channel and via and is otherwise removed from the top surface of the insulating layer, and the interconnect top surface is substantially smooth, thereby forming an electrically conducting channel interconnected to an electrically conducting via.
  • FIGS. 1a-1f are cross-sectional views detailing a method of forming the vias and channels with a hard mask and soft mask in accordance with a first embodiment of the invention
  • FIGS. 2a-2f are cross-sectional views detailing a method of forming the vias and channels with two stacked soft masks in accordance with a second embodiment of the invention
  • FIGS. 3a-3f are cross-sectional views detailing another method of forming the vias and channels with two stacked soft masks in accordance with a third embodiment of the invention.
  • FIGS. 4a-4g are cross-sectional views detailing a method of forming the vias and channels with two soft masks applied and etched sequentially in accordance with a fourth embodiment of the invention.
  • FIGS. 5a-5g are cross-sectional views detailing another method of forming the vias and channels with two soft masks applied and etched sequentially in accordance with a fifth embodiment of the invention.
  • FIGS. 6a-6e are cross-sectional views detailing a method of forming the vias and channels with a metal die stamping plate in accordance with a sixth embodiment of the invention.
  • FIGS. 7a-7f are cross-sectional views detailing a method of forming the vias and channels with two layers of photoimagible polyimide in accordance with a seventh embodiment of the invention.
  • FIGS. 8a-8f are cross-sectional views detailing a method of forming the vias and channels with a mask followed by laser drilling in accordance with an eighth embodiment of the invention.
  • FIGS. 9a-9b are cross-sectional views detailing a method of depositing an electrical conductor in the vias and channels;
  • FIGS. 10a-10c are cross-sectional views detailing another method of depositing an electrical conductor in the vias and channels;
  • FIGS. 11a-11c are cross-sectional views detailing planarizing the substrate top surface by polishing
  • FIG. 12 is a cross-sectional view showing via stacking in a multilayer electrical interconnect
  • FIG. 13 is a cross-sectional view similar to FIG. 12 showing the conductors in the top layer slightly etched back to provide registration for bumps on an integrated circuit;
  • FIGS. 14a-14b are photomicrographs of vias and channels formed according to the first embodiment of the present invention.
  • FIGS. 15a-15b are photomicrographs of vias and channels formed according to the fifth embodiment of the present invention.
  • a multilayer electrical interconnect will be depicted by a copper/polyimide substrate 10 in the examples that follow.
  • the vias and channels are formed in a single step, whereas in embodiments 4, 5, 7 and 8 the vias and channels are formed in separate steps.
  • an irradiation source is required.
  • two polyimide layers are required.
  • a high density electronic interconnect substrate designated as 10 is fabricated on a base 12.
  • Base 12 is shown as a ceramic such as alumina ceramic or glass ceramic, however, it is understood that base 12 can be an organic or inorganic insulator, a conductor, an integrated circuit, or a preceding layer if the interconnect is a multilevel structure.
  • Base 12 may require appropriate surface preparation, such as polishing, cleaning, etching, or roughening to assure acceptable contamination removal and/or surface finish. For example it ma be advantageous to roughen a copper/polyimide base by plasma etching, or to smoothen a ceramic, plastic, or metal base by polishing.
  • An insulating layer shown as polyimide layer 14 having 15 microns thickness is deposited over and cured on base 12.
  • FIGS. 1a-1f show a first embodiment for forming the conductor channels and vias.
  • a thin blanket layer of metal such as 2500 angstroms copper over 700 angstroms chromium is sputtered over polyimide layer 14 to form hard mask 16, which after conventional patterning has openings to expose via regions 20 and channel regions 22.
  • soft mask 18 of photoresist which can also be silicon-dioxide or silicon-nitride, is placed over hard mask 16 and after conventional patterning has openings to expose via regions 20 but covers channel regions 22. Thus via regions 20 are exposed but channel regions 22 are not exposed.
  • a suitable etch is applied wherein hard mask 16 is non-erodible but soft mask 18 erodes.
  • a dry etcher can generate plasma etch 24. That is, as plasma etch 24 is applied hard mask 16 etchs slowly or not at all while soft mask 18 etchs rapidly and merely causes a time delay until plasma contacts the regions covered by soft mask 18.
  • Soft mask 18 is made thick enough to provide the correct etching time delay for underlying polyimide layer 14. In this example via regions 20 are intended to be 10 microns deeper than channel regions 22, so soft mask 18 can be 10 microns thick photoresist provided it etches at the same rate as underlying polyimide layer 14. Thus the thickness of soft mask 18 can be adjusted for differential etch rates between soft mask 18 and underlying polyimide 14.
  • Plasma etch 24 can comprise 90% O 2 and 10% SF6 at 600 watts RF power and 150 millitorrs pressure, although the parameters for plasma etch 24 such as power, pressure, chemistry, and electrode spacing are highly variable depending on the desired etch rates, profiles, and etcher machines.
  • the parameters for plasma etch 24 such as power, pressure, chemistry, and electrode spacing are highly variable depending on the desired etch rates, profiles, and etcher machines.
  • FIG. 1d shows soft mask 18 completely eroded, and via regions 20 partially etched through polyimide layer 14. If desired, via regions 20 could be fully etched at this stage. As plasma etch 24 continues, FIG.
  • Via sidewalls 26 preferably are tilted at sidewall angle 28 of 20° and converge toward the bottom of polyimide layer 14 to improve fabrication of additional materials thereon.
  • the selection of sidewall angle 28 is a trade-off between denser vias from more vertical sidewall slopes and improved step coverage vias from less vertical sidewall slopes.
  • FIG. 1f plasma etch 24 is discontinued and hard mask 16 is removed from polyimide layer 14. Via regions 20 and channel regions 22 are now formed. Substrate 10 may now require surface cleaning if contamination develops from etch products not carried away by the etch process.
  • FIGS. 14a and 14b The formation of vias and channels in a polyimide layer in accordance with the first embodiment was demonstrated as shown in FIGS. 14a and 14b.
  • etching While dry etching with a plasma etch is the preferred method of etching, other etching methods are suitable for selectively removing material from the insulating layer.
  • a wet chemical etch can be used, and may be more isotropic then a plasma etch thereby facilitating a slope on the via sidewalls, however a wet etch may lead to a via sidewall slope which is too large and/or a wet etch may not have sufficient accuracy for fine pitch geometries.
  • Another suitable etching method is photoablation, or light based etching. The use of an excimer laser to remove selected regions of materials by photoablation in order to pattern the underlying layer is well known in the art.
  • APD abscessive photodecomposition
  • U.S. Pat. No. 4,414,059 describes an APD process in which ultraviolet radiation of wavelengths less than 220 nm cause fragmentation of resist polymer chains and the immediate escape of the fragmented portions from the resist layer. In effect the incident energy is transferred to kinetic energy in rapid and large amounts of bond breaking whereby the polymer chains are fragmented and explode-off as volatile by-products. This is a "positive” process since after the substrate is flooded with light the exposed regions of the polyimide as well as a soft mask will etch.
  • the use of ultraviolet radiation particularly for APD and etching of polyimide is known in the art.
  • Brannon et al describes the use a of U.V. source between 240-400 nm to etch through a polyimide layer.
  • Brannon et al is primarily directed to producing tapered openings in the polyimide structure between metallization layers so that metallization can then be deposited in the openings to connect metallic layers adjacent to the top and bottom surfaces of the polyimide.
  • Plasma etching is preferred over photoablation since plasma etching is fairly easy to apply over a wide field whereas currently photoablation is not.
  • FIGS. 2a-2f show a second embodiment for forming the conductor channels and vias in which any description for the first embodiment in FIGS. 1a-1f is incorporated herein insofar as the same is applicable, and the same description is not repeated.
  • FIG. 2a shows lower soft mask 30 having openings 32 exposing via regions 20 but covering channel regions 22 placed over polyimide layer 14.
  • FIG. 2b shows upper soft mask 34 having openings 36 exposing via regions 20 and channel regions 22 placed over lower soft mask 30. Via openings 32 and 36 are aligned to assure proper placement of via regions 20.
  • FIG. 2c shows plasma etch 24 applied to upper soft mask 34. As plasma etch 24 is applied via regions 20 begin to etch and upper soft mask 34 begins to erode.
  • FIG. 1a-1f shows lower soft mask 30 having openings 32 exposing via regions 20 but covering channel regions 22 placed over polyimide layer 14.
  • FIG. 2b shows upper soft mask 34 having openings 36 exposing via regions 20 and channel regions 22 placed over lower soft mask 30. Via opening
  • FIG. 2d shows upper soft mask 34 completely eroded, lower soft mask 30 eroded over channel regions 22, and via regions 20 partially etched through polyimide layer 14.
  • Plasma etch 24 continues, and in FIG. 2e via regions 20 are etched entirely through polyimide layer 14, channel regions 22 are etched partially through polyimide layer 14, and lower soft mask 30 has completely eroded.
  • FIG. 2f plasma pattern transfer has occurred and etch 24 is discontinued.
  • soft masks 30 and 34 are shown as completely eroded for illustration purposes only, since pattern transfer can also be achieved if one or both of soft masks 30, 34 are only partially eroded, in which case the non-eroded portions remaining after via regions 20 and channel regions 22 are formed and plasma etch 24 is discontinued would be removed from substrate 10 such as by stripping.
  • the use of two soft masks instead of the soft mask and hard mask combination described in the first embodiment is a trade-off since two soft masks require fewer process steps but may require greater process control.
  • FIGS. 3a-3f show a third embodiment for forming the conductor channels and vias in a single step which is identical to the second embodiment in FIGS. 2a-2f, except the lower and upper soft masks have been switched.
  • Lower soft mask 30a has openings 32a exposing via regions 20 and channel regions 22, while upper soft mask 34a has openings 36a exposing via regions 20a but covers channel regions 22a. The same via and channel etching results.
  • the first, second, and third embodiments for forming the conductor channels and vias in a single step are further advantageous in that the adverse effect of mask pinholes is minimized.
  • Pinholes commonly form in masks to create unwanted openings.
  • the location of pinholes tends to be random and highly scattered and the chances of pinholes lining up in an upper and lower mask are slim.
  • FIGS. 4a-4g show a fourth embodiment for forming the conductor channels and vias in which any description for the first embodiment in FIGS. 1a-1f is incorporated herein insofar as the same is applicable, and the same description is not repeated.
  • FIG. 4a shows first soft mask 37, having openings exposing via regions 20, placed over polyimide layer 14 and covering channel regions 22.
  • FIG. 4b shows first plasma etch 24a applied to first soft mask 37. As first plasma etch 24a is applied via regions 20 begin to etch and first soft mask 37 begins to erode.
  • first soft mask 37 is either partially or completely eroded, via regions 20 are partially etched through polyimide layer 14, and channel regions 22 remain unetched.
  • first plasma etch 24a is discontinued and second soft mask 38, having openings to expose via regions 20 and channel regions 22, is placed over polyimide layer 14.
  • FIG. 4e shows second plasma etch 24b applied to second soft mask 38.
  • Second plasma etch 24b continues.
  • via regions 20 are etched completely through polyimide layer 14
  • channel regions 22 are etched partially through polyimide layer 14
  • second soft mask 38 is either partially or completely eroded.
  • second plasma etch 24b is discontinued and via regions 20 and channel regions 22 are formed. If photoresist from mask 37 or 38 remains then it is stripped.
  • FIGS. 5a-5g show a fifth embodiment for forming the conductor channels and vias which is identical to the fourth embodiment in FIGS. 4a-4g except the first and second soft masks have been switched. That is, first soft mask 37a has openings exposing via regions 20 and channel regions 22 whereas second soft mask 34a has openings exposing via regions 20 but covers channel regions 22. Consequently, via regions 20 can not be fully etched by the first etch 24a, otherwise the via and channel etching is the same as the fourth embodiment.
  • the formation of vias and channels in a polyimide layer in accordance with the fifth embodiment was demonstrated as shown in FIGS. 15a and 15b.
  • FIGS. 6a-6e show a sixth embodiment for forming the conductor channels and vias in which any description for the first embodiment in FIGS. 1a-1f is incorporated herein insofar as the same is applicable, and the same description is not repeated.
  • die stamp plate 40 has via abutments 42 and channel abutments 44 extending from plate surface 46. Via abutments 42 and channel abutments 44 are configured to the dimensions and placement of the desired via regions 20 and channel regions 22, respectively.
  • polyimide layer 14 is not fully cured (e.g. still in liquid form or soft baked).
  • plate 40 is heated between 150° C.-200° C. if polyimide layer 14 is in liquid form.
  • plate 40 is stamped against polyimide layer 14 until plate surface 46 contacts top polyimide surface 48. Abutments 42 and 44 selectively displace material in polyimide layer 14.
  • plate 40 is retracted leaving via indentations 50 and channel indentations 52 in polyimide layer 14. Since excess polyimide 54 is likely to remain in via regions 20 beneath via indentations 50 it will be necessary to remove excess polyimide 54 to assure reliable interconnection between the conductive metal to be placed in the via and the underlying surface.
  • a brief descumming plasma etch 56 similar to plasma etch 24 is applied to polyimide layer 14 in order to remove excess polyimide 54 and properly form via regions 20.
  • FIG. 6e brief descumming plasma etch 56 is discontinued.
  • Post-etch surface 58 is slightly and uniformly lower than pre-etch surface 59 (shown as the broken line) by the thickness of excess polyimide 54.
  • the relaxed requirements of brief descumming etch 56 allow a wide variety of preferred etches, such as plasma, wet chemistry, and photoablation.
  • An alternative polymeric insulator such as epoxy may be advantageous due to the relatively low cost, low cure temperature and short cure time as compared to polyimide. Forming vias and channels by stamping the insulator with a plate may be advantageous for vias and channels with large geometries.
  • FIGS. 7a-7f show a seventh embodiment for forming the conductor channels and vias by photodefinition in which any description for the first embodiment in FIGS. 1a-1f is incorporated herein insofar as the same is applicable, and the same description is not repeated.
  • a first photoimagible layer such as photoimagible polymeric having a thickness that defines the distance from the bottom of channel region 22 to the top of base 12, shown as an uncured 10 micron thick first photoimagible polyimide layer 14a.
  • the use of photoimagible polyimide is conventional, see for instance K. K.
  • first photoimagible polyimide layer 14a is selectively exposed to first mercury light 60 through first mask 62 which defines the vias in order to selectively alter the solubility of the exposed polyimide 14a.
  • first mask 62 which defines the vias in order to selectively alter the solubility of the exposed polyimide 14a.
  • a negative process is used wherein the exposed polyimide 14a is outside via regions 20 and is rendered insoluable from irradiation, whereas the unexposed non-irradiated polyimide 14a in via regions 20 remains soluble.
  • first mercury light 60 is discontinued and the soluble polyimide 14a is removed to form openings 64 in lower 10 microns of via regions 20.
  • a soft bake can be applied to polyimide layer 14a to render it harder for further processing.
  • a 5 micron thick second photoimagible layer shown as uncured photoimagible polyimide layer 14b is provided over layer 14a, wherein the thickness of layer 14b defines the depth of channel regions 22.
  • second photoimagible polyimide layer 14b is selectively exposed to second mercury light 66 through second mask 68 to render insoluable the the exposed irradiated polyimide 14b outside via regions 20 and channel regions 22.
  • FIG. 7c first mercury light 60 is discontinued and the soluble polyimide 14a is removed to form openings 64 in lower 10 microns of via regions 20.
  • a soft bake can be applied to polyimide layer 14a to render it harder for further processing.
  • a 5 micron thick second photoimagible layer shown as uncured photoimagible polyimide layer 14b is
  • 7f second mercury light 66 is discontinued and the soluble polyimide 14b is then removed to form openings in channels 22 and upper portions 69 of via regions 20. Thereafter photoimagible polyimide layers 14a and 14b are fully cured. If desired a brief descumming etch 56 (not shown) may be applied.
  • two separate photoimagible insulating layers are necessary to form the vias and channels in a plane, however, the two layers can advantageously be coatings of the same material unlike the use of a separate etch-stop material in the prior art.
  • the seventh embodiment has the advantages that the photodefinition of the vias and channels takes place in the final substrate insulating layer rather than a mask, and the need for a separate etch step is eliminated.
  • FIGS. 8a-8f show a eighth embodiment for forming the conductor channels and vias in which any description for the first embodiment in FIGS. 1a-1f is incorporated herein insofar as the same is applicable, and the same description is not repeated.
  • a mask 70 is placed over polyimide layer 14, and then patternwise developed to have openings exposing via regions 20 and channel regions 22.
  • mask 70 will be a soft mask. While a hard mask is also suitable, soft mask 70 is preferred since after it erodes the plasma roughens the exposed surface of polyimide layer 14 which increases adhesion to subsequently applied materials.
  • plasma etch 24 is applied.
  • FIG. 8b plasma etch 24 is applied.
  • FIG. 8c channel regions 22 and upper portions 72 of via regions 20 are formed, and soft mask 70 is completely eroded.
  • plasma etch 24 is discontinued and laser beam 74 is applied from a CO 2 laser source to thermally ablate and remove insulating material from the lower portions 76 of via regions 20.
  • the power of laser beam 74 can vary widely since unlike the channels there is not critical depth control for the vias and the underlying polyimide is essentially drilled-out.
  • FIG. 8e via regions 20 are formed.
  • laser beam 74 is discontinued. Thereafter a brief descumming etch 56 (not shown) may be applied if desired.
  • via regions 20 and channel regions 22 are formed there are many ways of depositing an electrically conducting layer into the via and channel including electrolytic deposition, electroless deposition, evaporation, sputtering, and squeegeeing.
  • electrolytic depostion is described for FIGS. 9a-9b and FIGS. 10a-10c.
  • seed layer 80 of 2500 angstroms copper over 700 angstroms chrome is sputter deposited over top surface 82 of polyimide layer 14, walls 84 of channel regions 22, sidewalls 86 of vias 20, and base regions 87 exposed beneath vias regions 20. That is, seed layer 80 covers the entire surface of substrate 10 to provide adhesion for subsequent electrolytic deposition of an electrical conductor. While seed layer 80 will provide a more uniform coverage of via sidewalls 86 when they are sloped, should via sidewalls 86 be vertical then sputtered seed layer 80 would be thinner but still of sufficient thickness.
  • electrically conductive layer 90 such as copper is electrolytically deposited over the entire seed layer 80 so that the electrically conductive layer 90 fills via regions 20 and channel regions 22.
  • Electrically conductive layer 90 is preferably the same thickness as the deepest via region 20 to assure via regions 20 are entirely filled to the top of polyimide layer 14 since valleys 91 are likely to form in electrically conductive layer 90 above via regions 20.
  • polyimide layer 14 and thus initially via regions 20
  • seed layer 80 is very thin, therefore after seed layer 80 is deposited the deepest via region 20 and the preferred thickness of electrically conductive layer 90 is about 15 microns.
  • electrically conductive layer 90 be thicker than 15 microns then additional planarization will be required as described in FIGS. 11a-11c. Should electrically conductive layer 90 be thinner than 15 microns then via regions 20 may not be entirely filled; however, if electrically conductive layer 90 substantially fills via regions 20, such as by covering sidewalls 88 with pit 89 therebetween, then proper electrical interconnection can still be made between conductors 90 in via region 20 and an overlaying conductor.
  • high current densities at the top edges of the trenches may cause ridge build-up of the conductive material thereon which blocks the conductive material from filling the trenches. In this case reverse pulse plating can be used during electrodeposition to continually shave back ridge build-ups.
  • FIGS. 10a-10c another method is shown for depositing conductors in the channels and vias.
  • a first electrical conductor 92 is plated, preferably by electroless deposition, into the vias in lower regions 94 which extend to bottom surface 96 of channel regions 22, wherein an electrically conductive base 12a can serve as a first seed layer 98.
  • second seed layer 100 is sputtered over intermediate surface 102.
  • second electrically conductive layer 104 is electrolytically deposited over second seed layer 100. It is noted that since the lower via regions 94 are already filled with first electrical conductor 92 it is only necessary to deposit 5 microns of second electrical conductor 104 in order to assure that via regions 20 and channel regions 22 are filled. This is advantageous since 10 microns less of electrical conductor will need to be removed above polyimide layer 14 then if the vias and channels are filled with a single 15 micron conductive layer as shown in FIG. 9b.
  • the electrical conductors 90, 92 and/or 104 can be deposited by other processes such as evaporation or sputtering which may obviate the need for seed layers 80, 98 and/or 100, respectively. While evaporation is faster than sputtering, sputtering may be preferred for thin metallization, for instance if the vias and channels have been formed in an integrated circuit.
  • Another method for depositing the conductors in the channels and vias is electroless deposition (not shown).
  • the insulating layer must first be treated to be receptive to an electroless plating bath.
  • U.S. Pat. No. 4,701,351 by Jackson discloses a seeding process for electroless metal deposition in which a substrate is coated with a thin (1 micron) layer of a polymer which complexes with a noble metal compound, and the layer of polymer is contacted with a noble metal compound to form a complexed polymer. Thereafter the substrate is placed in an electroless plating bath. A mask can be used to expose selected regions of the polymer to the noble metal compound and/or electroless plating bath. After electroless deposition the substrate surface is planarized such as by polishing.
  • An advantage of electroless deposition is that the pre-deposition treatment layer need not be a conductor.
  • a disadvantage of the predeposition polymer treatment in Jackson is that the polymer seed layer does not typically adhere to the substrate as well as a sputtered conductor seed layer. As compared to electrolytic deposition, electroless deposition tends to provide more uniform coverage but the process takes more time.
  • Another way (not shown) of filling the vias and channels with a conductor is by squeegeeing a liquid metal or metal paste across the surface. After squeegeeing the liquid metal may stay clear of the polyimide surface. In the likely event excess liquid metal remains on the substrate surface it must be removed, as by lightly laping the substrate surface to assure a planarized and non-short-circuited substrate top surface.
  • a disadvantage of squeegeeing is that liquid metals are not as conductive as, say, copper, and thereby may seriously degrade the performance of high density substrates with fine line pitches.
  • the final step of the present invention is planarizing the substrate top surface until electrical conductor 90 remains only in the channels and the vias and the substrate top surface is substantially smooth.
  • a substrate with seed layer 80 and electrically conductive layer 90 deposited in accordance with FIGS. 9a-9b will be shown.
  • top surface 106 of substrate 10 is contacted by polishing means 108 to begin planarization.
  • the polishing should not locally conform to the unevenness in conductor 90 but rather shave off the highest parts of conductor 90 with little or no removal of material elsewhere. Polishing can be performed by mechanical polishing, mechanical/chemical polishing, electropolishing and the like.
  • Electropolishing is also known in the art, for instance R. J. Contolini et al in "Embedded Conductors by Electrochemical Planarization", Abstract No. 184, Abstracts From the Spring Electrochemical Society Meeting, Los Angeles, Calif., May 7, 1989 discuss a process which can produce planarized multilayer interconnects incorporating vertical-walled conductors that is applicable to any metal which can be electroplated and electropolished, such as copper and gold. See also C. W. Koburger, "Trench Planarization Technique", IBM Technical Disclosure Bulletin, Vol. 27, No. 6, pp.
  • polishing means 108 is actuated toward substrate 10 and planarly removes the top of substrate 10 until contact is made with top surface 110 of polyimide layer 14. Seed layer 80 and electrically conductive layer 90 are thus removed by polishing means 108 from the non-via/non-channel regions 112 on top surface 110. That is, seed layer 80 and electrically conductive layer 90 are shaved back until they substantially fill via regions 20 and channel regions 22 but do not extend above polyimide layer 14. In FIG. 11c polishing means 108 is retracted.
  • Polishing can be discontinued a fixed time 109 after polyimide layer 14 is exposed, and fixed time 109 can be selected so that only a negligible amount of polyimide will be removed.
  • a brief plasma etch-back (not shown) of the polished polyimide surface may be applied to provide roughening and thereby improve adhesion to subsequently deposited materials.
  • the top surface 110 of substrate 10 is substantially smooth and electrically conductive via 113 is adjacent and interconnected to electrically conductive channel 114.
  • substrate 10 can be fabricated into a multilayer electrical interconnect 116 with stacked vias by repeating the above steps.
  • conductor 90 is etched-back a slight distance 118 to provide registration for electrical component 120 containing conductive bumps 122.

Abstract

Channels extending partially through and vias extending completely through an insulating layer in an electrical interconnect such as a substrate or integrated circuit can be formed in a relatively few steps with low cost etching and patterning techniques. The channels and vias can then be filled with an electrical conductor in a relatively few steps. In one embodiment a non-erodible hard mask exposing the vias and channels is placed over a polyimide layer, an erodible soft mask exposing the vias but covering the channels is placed over the hard mask, and a plasma etch is applied. The via regions are etched until the soft mask completely erodes and then both the via and channel regions are etched to provide partially etched channels and fully etched vias. Thereafter a seed layer is deposited over the interconnect, and an electrically conductive layer is electrolytically deposited over the seed layer substantially filling the channels and vias. The interconnect surface is then planarized by polishing until the electrical conductor remains only in the channels and vias.

Description

CROSS-REFERENCE TO RELATED APPLICATIONS
This is a continuation of U.S. Ser. No. 07/840,428 filed Feb. 24, 1992, which is a continuation-in-part of U.S. Ser. No. 07/557,427 filed Jul. 23, 1990 (now U.S. Pat. No. 5,091,339).
BACKGROUND
The present invention is directed to trenching techniques for conductive electronic interconnects, and more particularly to methods for fabricating channels and vias in high density multilayers such as substrates and integrated circuits in few and simple steps.
High density copper/polyimide interconnects can be fabricated by providing conductors on a base, building interlayer pillars on the conductors, and coating the conductor-pillar structure with polyimide. This has several drawbacks: the metal conductor-pillar structure is vulnerable to mechanical damage before polyimide encapsulation; resist scumming can develop on underlying patterned layers after wet chemical etching; particles on the surface prior to plating can plate up quickly causing interlayer short circuits; and cooling after the 400° C polyimide cure can create stress between the copper and polyimide since copper has a greater thermal coefficient of expansion.
These drawbacks associated with conductor-pillar structures can be overcome by forming trenches for vias and channels in a polyimide layer and then filling the trenches with a conductor. One such method for fabricating high density electronic circuits having narrow conductors is disclosed by Becker in U.S. Pat. No. 4,417,393. There are several drawbacks to Becker's method, including the need for two insulating layers with different depths to form vias and conductors in an insulating layer, the need for laser machining, and the inability to incorporate electrodeposition.
Furthermore, current interconnect fabrication methods with relatively few steps form conductive channels and vias simultaneously but fail to provide via stacking. Alternative methods which provide via stacking require a relatively large number of process steps.
SUMMARY OF THE INVENTION
The present invention provides trenching techniques for fabrication of electrical interconnects by forming the conductor channels and vias using well known low cost processes such as die stamping, etching, plating and polishing in relatively few steps while retaining design flexibility. Multilevel electrical interconnects suitable for the present invention include substrates, printed circuit boards, printed wiring boards, thin film interconnects, planar integrated circuit interconnects, multilevel integrated circuit wiring and the like.
An object of the present invention is to form vias and channels in an insulating layer with relatively few and simple process steps, as well as filling the vias and channels with a conductor with relatively few and simple process steps.
Still another object of the present invention is to form conductive channels and vias simultaneously as well as to provide via stacking.
Another object of the present invention is to minimize yield loss mechanisms that often accompany multilayer interconnect assembly, such as pinholes and mechanical weakness.
In accomplishing the foregoing objects, there is provided in accordance with one aspect of the present invention a method for fabricating an electrically conductive interconnect, comprising the sequential steps of providing an insulating layer on a base, forming a channel in the top surface and partially through the thickness of the insulating layer, forming a via in the top surface and completely through the thickness of the insulating layer adjacent the channel, depositing an electrical conductor into the channel and via, and planarizing the interconnect top surface so that the electrically conductive layer remains only in the channel and via and is otherwise removed from the top surface of the insulating layer, and the interconnect top surface is substantially smooth, thereby forming an electrically conducting channel interconnected to an electrically conducting via.
Further objects, features and advantages will be apparent from the following description of presently preferred embodiments of the invention, given for the purpose of disclosure and taken in conjunction with the accompanying drawings.
BRIEF DESCRIPTION OF THE DRAWINGS
FIGS. 1a-1f are cross-sectional views detailing a method of forming the vias and channels with a hard mask and soft mask in accordance with a first embodiment of the invention;
FIGS. 2a-2f are cross-sectional views detailing a method of forming the vias and channels with two stacked soft masks in accordance with a second embodiment of the invention;
FIGS. 3a-3f are cross-sectional views detailing another method of forming the vias and channels with two stacked soft masks in accordance with a third embodiment of the invention;
FIGS. 4a-4g are cross-sectional views detailing a method of forming the vias and channels with two soft masks applied and etched sequentially in accordance with a fourth embodiment of the invention;
FIGS. 5a-5g are cross-sectional views detailing another method of forming the vias and channels with two soft masks applied and etched sequentially in accordance with a fifth embodiment of the invention;
FIGS. 6a-6e are cross-sectional views detailing a method of forming the vias and channels with a metal die stamping plate in accordance with a sixth embodiment of the invention;
FIGS. 7a-7f are cross-sectional views detailing a method of forming the vias and channels with two layers of photoimagible polyimide in accordance with a seventh embodiment of the invention;
FIGS. 8a-8f are cross-sectional views detailing a method of forming the vias and channels with a mask followed by laser drilling in accordance with an eighth embodiment of the invention;
FIGS. 9a-9b are cross-sectional views detailing a method of depositing an electrical conductor in the vias and channels;
FIGS. 10a-10c are cross-sectional views detailing another method of depositing an electrical conductor in the vias and channels;
FIGS. 11a-11c are cross-sectional views detailing planarizing the substrate top surface by polishing;
FIG. 12 is a cross-sectional view showing via stacking in a multilayer electrical interconnect;
FIG. 13 is a cross-sectional view similar to FIG. 12 showing the conductors in the top layer slightly etched back to provide registration for bumps on an integrated circuit;
FIGS. 14a-14b are photomicrographs of vias and channels formed according to the first embodiment of the present invention; and
FIGS. 15a-15b are photomicrographs of vias and channels formed according to the fifth embodiment of the present invention.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
Eight embodiments for forming conductor channels and vias in multilayer electrical interconnects are now described. For illustration purposes, a multilayer electrical interconnect will be depicted by a copper/polyimide substrate 10 in the examples that follow. In embodiments 1, 2, 3 and 6 the vias and channels are formed in a single step, whereas in embodiments 4, 5, 7 and 8 the vias and channels are formed in separate steps. In embodiments 7 and 8 an irradiation source is required. In embodiment 7 two polyimide layers are required.
FIRST EMBODIMENT
Referring now to the drawings, a high density electronic interconnect substrate designated as 10 is fabricated on a base 12. Base 12 is shown as a ceramic such as alumina ceramic or glass ceramic, however, it is understood that base 12 can be an organic or inorganic insulator, a conductor, an integrated circuit, or a preceding layer if the interconnect is a multilevel structure. Base 12 may require appropriate surface preparation, such as polishing, cleaning, etching, or roughening to assure acceptable contamination removal and/or surface finish. For example it ma be advantageous to roughen a copper/polyimide base by plasma etching, or to smoothen a ceramic, plastic, or metal base by polishing. An insulating layer shown as polyimide layer 14 having 15 microns thickness is deposited over and cured on base 12.
FIGS. 1a-1f show a first embodiment for forming the conductor channels and vias. In FIG. 1a a thin blanket layer of metal such as 2500 angstroms copper over 700 angstroms chromium is sputtered over polyimide layer 14 to form hard mask 16, which after conventional patterning has openings to expose via regions 20 and channel regions 22. In FIG. 1b soft mask 18 of photoresist, which can also be silicon-dioxide or silicon-nitride, is placed over hard mask 16 and after conventional patterning has openings to expose via regions 20 but covers channel regions 22. Thus via regions 20 are exposed but channel regions 22 are not exposed. In FIG. 1c a suitable etch is applied wherein hard mask 16 is non-erodible but soft mask 18 erodes. For illustration purposes a dry etcher can generate plasma etch 24. That is, as plasma etch 24 is applied hard mask 16 etchs slowly or not at all while soft mask 18 etchs rapidly and merely causes a time delay until plasma contacts the regions covered by soft mask 18. Soft mask 18 is made thick enough to provide the correct etching time delay for underlying polyimide layer 14. In this example via regions 20 are intended to be 10 microns deeper than channel regions 22, so soft mask 18 can be 10 microns thick photoresist provided it etches at the same rate as underlying polyimide layer 14. Thus the thickness of soft mask 18 can be adjusted for differential etch rates between soft mask 18 and underlying polyimide 14. Plasma etch 24 can comprise 90% O2 and 10% SF6 at 600 watts RF power and 150 millitorrs pressure, although the parameters for plasma etch 24 such as power, pressure, chemistry, and electrode spacing are highly variable depending on the desired etch rates, profiles, and etcher machines. As via regions 20 begin to etch channel regions 22 remain covered and undisturbed, and soft mask 18 begins to erode. Plasma etch 24 will tend to etch vertically and attack the exposed surfaces at the same rate. FIG. 1d shows soft mask 18 completely eroded, and via regions 20 partially etched through polyimide layer 14. If desired, via regions 20 could be fully etched at this stage. As plasma etch 24 continues, FIG. 1e shows via regions 20 etched 15 microns through the entire thickness of polyimide layer 14, and channel regions 22 etched 5 microns through a partial thickness of polyimide layer 14. The depth of 5 micron channels in a 15 micron insulating layer was selected as a compromise between the Scylla and Charybdis of high line resistance from too thin a channel and low characteristic impedance (i.e. capacitive loading) from too thick a channel. Via sidewalls 26 preferably are tilted at sidewall angle 28 of 20° and converge toward the bottom of polyimide layer 14 to improve fabrication of additional materials thereon. The selection of sidewall angle 28 is a trade-off between denser vias from more vertical sidewall slopes and improved step coverage vias from less vertical sidewall slopes. Sloped via sidewalls may be difficult to accomplish with a hard mask and a dry etch since the plasma may etch anisotropically. In FIG. 1f plasma etch 24 is discontinued and hard mask 16 is removed from polyimide layer 14. Via regions 20 and channel regions 22 are now formed. Substrate 10 may now require surface cleaning if contamination develops from etch products not carried away by the etch process. The formation of vias and channels in a polyimide layer in accordance with the first embodiment was demonstrated as shown in FIGS. 14a and 14b.
While dry etching with a plasma etch is the preferred method of etching, other etching methods are suitable for selectively removing material from the insulating layer. A wet chemical etch can be used, and may be more isotropic then a plasma etch thereby facilitating a slope on the via sidewalls, however a wet etch may lead to a via sidewall slope which is too large and/or a wet etch may not have sufficient accuracy for fine pitch geometries. Another suitable etching method is photoablation, or light based etching. The use of an excimer laser to remove selected regions of materials by photoablation in order to pattern the underlying layer is well known in the art. This is termed "ablative photodecomposition" (APD) and requires high powered pulsed sources. U.S. Pat. No. 4,414,059 describes an APD process in which ultraviolet radiation of wavelengths less than 220 nm cause fragmentation of resist polymer chains and the immediate escape of the fragmented portions from the resist layer. In effect the incident energy is transferred to kinetic energy in rapid and large amounts of bond breaking whereby the polymer chains are fragmented and explode-off as volatile by-products. This is a "positive" process since after the substrate is flooded with light the exposed regions of the polyimide as well as a soft mask will etch. The use of ultraviolet radiation particularly for APD and etching of polyimide is known in the art. U.S. Pat. No. 4,508,749 by Brannon et al describes the use a of U.V. source between 240-400 nm to etch through a polyimide layer. Brannon et al is primarily directed to producing tapered openings in the polyimide structure between metallization layers so that metallization can then be deposited in the openings to connect metallic layers adjacent to the top and bottom surfaces of the polyimide. Plasma etching is preferred over photoablation since plasma etching is fairly easy to apply over a wide field whereas currently photoablation is not.
SECOND EMBODIMENT
FIGS. 2a-2f show a second embodiment for forming the conductor channels and vias in which any description for the first embodiment in FIGS. 1a-1f is incorporated herein insofar as the same is applicable, and the same description is not repeated. FIG. 2a shows lower soft mask 30 having openings 32 exposing via regions 20 but covering channel regions 22 placed over polyimide layer 14. FIG. 2b shows upper soft mask 34 having openings 36 exposing via regions 20 and channel regions 22 placed over lower soft mask 30. Via openings 32 and 36 are aligned to assure proper placement of via regions 20. FIG. 2c shows plasma etch 24 applied to upper soft mask 34. As plasma etch 24 is applied via regions 20 begin to etch and upper soft mask 34 begins to erode. FIG. 2d shows upper soft mask 34 completely eroded, lower soft mask 30 eroded over channel regions 22, and via regions 20 partially etched through polyimide layer 14. Plasma etch 24 continues, and in FIG. 2e via regions 20 are etched entirely through polyimide layer 14, channel regions 22 are etched partially through polyimide layer 14, and lower soft mask 30 has completely eroded. In FIG. 2f plasma pattern transfer has occurred and etch 24 is discontinued. It is understood that soft masks 30 and 34 are shown as completely eroded for illustration purposes only, since pattern transfer can also be achieved if one or both of soft masks 30, 34 are only partially eroded, in which case the non-eroded portions remaining after via regions 20 and channel regions 22 are formed and plasma etch 24 is discontinued would be removed from substrate 10 such as by stripping. The use of two soft masks instead of the soft mask and hard mask combination described in the first embodiment is a trade-off since two soft masks require fewer process steps but may require greater process control.
THIRD EMBODIMENT
FIGS. 3a-3f show a third embodiment for forming the conductor channels and vias in a single step which is identical to the second embodiment in FIGS. 2a-2f, except the lower and upper soft masks have been switched. Lower soft mask 30a has openings 32a exposing via regions 20 and channel regions 22, while upper soft mask 34a has openings 36a exposing via regions 20a but covers channel regions 22a. The same via and channel etching results.
The first, second, and third embodiments for forming the conductor channels and vias in a single step are further advantageous in that the adverse effect of mask pinholes is minimized. Pinholes commonly form in masks to create unwanted openings. However, the location of pinholes tends to be random and highly scattered and the chances of pinholes lining up in an upper and lower mask are slim.
FOURTH EMBODIMENT
FIGS. 4a-4g show a fourth embodiment for forming the conductor channels and vias in which any description for the first embodiment in FIGS. 1a-1f is incorporated herein insofar as the same is applicable, and the same description is not repeated. FIG. 4a shows first soft mask 37, having openings exposing via regions 20, placed over polyimide layer 14 and covering channel regions 22. FIG. 4b shows first plasma etch 24a applied to first soft mask 37. As first plasma etch 24a is applied via regions 20 begin to etch and first soft mask 37 begins to erode. In FIG. 4c first soft mask 37 is either partially or completely eroded, via regions 20 are partially etched through polyimide layer 14, and channel regions 22 remain unetched. Alternatively, if desired, at this point via regions 20 can be completely etched. In FIG. 4d first plasma etch 24a is discontinued and second soft mask 38, having openings to expose via regions 20 and channel regions 22, is placed over polyimide layer 14. FIG. 4e shows second plasma etch 24b applied to second soft mask 38. Second plasma etch 24b continues. In FIG. 4f via regions 20 are etched completely through polyimide layer 14, channel regions 22 are etched partially through polyimide layer 14, and second soft mask 38 is either partially or completely eroded. In FIG. 4g second plasma etch 24b is discontinued and via regions 20 and channel regions 22 are formed. If photoresist from mask 37 or 38 remains then it is stripped.
FIFTH EMBODIMENT
FIGS. 5a-5g show a fifth embodiment for forming the conductor channels and vias which is identical to the fourth embodiment in FIGS. 4a-4g except the first and second soft masks have been switched. That is, first soft mask 37a has openings exposing via regions 20 and channel regions 22 whereas second soft mask 34a has openings exposing via regions 20 but covers channel regions 22. Consequently, via regions 20 can not be fully etched by the first etch 24a, otherwise the via and channel etching is the same as the fourth embodiment. The formation of vias and channels in a polyimide layer in accordance with the fifth embodiment was demonstrated as shown in FIGS. 15a and 15b.
SIXTH EMBODIMENT
FIGS. 6a-6e show a sixth embodiment for forming the conductor channels and vias in which any description for the first embodiment in FIGS. 1a-1f is incorporated herein insofar as the same is applicable, and the same description is not repeated. In FIG. 6a die stamp plate 40 has via abutments 42 and channel abutments 44 extending from plate surface 46. Via abutments 42 and channel abutments 44 are configured to the dimensions and placement of the desired via regions 20 and channel regions 22, respectively. Unlike the first embodiment, polyimide layer 14 is not fully cured (e.g. still in liquid form or soft baked). Preferably plate 40 is heated between 150° C.-200° C. if polyimide layer 14 is in liquid form. In FIG. 6b plate 40 is stamped against polyimide layer 14 until plate surface 46 contacts top polyimide surface 48. Abutments 42 and 44 selectively displace material in polyimide layer 14. In FIG. 6c plate 40 is retracted leaving via indentations 50 and channel indentations 52 in polyimide layer 14. Since excess polyimide 54 is likely to remain in via regions 20 beneath via indentations 50 it will be necessary to remove excess polyimide 54 to assure reliable interconnection between the conductive metal to be placed in the via and the underlying surface. In FIG. 6d a brief descumming plasma etch 56 similar to plasma etch 24 is applied to polyimide layer 14 in order to remove excess polyimide 54 and properly form via regions 20. In FIG. 6e brief descumming plasma etch 56 is discontinued. Post-etch surface 58 is slightly and uniformly lower than pre-etch surface 59 (shown as the broken line) by the thickness of excess polyimide 54. The relaxed requirements of brief descumming etch 56 allow a wide variety of preferred etches, such as plasma, wet chemistry, and photoablation. An alternative polymeric insulator such as epoxy may be advantageous due to the relatively low cost, low cure temperature and short cure time as compared to polyimide. Forming vias and channels by stamping the insulator with a plate may be advantageous for vias and channels with large geometries.
SEVENTH EMBODIMENT
FIGS. 7a-7f show a seventh embodiment for forming the conductor channels and vias by photodefinition in which any description for the first embodiment in FIGS. 1a-1f is incorporated herein insofar as the same is applicable, and the same description is not repeated. In FIG. 7a over base 12 is provided a first photoimagible layer such as photoimagible polymeric having a thickness that defines the distance from the bottom of channel region 22 to the top of base 12, shown as an uncured 10 micron thick first photoimagible polyimide layer 14a. The use of photoimagible polyimide is conventional, see for instance K. K. Chakravorty et al, "Photosensitive Polyimide as a Dielectric in High Density Thin Film Copper-Polyimide Interconnect Structures", The Electrochemical Society Extended Abstracts, Vol. 88-1, Abstract No. 54, pp. 77-78 (May, 1988). In FIG. 7b first photoimagible polyimide layer 14a is selectively exposed to first mercury light 60 through first mask 62 which defines the vias in order to selectively alter the solubility of the exposed polyimide 14a. Preferably, as shown a negative process is used wherein the exposed polyimide 14a is outside via regions 20 and is rendered insoluable from irradiation, whereas the unexposed non-irradiated polyimide 14a in via regions 20 remains soluble. In FIG. 7c first mercury light 60 is discontinued and the soluble polyimide 14a is removed to form openings 64 in lower 10 microns of via regions 20. A soft bake can be applied to polyimide layer 14a to render it harder for further processing. In FIG. 7d a 5 micron thick second photoimagible layer shown as uncured photoimagible polyimide layer 14b is provided over layer 14a, wherein the thickness of layer 14b defines the depth of channel regions 22. In FIG. 7e second photoimagible polyimide layer 14b is selectively exposed to second mercury light 66 through second mask 68 to render insoluable the the exposed irradiated polyimide 14b outside via regions 20 and channel regions 22. In FIG. 7f second mercury light 66 is discontinued and the soluble polyimide 14b is then removed to form openings in channels 22 and upper portions 69 of via regions 20. Thereafter photoimagible polyimide layers 14a and 14b are fully cured. If desired a brief descumming etch 56 (not shown) may be applied. In the seventh embodiment two separate photoimagible insulating layers are necessary to form the vias and channels in a plane, however, the two layers can advantageously be coatings of the same material unlike the use of a separate etch-stop material in the prior art. The seventh embodiment has the advantages that the photodefinition of the vias and channels takes place in the final substrate insulating layer rather than a mask, and the need for a separate etch step is eliminated.
EIGHTH EMBODIMENT
FIGS. 8a-8f show a eighth embodiment for forming the conductor channels and vias in which any description for the first embodiment in FIGS. 1a-1f is incorporated herein insofar as the same is applicable, and the same description is not repeated. In FIG. 8a a mask 70 is placed over polyimide layer 14, and then patternwise developed to have openings exposing via regions 20 and channel regions 22. For illustration purposes mask 70 will be a soft mask. While a hard mask is also suitable, soft mask 70 is preferred since after it erodes the plasma roughens the exposed surface of polyimide layer 14 which increases adhesion to subsequently applied materials. In FIG. 8b plasma etch 24 is applied. In FIG. 8c channel regions 22 and upper portions 72 of via regions 20 are formed, and soft mask 70 is completely eroded. In FIG. 8d plasma etch 24 is discontinued and laser beam 74 is applied from a CO2 laser source to thermally ablate and remove insulating material from the lower portions 76 of via regions 20. The power of laser beam 74 can vary widely since unlike the channels there is not critical depth control for the vias and the underlying polyimide is essentially drilled-out. In FIG. 8e via regions 20 are formed. In FIG. 8f laser beam 74 is discontinued. Thereafter a brief descumming etch 56 (not shown) may be applied if desired.
After via regions 20 and channel regions 22 are formed there are many ways of depositing an electrically conducting layer into the via and channel including electrolytic deposition, electroless deposition, evaporation, sputtering, and squeegeeing. By way of example, unless otherwise noted electrolytic depostion is described for FIGS. 9a-9b and FIGS. 10a-10c.
Referring now to FIG. 9a, after via regions 20 and channel regions 22 have been removed from polyimide layer 14, seed layer 80 of 2500 angstroms copper over 700 angstroms chrome is sputter deposited over top surface 82 of polyimide layer 14, walls 84 of channel regions 22, sidewalls 86 of vias 20, and base regions 87 exposed beneath vias regions 20. That is, seed layer 80 covers the entire surface of substrate 10 to provide adhesion for subsequent electrolytic deposition of an electrical conductor. While seed layer 80 will provide a more uniform coverage of via sidewalls 86 when they are sloped, should via sidewalls 86 be vertical then sputtered seed layer 80 would be thinner but still of sufficient thickness.
In FIG. 9b electrically conductive layer 90 such as copper is electrolytically deposited over the entire seed layer 80 so that the electrically conductive layer 90 fills via regions 20 and channel regions 22. Electrically conductive layer 90 is preferably the same thickness as the deepest via region 20 to assure via regions 20 are entirely filled to the top of polyimide layer 14 since valleys 91 are likely to form in electrically conductive layer 90 above via regions 20. In this example polyimide layer 14 (and thus initially via regions 20) are 15 microns thick and seed layer 80 is very thin, therefore after seed layer 80 is deposited the deepest via region 20 and the preferred thickness of electrically conductive layer 90 is about 15 microns. Should electrically conductive layer 90 be thicker than 15 microns then additional planarization will be required as described in FIGS. 11a-11c. Should electrically conductive layer 90 be thinner than 15 microns then via regions 20 may not be entirely filled; however, if electrically conductive layer 90 substantially fills via regions 20, such as by covering sidewalls 88 with pit 89 therebetween, then proper electrical interconnection can still be made between conductors 90 in via region 20 and an overlaying conductor. During electrodeposition, high current densities at the top edges of the trenches may cause ridge build-up of the conductive material thereon which blocks the conductive material from filling the trenches. In this case reverse pulse plating can be used during electrodeposition to continually shave back ridge build-ups.
In FIGS. 10a-10c another method is shown for depositing conductors in the channels and vias. A first electrical conductor 92 is plated, preferably by electroless deposition, into the vias in lower regions 94 which extend to bottom surface 96 of channel regions 22, wherein an electrically conductive base 12a can serve as a first seed layer 98. In FIG. 8b second seed layer 100 is sputtered over intermediate surface 102. In FIG. 8c second electrically conductive layer 104 is electrolytically deposited over second seed layer 100. It is noted that since the lower via regions 94 are already filled with first electrical conductor 92 it is only necessary to deposit 5 microns of second electrical conductor 104 in order to assure that via regions 20 and channel regions 22 are filled. This is advantageous since 10 microns less of electrical conductor will need to be removed above polyimide layer 14 then if the vias and channels are filled with a single 15 micron conductive layer as shown in FIG. 9b.
Alternatively, the electrical conductors 90, 92 and/or 104 can be deposited by other processes such as evaporation or sputtering which may obviate the need for seed layers 80, 98 and/or 100, respectively. While evaporation is faster than sputtering, sputtering may be preferred for thin metallization, for instance if the vias and channels have been formed in an integrated circuit.
Another method for depositing the conductors in the channels and vias is electroless deposition (not shown). The insulating layer must first be treated to be receptive to an electroless plating bath. U.S. Pat. No. 4,701,351 by Jackson discloses a seeding process for electroless metal deposition in which a substrate is coated with a thin (1 micron) layer of a polymer which complexes with a noble metal compound, and the layer of polymer is contacted with a noble metal compound to form a complexed polymer. Thereafter the substrate is placed in an electroless plating bath. A mask can be used to expose selected regions of the polymer to the noble metal compound and/or electroless plating bath. After electroless deposition the substrate surface is planarized such as by polishing. An advantage of electroless deposition is that the pre-deposition treatment layer need not be a conductor. A disadvantage of the predeposition polymer treatment in Jackson is that the polymer seed layer does not typically adhere to the substrate as well as a sputtered conductor seed layer. As compared to electrolytic deposition, electroless deposition tends to provide more uniform coverage but the process takes more time.
Another way (not shown) of filling the vias and channels with a conductor is by squeegeeing a liquid metal or metal paste across the surface. After squeegeeing the liquid metal may stay clear of the polyimide surface. In the likely event excess liquid metal remains on the substrate surface it must be removed, as by lightly laping the substrate surface to assure a planarized and non-short-circuited substrate top surface. A disadvantage of squeegeeing is that liquid metals are not as conductive as, say, copper, and thereby may seriously degrade the performance of high density substrates with fine line pitches.
Referring now to FIGS. 11a-11c, the final step of the present invention is planarizing the substrate top surface until electrical conductor 90 remains only in the channels and the vias and the substrate top surface is substantially smooth. For illustration purposes a substrate with seed layer 80 and electrically conductive layer 90 deposited in accordance with FIGS. 9a-9b will be shown. In FIG. 11a top surface 106 of substrate 10 is contacted by polishing means 108 to begin planarization. The polishing should not locally conform to the unevenness in conductor 90 but rather shave off the highest parts of conductor 90 with little or no removal of material elsewhere. Polishing can be performed by mechanical polishing, mechanical/chemical polishing, electropolishing and the like. Mechanical polishing and mechanical/chemical polishing of polyimide are well known in the art and will not be detailed herein. Electropolishing is also known in the art, for instance R. J. Contolini et al in "Embedded Conductors by Electrochemical Planarization", Abstract No. 184, Abstracts From the Spring Electrochemical Society Meeting, Los Angeles, Calif., May 7, 1989 discuss a process which can produce planarized multilayer interconnects incorporating vertical-walled conductors that is applicable to any metal which can be electroplated and electropolished, such as copper and gold. See also C. W. Koburger, "Trench Planarization Technique", IBM Technical Disclosure Bulletin, Vol. 27, No. 6, pp. 3242-3243 (Nov., 1984) in which a nonselective etch is used to etch a trench structure just past the original surface, resulting in a surface that is neither roughened nor otherwise degraded by the etch. In FIG. 11b polishing means 108 is actuated toward substrate 10 and planarly removes the top of substrate 10 until contact is made with top surface 110 of polyimide layer 14. Seed layer 80 and electrically conductive layer 90 are thus removed by polishing means 108 from the non-via/non-channel regions 112 on top surface 110. That is, seed layer 80 and electrically conductive layer 90 are shaved back until they substantially fill via regions 20 and channel regions 22 but do not extend above polyimide layer 14. In FIG. 11c polishing means 108 is retracted. Polishing can be discontinued a fixed time 109 after polyimide layer 14 is exposed, and fixed time 109 can be selected so that only a negligible amount of polyimide will be removed. Optionally, a brief plasma etch-back (not shown) of the polished polyimide surface may be applied to provide roughening and thereby improve adhesion to subsequently deposited materials. The top surface 110 of substrate 10 is substantially smooth and electrically conductive via 113 is adjacent and interconnected to electrically conductive channel 114.
Referring now to FIG. 12, substrate 10 can be fabricated into a multilayer electrical interconnect 116 with stacked vias by repeating the above steps.
In FIG. 13 conductor 90 is etched-back a slight distance 118 to provide registration for electrical component 120 containing conductive bumps 122.
The present invention, therefore, is well adapted to carry out the objects and attain the ends and advantages mentioned, as well as others inherent therein. While presently preferred embodiments of the invention have been described for the purpose of disclosure, numerous changes in the details of construction, and steps of the process, will be readily apparent to those skilled in the art and which are encompassed within the spirit of the present invention and the scope of the appended claims.

Claims (8)

What I claim is:
1. A method for forming a channel and a via in an insulating layer, said channel being a horizontally disposed in the top surface of and partially through the thickness of the insulating layer and said via being adjacent to the channel and vertically disposed and completely through the thickness of the insulating layer, said method comprising the steps of:
covering the insulating layer with a hard mask having an opening to expose the channel and the via wherein the hard mask is non-erodible by an etch;
covering the hard mask with a soft mask having an opening to expose the via but covering the channel wherein the soft mask is erodible by the etch;
applying the etch to at least partially remove the insulating layer where the via is exposed until the soft mask is eroded;
applying the etch after the soft mask is eroded to remove the insulating layer where the channel is exposed and to remove any of the insulating layer remaining where the via is exposed so that the channel and the via are formed; and
removing the hard mask from the insulating layer.
2. A method of forming a channel and a via in an insulating layer, said channel being a horizontally disposed in the top surface of and partially through the thickness of the insulating layer and said via being adjacent to the channel and vertically disposed and completely through the thickness of the insulating layer, said method comprising the steps of:
covering the insulating layer with a lower soft mask having an opening to expose the channel and the via wherein the lower soft mask is erodible by an etch;
covering the lower soft mask with an upper soft mask having an opening to expose the via but covering the channel wherein the upper soft mask is erodible by the etch;
applying the etch to at least partially remove the insulating layer where the via is exposed until the upper soft mask is eroded; and
applying the etch after the upper soft mask is eroded to remove the insulating layer where the channel is exposed and to remove any of the insulating layer remaining where the via is exposed so that the channel and the via are formed.
3. A method of forming a channel and a via in an insulating layer, said channel being a horizontally disposed in the top surface of and partially through the thickness of the insulating layer and said via being adjacent to the channel and vertically disposed and completely through the thickness of the insulating layer, said method comprising the steps of:
covering the insulating layer with a first soft mask having an opening to expose the via but covering the channel wherein the first soft mask is erodible by a first etch;
applying the first etch to at least partially remove the insulating layer where the vis is exposed;
covering the insulating layer with a second soft mask having an opening to expose the channel and the via wherein the second soft mask is erodible by a second etch; and
applying the second etch to remove the insulating layer where the channel is exposed and to remove any of the insulating layer remaining where the via is exposed so that the channel and the via are formed.
4. A method of forming a channel and a via in an insulating layer, said channel being a horizontally disposed in the top surface of and partially through the thickness of the insulating layer and said via being adjacent to the channel and vertically disposed and completely through the thickness of the insulating layer, said method comprising the steps of:
stamping the insulating layer with a patterned plate comprising a channel abutment and a via abutment extending from a plate surface so that the plate surface contacts the insulating layer, the channel abutment forms a channel indentation and the via abutment forms a via indentation deeper than the channel indentation in the insulating layer;
retracting the plate from the insulating layer; and
applying an etch to the insulating layer to remove residual material from the insulating layer beneath the via indentation so that the channel and the via are formed.
5. A method of forming a channel and a via in an insulating layer, said channel being a horizontally disposed in the top surface of and partially through the thickness of the insulating layer and said via being adjacent to the channel and vertically disposed and completely through the thickness of the insulating layer, said method comprising the steps of:
providing a layer of a first photoimagible insulator having a thickness that defines the distance from the bottom the channel to the bottom of the insulating layer;
providing a first mask which defines the via over the first photoimagible insulator;
directing a light source through the first mask at the first photoimagible insulator to alter the solubility of the exposed regions of the first photoimagible insulator;
removing the first photoimagible insulator in the via;
providing a layer of a second photoimagible insulator having a thickness that defines the depth of the channel over the first photoimagible insulator;
providing a second mask which defines the channel and the via over the second photoimagible insulator;
directing a light source through the second mask at the second photoimagible insulator to alter the solubility of the exposed regions of the second photoimagible insulator; and
removing the second photoimagible insulator in the channel and the via so that the channel and the via are formed.
6. A method of forming a channel and a via in an insulating layer, said channel being a horizontally disposed in the top surface of and partially through the thickness of the insulating layer and said via being adjacent to the channel and vertically disposed and completely through the thickness of the insulating layer, said method comprising the steps of:
covering the insulating layer with a mask having an opening to expose the channel and the via;
applying an etch to remove the insulating layer where the channel is exposed until the channel is formed and where the via is exposed until the via is partially formed through the thickness of the insulating layer; and
directing a laser beam at the partially formed via to remove the insulating layer remaining in the via so that the channel and the via are formed.
7. A method of forming a channel and a via containing an electrical conductor in an insulating layer, said channel being a horizontally disposed in the top surface of and partially through the thickness of the insulating layer and said via being adjacent to the channel and vertically disposed and completely through the thickness of the insulating layer, said method comprising the steps of:
covering the insulating layer with a first soft mask having an opening to expose the via and channel wherein the first soft mask is erodible by a first etch;
applying the first etch to remove the insulating layer where the channel is exposed and to partially remove the insulating layer where the via is exposed;
covering the insulating layer with a second soft mask having an opening to expose the via but covering the channel wherein the second soft mask is erodible by a second etch;
applying the second etch to remove the insulating layer remaining where the via is exposed so that the channel and the via are formed;
depositing a seed layer over the insulating layer and into the channel and the via; and
depositing an electrical conductor over the seed layer and into the channel and the via so that the electrical conductor substantially fills the channel and the via.
8. A method of forming a channel and a via in an insulating layer, said channel being a horizontally disposed in the top surface of and partially through the thickness of the insulating layer and said via being adjacent to the channel and vertically disposed and completely through the thickness of the insulating layer, said method comprising the steps of:
covering the insulating layer with a first soft mask having an opening to expose the via and channel wherein the first soft mask is erodible by a first etch;
applying the first etch to remove the insulating layer where the channel is exposed and to partially remove the insulating layer where the via is exposed;
covering the insulating layer with a second soft mask having an opening to expose the via but covering the channel wherein the second soft mask is erodible by a second etch;
applying the second etch to remove the insulating layer remaining where the via is exposed so that the channel and the via are formed;
depositing a first electrical conductor into the via so that the first electrical conductor fills the via substantially to the height of the bottom of the channel;
depositing a seed layer over the insulating layer and onto the first electrical conductor and the channel; and
depositing a second electrical conductor over the seed layer so that the channel and the via are substantially filled with electrical conductors.
US07/857,011 1990-07-23 1992-03-24 Methods of forming channels and vias in insulating layers Expired - Lifetime US5173442A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US07/857,011 US5173442A (en) 1990-07-23 1992-03-24 Methods of forming channels and vias in insulating layers

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US07/557,427 US5091339A (en) 1990-07-23 1990-07-23 Trenching techniques for forming vias and channels in multilayer electrical interconnects
US07/840,428 US5219787A (en) 1990-07-23 1992-02-24 Trenching techniques for forming channels, vias and components in substrates
US07/857,011 US5173442A (en) 1990-07-23 1992-03-24 Methods of forming channels and vias in insulating layers

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US07/840,428 Continuation US5219787A (en) 1990-07-23 1992-02-24 Trenching techniques for forming channels, vias and components in substrates

Publications (1)

Publication Number Publication Date
US5173442A true US5173442A (en) 1992-12-22

Family

ID=27415750

Family Applications (1)

Application Number Title Priority Date Filing Date
US07/857,011 Expired - Lifetime US5173442A (en) 1990-07-23 1992-03-24 Methods of forming channels and vias in insulating layers

Country Status (1)

Country Link
US (1) US5173442A (en)

Cited By (135)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5283208A (en) * 1992-12-04 1994-02-01 International Business Machines Corporation Method of making a submicrometer local structure using an organic mandrel
US5302547A (en) * 1993-02-08 1994-04-12 General Electric Company Systems for patterning dielectrics by laser ablation
US5380546A (en) * 1993-06-09 1995-01-10 Microelectronics And Computer Technology Corporation Multilevel metallization process for electronic components
US5382315A (en) * 1991-02-11 1995-01-17 Microelectronics And Computer Technology Corporation Method of forming etch mask using particle beam deposition
US5539255A (en) * 1995-09-07 1996-07-23 International Business Machines Corporation Semiconductor structure having self-aligned interconnection metallization formed from a single layer of metal
WO1996029729A1 (en) * 1995-03-17 1996-09-26 International Business Machines Corporation Process for applying a metallisation layer on an insulator and for piercing through-holes in said insulator by means of a single mask
EP0747947A2 (en) * 1995-06-05 1996-12-11 International Business Machines Corporation Dual Damascene process having tapered vias
GB2304231A (en) * 1995-08-10 1997-03-12 Nec Corp Semiconductor integrated circuit device and method of manufacture
US5614439A (en) * 1990-05-31 1997-03-25 Canon Kabushiki Kaisha Method of making a planar wiring in an insulated groove using alkylaluminum hydride
EP0797242A2 (en) * 1996-03-19 1997-09-24 Siemens Aktiengesellschaft Etching high aspect contact holes in solid state devices
US5702982A (en) * 1996-03-28 1997-12-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for making metal contacts and interconnections concurrently on semiconductor integrated circuits
US5821169A (en) * 1996-08-05 1998-10-13 Sharp Microelectronics Technology,Inc. Hard mask method for transferring a multi-level photoresist pattern
US5851915A (en) * 1993-11-30 1998-12-22 Nec Corporation Method of manufacturing a semiconductor device through a reduced number of simple processes at a relatively low cost
US5851856A (en) * 1993-12-03 1998-12-22 Yamaha Corporation Manufacture of application-specific IC
US5882999A (en) * 1994-08-15 1999-03-16 International Business Machines Corporation Process for metallization of an insulation layer
EP0908945A2 (en) * 1997-09-29 1999-04-14 Siemens Aktiengesellschaft Dual damascene with self aligned via interconnects
WO1999021217A1 (en) * 1997-10-22 1999-04-29 Interuniversitair Micro-Elektronica Centrum Anisotropic etching of organic-containing insulating layers
US5922515A (en) * 1998-02-27 1999-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Approaches to integrate the deep contact module
US5929524A (en) * 1995-12-14 1999-07-27 Nec Corporation Semiconductor device having ring-shaped conductive spacer which connects wiring layers
US5972722A (en) * 1998-04-14 1999-10-26 Texas Instruments Incorporated Adhesion promoting sacrificial etch stop layer in advanced capacitor structures
US6025116A (en) * 1997-03-31 2000-02-15 Siemens Aktiengesellschaft Etching of contact holes
US6028362A (en) * 1997-05-12 2000-02-22 Yamaha Corporation Damascene wiring with flat surface
US6056864A (en) * 1998-10-13 2000-05-02 Advanced Micro Devices, Inc. Electropolishing copper film to enhance CMP throughput
US6077792A (en) * 1997-07-14 2000-06-20 Micron Technology, Inc. Method of forming foamed polymeric material for an integrated circuit
US6083835A (en) * 1998-07-24 2000-07-04 Taiwan Semiconductor Manufacturing Company Self-passivation of copper damascene
US6100177A (en) * 1996-06-03 2000-08-08 Nec Corporation Grooved wiring structure in semiconductor device and method for forming the same
US6127721A (en) * 1997-09-30 2000-10-03 Siemens Aktiengesellschaft Soft passivation layer in semiconductor fabrication
US6140236A (en) * 1998-04-21 2000-10-31 Kabushiki Kaisha Toshiba High throughput A1-Cu thin film sputtering process on small contact via for manufacturable beol wiring
US6150256A (en) * 1998-10-30 2000-11-21 International Business Machines Corporation Method for forming self-aligned features
WO2001003178A1 (en) * 1999-07-01 2001-01-11 Fraunhofer Gesellschaft zur Förderung der angewandten Forschung e.V. Method for producing an electrode arrangement
US6208016B1 (en) 1998-09-10 2001-03-27 Micron Technology, Inc. Forming submicron integrated-circuit wiring from gold, silver, copper and other metals
US6211073B1 (en) 1998-02-27 2001-04-03 Micron Technology, Inc. Methods for making copper and other metal interconnections in integrated circuits
US6211485B1 (en) * 1996-06-05 2001-04-03 Larry W. Burgess Blind via laser drilling system
US6211034B1 (en) 1997-04-14 2001-04-03 Texas Instruments Incorporated Metal patterning with adhesive hardmask layer
US6245594B1 (en) * 1997-08-05 2001-06-12 Micron Technology, Inc. Methods for forming conductive micro-bumps and recessed contacts for flip-chip technology and method of flip-chip assembly
US6258727B1 (en) * 1998-07-31 2001-07-10 International Business Machines Corporation Method of forming metal lands at the M0 level with a non selective chemistry
US6284656B1 (en) 1998-08-04 2001-09-04 Micron Technology, Inc. Copper metallurgy in integrated circuits
US6335569B1 (en) 1995-01-03 2002-01-01 International Business Machines Corporation Soft metal conductor and method of making
US6359328B1 (en) 1998-12-31 2002-03-19 Intel Corporation Methods for making interconnects and diffusion barriers in integrated circuits
US20020037603A1 (en) * 2000-08-16 2002-03-28 Eldridge Jerome M. Microelectronic device package with conductive elements and associated method of manufacture
WO2002029887A2 (en) * 2000-09-29 2002-04-11 Infineon Technologies North America Corp. One-step etch processes for dual damascene metallization
US6376370B1 (en) 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
US6413827B2 (en) 2000-02-14 2002-07-02 Paul A. Farrar Low dielectric constant shallow trench isolation
US6420262B1 (en) 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US6426301B1 (en) 2000-07-31 2002-07-30 Advanced Micro Devices, Inc. Reduction of via etch charging damage through the use of a conducting hard mask
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US20020127845A1 (en) * 1999-03-01 2002-09-12 Paul A. Farrar Conductive structures in integrated circuits
US20020130395A1 (en) * 1992-07-28 2002-09-19 Dennison Charles H. Integrated circuit contact
US6461963B1 (en) 2000-08-30 2002-10-08 Micron Technology, Inc. Utilization of disappearing silicon hard mask for fabrication of semiconductor structures
EP1246710A1 (en) * 1999-09-28 2002-10-09 Jetek, Inc. Atmospheric process and system for controlled and rapid removal of polymers from high depth to width aspect ratio holes
US6475921B2 (en) * 1999-09-02 2002-11-05 Micron Technology, Inc. Mask for producing rectangular openings in a substrate
US20020168872A1 (en) * 1999-08-25 2002-11-14 Micron Technology, Inc. Insulators for high density circuits
US6512198B2 (en) 2001-05-15 2003-01-28 Lexmark International, Inc Removal of debris from laser ablated nozzle plates
EP1279071A1 (en) * 2000-05-03 2003-01-29 Caliper Technologies Corporation Multi depth substrate fabrication processes
US6518668B2 (en) 1999-10-02 2003-02-11 Uri Cohen Multiple seed layers for metallic interconnects
US20030129828A1 (en) * 1999-10-02 2003-07-10 Uri Cohen Methods for making multiple seed layers for metallic interconnects
US20030162407A1 (en) * 1997-10-22 2003-08-28 Karen Maex Anisotropic etching of organic-containing insulating layers
US6631558B2 (en) * 1996-06-05 2003-10-14 Laservia Corporation Blind via laser drilling system
US20030201258A1 (en) * 2002-04-30 2003-10-30 Hubert De Steur Method for producing a trench structure in a polymer substrate
US6670719B2 (en) 1999-08-25 2003-12-30 Micron Technology, Inc. Microelectronic device package filled with liquid or pressurized gas and associated method of manufacture
US6677209B2 (en) 2000-02-14 2004-01-13 Micron Technology, Inc. Low dielectric constant STI with SOI devices
US20040113385A1 (en) * 2002-11-28 2004-06-17 Shimano, Inc. Bicycle electronic control device with a reset function
US20040132288A1 (en) * 2000-09-27 2004-07-08 Nup2 Incorporated Fabrication of semiconductor devices
US20040166669A1 (en) * 2003-02-20 2004-08-26 Renesas Technology Corp. Method of manufacturing semiconductor device having dual damascene structure
US20040175945A1 (en) * 1997-10-22 2004-09-09 Serge Vanhaelemeersch Anisotropic etching of organic-containing insulating layers
US20040262029A1 (en) * 2003-06-30 2004-12-30 Mcconville David P. Method and apparatus for forming printed circuit boards using imprinting and grinding
WO2005031855A1 (en) * 2003-09-29 2005-04-07 International Business Machines Corporation Fabrication method
US20050082674A1 (en) * 1999-03-12 2005-04-21 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method of the same
US6890847B1 (en) 2000-02-22 2005-05-10 Micron Technology, Inc. Polynorbornene foam insulation for integrated circuits
US6900126B2 (en) 2002-11-20 2005-05-31 International Business Machines Corporation Method of forming metallized pattern
US20050124153A1 (en) * 1999-10-02 2005-06-09 Uri Cohen Advanced seed layery for metallic interconnects
US20050137882A1 (en) * 2003-12-17 2005-06-23 Cameron Don T. Method for authenticating goods
US6995470B2 (en) 2000-05-31 2006-02-07 Micron Technology, Inc. Multilevel copper interconnects with low-k dielectrics and air gaps
US20060046461A1 (en) * 2004-09-01 2006-03-02 Benson Peter A Method for creating electrically conductive elements for semiconductor device structures using laser ablation processes and methods of fabricating semiconductor device assemblies
US20060054591A1 (en) * 2004-09-14 2006-03-16 Bernard David L Micro-fluid ejection assemblies
EP1484644A3 (en) * 2003-06-02 2006-04-12 Hewlett-Packard Development Company, L.P. Mould, pattern of nano wires, multiplexer/demultiplexer and method of making same
US20060076316A1 (en) * 1999-11-01 2006-04-13 Bollinger Lynn D Atmospheric process and system for controlled and rapid removal of polymers from high aspect ratio holes
US20060094217A1 (en) * 2002-06-28 2006-05-04 Ludwig Dittmar Method for contacting parts of a component integrated into a semiconductor substrate
US20060105114A1 (en) * 2004-11-16 2006-05-18 White John M Multi-layer high quality gate dielectric for low-temperature poly-silicon TFTs
JP2006135362A (en) * 2006-02-14 2006-05-25 Renesas Technology Corp Method of manufacturing semiconductor device
US7062845B2 (en) 1996-06-05 2006-06-20 Laservia Corporation Conveyorized blind microvia laser drilling system
US7067421B2 (en) 2000-05-31 2006-06-27 Micron Technology, Inc. Multilevel copper interconnect with double passivation
US20060197228A1 (en) * 2005-03-04 2006-09-07 International Business Machines Corporation Single mask process for variable thickness dual damascene structures, other grey-masking processes, and structures made using grey-masking
US20060237838A1 (en) * 2003-02-19 2006-10-26 Mark Fery Thermal interconnect systems methods of production and uses thereof
US20070013049A1 (en) * 2003-09-29 2007-01-18 Ibiden Co., Ltd. Interlayer insulating layer for printed wiring board, printed wiring board and method for manufacturing same
US7211512B1 (en) 2000-01-18 2007-05-01 Micron Technology, Inc. Selective electroless-plated copper metallization
US20070105300A1 (en) * 2005-11-08 2007-05-10 Lee Wan G Semiconductor substrate and method for manufacturing semiconductor device
US7220665B2 (en) 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment
US20070117372A1 (en) * 2005-11-18 2007-05-24 Yu-Hao Hsu Fabricating method of an interconnect structure
US7253521B2 (en) 2000-01-18 2007-08-07 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
KR100773182B1 (en) * 1999-06-04 2007-11-02 가부시키가이샤 히타치세이사쿠쇼 Semiconductor device and method of manufacturing the same
US20070278693A1 (en) * 2006-05-31 2007-12-06 Matthias Lehr Metallization layer of a semiconductor device having differently thick metal lines and a method of forming the same
DE102006030267A1 (en) * 2006-06-30 2008-01-03 Advanced Micro Devices, Inc., Sunnyvale Microstructures producing method involves common stamping of contact opening and cut in ductile material layer, which is formed by substrate, where contact opening and cut correspond to structural components of metallizing structure
WO2008005087A2 (en) * 2006-06-30 2008-01-10 Advanced Micro Devices, Inc. A nano imprint technique with increased flexibility with respect to alignment and feature shaping
US7335965B2 (en) 1999-08-25 2008-02-26 Micron Technology, Inc. Packaging of electronic chips with air-bridge structures
KR100834511B1 (en) 2006-09-06 2008-06-02 삼성전기주식회사 Manufacturing method of stamper for imprinting
US20080166874A1 (en) * 2007-01-05 2008-07-10 International Business Machines Corporation Formation of vertical devices by electroplating
US7405454B2 (en) 2003-03-04 2008-07-29 Micron Technology, Inc. Electronic apparatus with deposited dielectric layers
CN100407052C (en) * 1998-10-30 2008-07-30 国际商业机器公司 Printing sub photo etching image by using shadow arbor and eccentric shaft exposure
US20090004403A1 (en) * 2007-06-29 2009-01-01 Yonggang Li Method of Providing Patterned Embedded Conducive Layer Using Laser Aided Etching of Dielectric Build-Up Layer
NL1034489C2 (en) * 2007-10-09 2009-04-14 Micronit Microfluidics Bv Methods for manufacturing a microstructure.
US20090186425A1 (en) * 2002-12-27 2009-07-23 Fujitsu Limited Method for forming bumps, semiconductor device and method for manufacturing same, substrate processing apparatus, and semiconductor manufacturing apparatus
US7569501B2 (en) 2002-06-14 2009-08-04 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US20100015803A1 (en) * 2008-07-17 2010-01-21 Jin-Ho Yang Method for fabricating semiconductor device using dual damascene process
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US20100143848A1 (en) * 2008-12-09 2010-06-10 Kanti Jain Patterning methods for stretchable structures
JP2010153909A (en) * 2010-03-18 2010-07-08 Renesas Technology Corp Method of manufacturing semiconductor device
US20100196617A1 (en) * 2009-02-05 2010-08-05 Api Group Plc Production of a surface relief on a substrate
US20100200999A1 (en) * 1995-11-27 2010-08-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating same
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US20110042821A1 (en) * 2009-08-21 2011-02-24 Micron Technology, Inc. Vias and conductive routing layers in semiconductor substrates
US7902018B2 (en) 2006-09-26 2011-03-08 Applied Materials, Inc. Fluorine plasma treatment of high-k gate stack for defect passivation
US20110175233A1 (en) * 2010-01-19 2011-07-21 Akira Ueki Semiconductor device and method for fabricating the same
US20110193226A1 (en) * 2010-02-08 2011-08-11 Micron Technology, Inc. Microelectronic devices with through-substrate interconnects and associated methods of manufacturing
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
CN101667555B (en) * 2005-12-07 2012-06-27 佳能株式会社 Method for manufacturing semiconductor device by using dual damascene process and method for manufacturing article having communicating hole
US8404587B2 (en) 2008-06-19 2013-03-26 Micro Technology, Inc. Semiconductor with through-substrate interconnect
US8460256B2 (en) 2009-07-15 2013-06-11 Allegiance Corporation Collapsible fluid collection and disposal system and related methods
US8500706B2 (en) 2007-03-23 2013-08-06 Allegiance Corporation Fluid collection and disposal system having interchangeable collection and other features and methods relating thereto
US8501563B2 (en) 2005-07-20 2013-08-06 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US8518832B1 (en) * 2011-06-27 2013-08-27 Western Digital (Fremont), Llc Process for masking and removal of residue from complex shapes
US20140191331A1 (en) * 2011-06-27 2014-07-10 Pragmatic Printing Ltd Transistor and Its Method of Manufacture
US20150076694A1 (en) * 2013-09-13 2015-03-19 United Microelectronics Corporation Interposer structure and manufacturing method thereof
US20150102343A1 (en) * 2013-10-14 2015-04-16 Samsung Display Co., Ltd. Display substrates, methods of manufacturing the same and display devices including the same
US20150262873A1 (en) * 2014-03-13 2015-09-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device and Method
US20150279727A1 (en) * 2014-03-31 2015-10-01 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US9889239B2 (en) 2007-03-23 2018-02-13 Allegiance Corporation Fluid collection and disposal system and related methods
US20180046045A1 (en) * 2016-02-02 2018-02-15 Boe Technology Group Co., Ltd. Array substrate, manufacturing method thereof, and display panel
US9986642B2 (en) * 2015-07-22 2018-05-29 Ibiden Co., Ltd. Method for manufacturing printed wiring board and printed wiring board
CN108122873A (en) * 2016-11-28 2018-06-05 日月光半导体制造股份有限公司 The semiconductor packages of connection column are moulded including filling
CN108417530A (en) * 2017-02-10 2018-08-17 Imec 非营利协会 The method for being used to form conductive path and channel
DE102019209889A1 (en) * 2019-07-04 2021-01-07 Gebr. Schmid Gmbh Process for the production of printed circuit boards as well as printed circuit boards produced according to the process
US11258325B2 (en) 2018-10-23 2022-02-22 General Electric Company Articles including insulated conductors and systems thereof
US20230369109A1 (en) * 2017-11-30 2023-11-16 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive Feature Formation and Structure

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61152040A (en) * 1984-12-26 1986-07-10 Hitachi Micro Comput Eng Ltd Manufacture of semiconductor device
JPS62155537A (en) * 1985-12-27 1987-07-10 Fuji Electric Co Ltd Manufacture of semiconductor device
JPS636847A (en) * 1986-06-26 1988-01-12 Mitsubishi Electric Corp Manufacture of semiconductor device
JPH01191443A (en) * 1988-01-27 1989-08-01 Hitachi Ltd Semiconductor integrated circuit device and manufacture thereof
US4962058A (en) * 1989-04-14 1990-10-09 International Business Machines Corporation Process for fabricating multi-level integrated circuit wiring structure from a single metal deposit
US5055423A (en) * 1987-12-28 1991-10-08 Texas Instruments Incorporated Planarized selective tungsten metallization system
US5091339A (en) * 1990-07-23 1992-02-25 Microelectronics And Computer Technology Corporation Trenching techniques for forming vias and channels in multilayer electrical interconnects
US5112765A (en) * 1990-07-31 1992-05-12 International Business Machines Corporation Method of forming stacked tungsten gate PFET devices and structures resulting therefrom

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61152040A (en) * 1984-12-26 1986-07-10 Hitachi Micro Comput Eng Ltd Manufacture of semiconductor device
JPS62155537A (en) * 1985-12-27 1987-07-10 Fuji Electric Co Ltd Manufacture of semiconductor device
JPS636847A (en) * 1986-06-26 1988-01-12 Mitsubishi Electric Corp Manufacture of semiconductor device
US5055423A (en) * 1987-12-28 1991-10-08 Texas Instruments Incorporated Planarized selective tungsten metallization system
JPH01191443A (en) * 1988-01-27 1989-08-01 Hitachi Ltd Semiconductor integrated circuit device and manufacture thereof
US4962058A (en) * 1989-04-14 1990-10-09 International Business Machines Corporation Process for fabricating multi-level integrated circuit wiring structure from a single metal deposit
US5091339A (en) * 1990-07-23 1992-02-25 Microelectronics And Computer Technology Corporation Trenching techniques for forming vias and channels in multilayer electrical interconnects
US5112765A (en) * 1990-07-31 1992-05-12 International Business Machines Corporation Method of forming stacked tungsten gate PFET devices and structures resulting therefrom

Non-Patent Citations (32)

* Cited by examiner, † Cited by third party
Title
Andy L. Wu, k Prarie A New Planarization Technique and its Application in VLSI Multilevel Interconnection , Proceedings of Electrochemical Society Symposium on Multi level Metallization Interconnection, and Contact Technologies, pp. 239 249, Oct. 21 through 22, 1986. *
Andy L. Wu, k"Prarie--A New Planarization Technique and its Application in VLSI Multilevel Interconnection", Proceedings of Electrochemical Society Symposium on Multi-level Metallization Interconnection, and Contact Technologies, pp. 239-249, Oct. 21 through 22, 1986.
C. H. Ting, "Electroless Deposition for Integrated Circuit Fabrication", Electrochemical Society Fall Meeting--Honolulu, Hawaii, vol. 87-2, p. 720, Oct. 18 and 23, 1987.
C. H. Ting, Electroless Deposition for Integrated Circuit Fabrication , Electrochemical Society Fall Meeting Honolulu, Hawaii, vol. 87 2, p. 720, Oct. 18 and 23, 1987. *
C. W. Koburger, "Trench Planarization Technique", IBM Technical Disclosure Bulletin, vol. 27, No. 6, pp. 3242-3243, Nov. 1984.
C. W. Koburger, Trench Planarization Technique , IBM Technical Disclosure Bulletin, vol. 27, No. 6, pp. 3242 3243, Nov. 1984. *
Carter W. Kaanta, et al., "Dual Damascene: A ULSI Wiring Technology", V-MIC Conference Paper, pp. 144-152, Jun. 11-12, 1991.
Carter W. Kaanta, et al., Dual Damascene: A ULSI Wiring Technology , V MIC Conference Paper, pp. 144 152, Jun. 11 12, 1991. *
David B. Tuckerman, et al., "Pulsed Laser Planarization of Metal Films for Multilevel Interconnects" V-MIC Conference Paper, pp. 24-31, Jun. 25-26, 1985.
David B. Tuckerman, et al., Pulsed Laser Planarization of Metal Films for Multilevel Interconnects V MIC Conference Paper, pp. 24 31, Jun. 25 26, 1985. *
Elito K. Broadbent, et al., "High-Density High-Reliability Tungsten Interconnection by Filled Interconnect Groove Metallization", IEEE Transactions on Electron Devices, vol. 35, No. 7, pp. 952-956 (Jul. 1988).
Elito K. Broadbent, et al., High Density High Reliability Tungsten Interconnection by Filled Interconnect Groove Metallization , IEEE Transactions on Electron Devices, vol. 35, No. 7, pp. 952 956 (Jul. 1988). *
K. D. Beyer, et al., "Glass Planarization by Stop-Layer Polishing", IBM Technical Disclosure Bulletin, vol. 27, No. 8, pp. 4700-4701, Jan. 1985.
K. D. Beyer, et al., Glass Planarization by Stop Layer Polishing , IBM Technical Disclosure Bulletin, vol. 27, No. 8, pp. 4700 4701, Jan. 1985. *
K. K. Chakrovorty, et al., "Photosensitive Polyimide as a Dielectric in High Density Thin Film Copper-Polyimide Interconnect Structures", Electrochemical Society Meeting Paper, vol. 88, No. 1, pp. 77-78, May 15-20, 1988.
K. K. Chakrovorty, et al., Photosensitive Polyimide as a Dielectric in High Density Thin Film Copper Polyimide Interconnect Structures , Electrochemical Society Meeting Paper, vol. 88, No. 1, pp. 77 78, May 15 20, 1988. *
L. B. Rothman, et al., "Lift-Off Process to Form Planar Metal/Sputtered SiO2 Structures", V-MIC Conference Paper, pp. 131-137.
L. B. Rothman, et al., Lift Off Process to Form Planar Metal/Sputtered SiO2 Structures , V MIC Conference Paper, pp. 131 137. *
Paul E. Riley, et al. "Planarization of Dielectric Layers for Multilevel Metallization", IEEE Transactions on Semiconductor Manufacturing, vol. 1, No. 4, pp. 154-156, Nov. 1988.
Paul E. Riley, et al. Planarization of Dielectric Layers for Multilevel Metallization , IEEE Transactions on Semiconductor Manufacturing, vol. 1, No. 4, pp. 154 156, Nov. 1988. *
Pei lin Pai, A Planarized Metallization Process Using Selective Electroless Deposition and Spin On Glass , Electrochemical Society Fall Meeting Honolulu, Hawaii, vol. 87 2, p. 678, Oct. 18 through 23, 1987. *
Pei-lin Pai, "A Planarized Metallization Process Using Selective Electroless Deposition and Spin-On Glass", Electrochemical Society Fall Meeting--Honolulu, Hawaii, vol. 87-2, p. 678, Oct. 18 through 23, 1987.
R. S. Bennett et al, "Selective Planarization Press and Structures", IBM Technical Disclosure Bulletin, vol. 27, No. 4B, pp. 2560-2563, Sep. 1984.
R. S. Bennett et al, Selective Planarization Press and Structures , IBM Technical Disclosure Bulletin, vol. 27, No. 4B, pp. 2560 2563, Sep. 1984. *
Robert J. Contolini et al., "Embedded Conductors by Electrochemical Planarization", Lawrence Livermore National Laboratory Preprint, Apr. 1989.
Robert J. Contolini et al., Embedded Conductors by Electrochemical Planarization , Lawrence Livermore National Laboratory Preprint, Apr. 1989. *
Tsuneo Hamaguchi, "Hydrostatic Float Polishing for Wafer Preparation", Rev. Sci. Instrum., vol. 55, No. 11, pp. 1867-1868, Nov. 1984.
Tsuneo Hamaguchi, Hydrostatic Float Polishing for Wafer Preparation , Rev. Sci. Instrum., vol. 55, No. 11, pp. 1867 1868, Nov. 1984. *
Unknown author, "Chemical Vapor Deposited Device Isolation with Chemical/Mechanical Planarization", IBM Technical Disclosure Bulletin, vol. 29, No. 2, pp. 577-579, Jul. 1986.
Unknown author, Chemical Vapor Deposited Device Isolation with Chemical/Mechanical Planarization , IBM Technical Disclosure Bulletin, vol. 29, No. 2, pp. 577 579, Jul. 1986. *
W. E. Mutter, "Choice Stop Material for Chemical/Mechanical Polish Planarization", IBM Technical Disclosure Bulletin, vol. 27, No. 8, p. 4642, Jan. 1985.
W. E. Mutter, Choice Stop Material for Chemical/Mechanical Polish Planarization , IBM Technical Disclosure Bulletin, vol. 27, No. 8, p. 4642, Jan. 1985. *

Cited By (299)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5614439A (en) * 1990-05-31 1997-03-25 Canon Kabushiki Kaisha Method of making a planar wiring in an insulated groove using alkylaluminum hydride
US5382315A (en) * 1991-02-11 1995-01-17 Microelectronics And Computer Technology Corporation Method of forming etch mask using particle beam deposition
US7276448B2 (en) 1992-07-28 2007-10-02 Micron Technology, Inc. Method for an integrated circuit contact
US20030197273A1 (en) * 1992-07-28 2003-10-23 Dennison Charles H. Integrated circuit contact
US20070281487A1 (en) * 1992-07-28 2007-12-06 Micron Technology, Inc. Method for an integrated circuit contact
US20100019388A1 (en) * 1992-07-28 2010-01-28 Micron Technology, Inc. Method for an integrated circuit contact
US7282447B2 (en) 1992-07-28 2007-10-16 Micron Technology, Inc. Method for an integrated circuit contact
US7282440B2 (en) 1992-07-28 2007-10-16 Micron Technology, Inc. Integrated circuit contact
US20020130395A1 (en) * 1992-07-28 2002-09-19 Dennison Charles H. Integrated circuit contact
US7315082B2 (en) * 1992-07-28 2008-01-01 Micron Technology, Inc. Semiconductor device having integrated circuit contact
US7569485B2 (en) 1992-07-28 2009-08-04 Micron Technology, Inc. Method for an integrated circuit contact
US20050020056A1 (en) * 1992-07-28 2005-01-27 Dennison Charles H. Method for an integrated circuit contact
US20050020090A1 (en) * 1992-07-28 2005-01-27 Dennison Charles H. Method for an integrated circuit contact
US8097514B2 (en) 1992-07-28 2012-01-17 Round Rock Research, Llc Method for an integrated circuit contact
US20050020049A1 (en) * 1992-07-28 2005-01-27 Dennison Charles H. Method for an integrated circuit contact
US7871934B2 (en) 1992-07-28 2011-01-18 Round Rock Research, Llc Method for an integrated circuit contact
US5283208A (en) * 1992-12-04 1994-02-01 International Business Machines Corporation Method of making a submicrometer local structure using an organic mandrel
US5302547A (en) * 1993-02-08 1994-04-12 General Electric Company Systems for patterning dielectrics by laser ablation
US5451551A (en) * 1993-06-09 1995-09-19 Krishnan; Ajay Multilevel metallization process using polishing
US5380546A (en) * 1993-06-09 1995-01-10 Microelectronics And Computer Technology Corporation Multilevel metallization process for electronic components
US5851915A (en) * 1993-11-30 1998-12-22 Nec Corporation Method of manufacturing a semiconductor device through a reduced number of simple processes at a relatively low cost
US5851856A (en) * 1993-12-03 1998-12-22 Yamaha Corporation Manufacture of application-specific IC
US5882999A (en) * 1994-08-15 1999-03-16 International Business Machines Corporation Process for metallization of an insulation layer
US6335569B1 (en) 1995-01-03 2002-01-01 International Business Machines Corporation Soft metal conductor and method of making
US5966633A (en) * 1995-03-17 1999-10-12 International Business Machines Corporation Method for providing a metallization layer on an insulating layer and for opening through holes in the said insulating layer using the same mask
WO1996029729A1 (en) * 1995-03-17 1996-09-26 International Business Machines Corporation Process for applying a metallisation layer on an insulator and for piercing through-holes in said insulator by means of a single mask
EP0747947A3 (en) * 1995-06-05 1997-04-02 Ibm Dual Damascene process having tapered vias
EP0747947A2 (en) * 1995-06-05 1996-12-11 International Business Machines Corporation Dual Damascene process having tapered vias
US5717251A (en) * 1995-08-10 1998-02-10 Nec Corporation Semiconductor integrated circuit device having minature multi-level wiring structure low in parasitic capacitance
GB2304231B (en) * 1995-08-10 2000-02-23 Nec Corp Semiconductor integrated circuit device and method of manufacturing the same
GB2304231A (en) * 1995-08-10 1997-03-12 Nec Corp Semiconductor integrated circuit device and method of manufacture
US5539255A (en) * 1995-09-07 1996-07-23 International Business Machines Corporation Semiconductor structure having self-aligned interconnection metallization formed from a single layer of metal
US5663101A (en) * 1995-09-07 1997-09-02 International Business Machines Corporation Semiconductor structure having multiple levels of self-aligned interconnection metallization, and methods for its preparation
US5960254A (en) * 1995-09-07 1999-09-28 International Business Machines Corporation Methods for the preparation of a semiconductor structure having multiple levels of self-aligned interconnection metallization
US20100200999A1 (en) * 1995-11-27 2010-08-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating same
US5929524A (en) * 1995-12-14 1999-07-27 Nec Corporation Semiconductor device having ring-shaped conductive spacer which connects wiring layers
US6197682B1 (en) 1995-12-14 2001-03-06 Nec Corporation Structure of a contact hole in a semiconductor device and method of manufacturing the same
EP0797242A2 (en) * 1996-03-19 1997-09-24 Siemens Aktiengesellschaft Etching high aspect contact holes in solid state devices
US5702982A (en) * 1996-03-28 1997-12-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for making metal contacts and interconnections concurrently on semiconductor integrated circuits
US6100177A (en) * 1996-06-03 2000-08-08 Nec Corporation Grooved wiring structure in semiconductor device and method for forming the same
US6323117B1 (en) 1996-06-03 2001-11-27 Nec Corporation Grooved wiring structure in semiconductor device and method for forming the same
US6631558B2 (en) * 1996-06-05 2003-10-14 Laservia Corporation Blind via laser drilling system
US6211485B1 (en) * 1996-06-05 2001-04-03 Larry W. Burgess Blind via laser drilling system
US7062845B2 (en) 1996-06-05 2006-06-20 Laservia Corporation Conveyorized blind microvia laser drilling system
US5821169A (en) * 1996-08-05 1998-10-13 Sharp Microelectronics Technology,Inc. Hard mask method for transferring a multi-level photoresist pattern
US6025116A (en) * 1997-03-31 2000-02-15 Siemens Aktiengesellschaft Etching of contact holes
US6211034B1 (en) 1997-04-14 2001-04-03 Texas Instruments Incorporated Metal patterning with adhesive hardmask layer
US6191027B1 (en) 1997-05-12 2001-02-20 Yamaha Corporation Method of forming flat wiring layer
US6028362A (en) * 1997-05-12 2000-02-22 Yamaha Corporation Damascene wiring with flat surface
US6077792A (en) * 1997-07-14 2000-06-20 Micron Technology, Inc. Method of forming foamed polymeric material for an integrated circuit
US6734562B1 (en) 1997-07-14 2004-05-11 Micron Technology, Inc. Integrated circuit device structure including foamed polymeric material
US20040145014A1 (en) * 1997-07-14 2004-07-29 Micron Technology, Inc. Integrated circuit device structure including foamed polymeric material
US6245594B1 (en) * 1997-08-05 2001-06-12 Micron Technology, Inc. Methods for forming conductive micro-bumps and recessed contacts for flip-chip technology and method of flip-chip assembly
EP0908945A2 (en) * 1997-09-29 1999-04-14 Siemens Aktiengesellschaft Dual damascene with self aligned via interconnects
EP0908945A3 (en) * 1997-09-29 2000-09-27 Siemens Aktiengesellschaft Dual damascene with self aligned via interconnects
KR100554210B1 (en) * 1997-09-29 2006-04-21 지멘스 악티엔게젤샤프트 Dual damascene with self aligned via interconnects
US6127721A (en) * 1997-09-30 2000-10-03 Siemens Aktiengesellschaft Soft passivation layer in semiconductor fabrication
US20030162407A1 (en) * 1997-10-22 2003-08-28 Karen Maex Anisotropic etching of organic-containing insulating layers
WO1999021217A1 (en) * 1997-10-22 1999-04-29 Interuniversitair Micro-Elektronica Centrum Anisotropic etching of organic-containing insulating layers
US6900140B2 (en) 1997-10-22 2005-05-31 Interuniversitair Microelektronica Centrum (Imec) Anisotropic etching of organic-containing insulating layers
US6844266B2 (en) 1997-10-22 2005-01-18 Interuniversitair Microelektronica Centrum Anisotropic etching of organic-containing insulating layers
US6844267B1 (en) 1997-10-22 2005-01-18 Interuniversitair Micro-Elektronica Centrum Anisotropic etching of organic-containing insulating layers
US20040175945A1 (en) * 1997-10-22 2004-09-09 Serge Vanhaelemeersch Anisotropic etching of organic-containing insulating layers
US5922515A (en) * 1998-02-27 1999-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Approaches to integrate the deep contact module
US6211073B1 (en) 1998-02-27 2001-04-03 Micron Technology, Inc. Methods for making copper and other metal interconnections in integrated circuits
US6984891B2 (en) 1998-02-27 2006-01-10 Micron Technology, Inc. Methods for making copper and other metal interconnections in integrated circuits
US5972722A (en) * 1998-04-14 1999-10-26 Texas Instruments Incorporated Adhesion promoting sacrificial etch stop layer in advanced capacitor structures
US6140236A (en) * 1998-04-21 2000-10-31 Kabushiki Kaisha Toshiba High throughput A1-Cu thin film sputtering process on small contact via for manufacturable beol wiring
US6083835A (en) * 1998-07-24 2000-07-04 Taiwan Semiconductor Manufacturing Company Self-passivation of copper damascene
US6258727B1 (en) * 1998-07-31 2001-07-10 International Business Machines Corporation Method of forming metal lands at the M0 level with a non selective chemistry
US6284656B1 (en) 1998-08-04 2001-09-04 Micron Technology, Inc. Copper metallurgy in integrated circuits
US6614099B2 (en) 1998-08-04 2003-09-02 Micron Technology, Inc. Copper metallurgy in integrated circuits
US6208016B1 (en) 1998-09-10 2001-03-27 Micron Technology, Inc. Forming submicron integrated-circuit wiring from gold, silver, copper and other metals
US6849927B2 (en) 1998-09-10 2005-02-01 Micron Technology, Inc. Forming submicron integrated-circuit wiring from gold, silver, copper, and other metals
US6552432B2 (en) 1998-09-10 2003-04-22 Micron Technology, Inc. Mask on a polymer having an opening width less than that of the opening in the polymer
US6211049B1 (en) 1998-09-10 2001-04-03 Micron Technology, Inc. Forming submicron integrated-circuit wiring from gold, silver, copper, and other metals
US6288442B1 (en) 1998-09-10 2001-09-11 Micron Technology, Inc. Integrated circuit with oxidation-resistant polymeric layer
US20010010403A1 (en) * 1998-09-10 2001-08-02 Micron Technology, Inc. Forming submicron integrated-circuit wiring from gold, silver, copper, and other metals
US6056864A (en) * 1998-10-13 2000-05-02 Advanced Micro Devices, Inc. Electropolishing copper film to enhance CMP throughput
CN100407052C (en) * 1998-10-30 2008-07-30 国际商业机器公司 Printing sub photo etching image by using shadow arbor and eccentric shaft exposure
US6150256A (en) * 1998-10-30 2000-11-21 International Business Machines Corporation Method for forming self-aligned features
US20020094673A1 (en) * 1998-12-31 2002-07-18 Intel Corporation Method for making interconnects and diffusion barriers in integrated circuits
US6933230B2 (en) 1998-12-31 2005-08-23 Intel Corporation Method for making interconnects and diffusion barriers in integrated circuits
US6359328B1 (en) 1998-12-31 2002-03-19 Intel Corporation Methods for making interconnects and diffusion barriers in integrated circuits
US20020127845A1 (en) * 1999-03-01 2002-09-12 Paul A. Farrar Conductive structures in integrated circuits
US20050285272A1 (en) * 1999-03-01 2005-12-29 Micron Technology, Inc. Conductive structures in integrated circuits
US20050082674A1 (en) * 1999-03-12 2005-04-21 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method of the same
US7169697B2 (en) * 1999-03-12 2007-01-30 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method of the same
KR100773182B1 (en) * 1999-06-04 2007-11-02 가부시키가이샤 히타치세이사쿠쇼 Semiconductor device and method of manufacturing the same
WO2001003178A1 (en) * 1999-07-01 2001-01-11 Fraunhofer Gesellschaft zur Förderung der angewandten Forschung e.V. Method for producing an electrode arrangement
US6909171B2 (en) 1999-08-25 2005-06-21 Micron Technology, Inc. Microelectronic device package filled with liquid or pressurized gas and associated method of manufacture
US20020168872A1 (en) * 1999-08-25 2002-11-14 Micron Technology, Inc. Insulators for high density circuits
US6872671B2 (en) 1999-08-25 2005-03-29 Micron Technology, Inc. Insulators for high density circuits
US7335965B2 (en) 1999-08-25 2008-02-26 Micron Technology, Inc. Packaging of electronic chips with air-bridge structures
US7276788B1 (en) 1999-08-25 2007-10-02 Micron Technology, Inc. Hydrophobic foamed insulators for high density circuits
US7387912B2 (en) 1999-08-25 2008-06-17 Micron Technology, Inc. Packaging of electronic chips with air-bridge structures
US6670719B2 (en) 1999-08-25 2003-12-30 Micron Technology, Inc. Microelectronic device package filled with liquid or pressurized gas and associated method of manufacture
US6838764B2 (en) 1999-08-25 2005-01-04 Micron Technology, Inc. Insulators for high density circuits
US6979848B2 (en) 1999-08-25 2005-12-27 Micron Technology, Inc. Memory system with conductive structures embedded in foamed insulator
US6475921B2 (en) * 1999-09-02 2002-11-05 Micron Technology, Inc. Mask for producing rectangular openings in a substrate
EP1246710A4 (en) * 1999-09-28 2007-07-04 Jetek Inc Atmospheric process and system for controlled and rapid removal of polymers from high depth to width aspect ratio holes
EP1246710A1 (en) * 1999-09-28 2002-10-09 Jetek, Inc. Atmospheric process and system for controlled and rapid removal of polymers from high depth to width aspect ratio holes
US20070117379A1 (en) * 1999-10-02 2007-05-24 Uri Cohen Multiple seed layers for interconnects
US8586471B2 (en) 1999-10-02 2013-11-19 Uri Cohen Seed layers for metallic interconnects and products
US7199052B2 (en) 1999-10-02 2007-04-03 Uri Cohen Seed layers for metallic interconnects
US20110068470A1 (en) * 1999-10-02 2011-03-24 Uri Cohen Apparatus For Making Interconnect Seed Layers And Products
US20030129828A1 (en) * 1999-10-02 2003-07-10 Uri Cohen Methods for making multiple seed layers for metallic interconnects
US7282445B2 (en) 1999-10-02 2007-10-16 Uri Cohen Multiple seed layers for interconnects
US8123861B2 (en) 1999-10-02 2012-02-28 Seed Layers Technology, LLC Apparatus for making interconnect seed layers and products
US7682496B2 (en) 1999-10-02 2010-03-23 Uri Cohen Apparatus for depositing seed layers
US20080026569A1 (en) * 1999-10-02 2008-01-31 Uri Cohen Advanced Seed Layers for Interconnects
US20060166448A1 (en) * 1999-10-02 2006-07-27 Uri Cohen Apparatus for depositing seed layers
US20040087171A1 (en) * 1999-10-02 2004-05-06 Uri Cohen Combined conformal/non-conformal seed layers for metallic interconnects
US7105434B2 (en) 1999-10-02 2006-09-12 Uri Cohen Advanced seed layery for metallic interconnects
US9673090B2 (en) 1999-10-02 2017-06-06 Uri Cohen Seed layers for metallic interconnects
US10096547B2 (en) 1999-10-02 2018-10-09 Uri Cohen Metallic interconnects products
US6903016B2 (en) 1999-10-02 2005-06-07 Uri Cohen Combined conformal/non-conformal seed layers for metallic interconnects
US20050124153A1 (en) * 1999-10-02 2005-06-09 Uri Cohen Advanced seed layery for metallic interconnects
US7550386B2 (en) 1999-10-02 2009-06-23 Uri Cohen Advanced seed layers for interconnects
US6610151B1 (en) 1999-10-02 2003-08-26 Uri Cohen Seed layers for interconnects and methods and apparatus for their fabrication
US20050148172A1 (en) * 1999-10-02 2005-07-07 Uri Cohen Seed layers for metallic interconnects
US6924226B2 (en) 1999-10-02 2005-08-02 Uri Cohen Methods for making multiple seed layers for metallic interconnects
US6518668B2 (en) 1999-10-02 2003-02-11 Uri Cohen Multiple seed layers for metallic interconnects
US20090233440A1 (en) * 1999-10-02 2009-09-17 Uri Cohen Seed Layers for Metallic Interconnects
US20060076316A1 (en) * 1999-11-01 2006-04-13 Bollinger Lynn D Atmospheric process and system for controlled and rapid removal of polymers from high aspect ratio holes
US7670469B2 (en) 2000-01-18 2010-03-02 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US7211512B1 (en) 2000-01-18 2007-05-01 Micron Technology, Inc. Selective electroless-plated copper metallization
US7535103B2 (en) 2000-01-18 2009-05-19 Micron Technology, Inc. Structures and methods to enhance copper metallization
US7745934B2 (en) 2000-01-18 2010-06-29 Micron Technology, Inc. Integrated circuit and seed layers
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US7402516B2 (en) 2000-01-18 2008-07-22 Micron Technology, Inc. Method for making integrated circuits
US7394157B2 (en) 2000-01-18 2008-07-01 Micron Technology, Inc. Integrated circuit and seed layers
US6420262B1 (en) 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US7378737B2 (en) 2000-01-18 2008-05-27 Micron Technology, Inc. Structures and methods to enhance copper metallization
US7368378B2 (en) 2000-01-18 2008-05-06 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US6376370B1 (en) 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
US6743716B2 (en) 2000-01-18 2004-06-01 Micron Technology, Inc. Structures and methods to enhance copper metallization
US8779596B2 (en) 2000-01-18 2014-07-15 Micron Technology, Inc. Structures and methods to enhance copper metallization
US7301190B2 (en) 2000-01-18 2007-11-27 Micron Technology, Inc. Structures and methods to enhance copper metallization
US7285196B2 (en) 2000-01-18 2007-10-23 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US6756298B2 (en) 2000-01-18 2004-06-29 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US7262130B1 (en) 2000-01-18 2007-08-28 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US7262505B2 (en) 2000-01-18 2007-08-28 Micron Technology, Inc. Selective electroless-plated copper metallization
US7105914B2 (en) 2000-01-18 2006-09-12 Micron Technology, Inc. Integrated circuit and seed layers
US7253521B2 (en) 2000-01-18 2007-08-07 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US6677209B2 (en) 2000-02-14 2004-01-13 Micron Technology, Inc. Low dielectric constant STI with SOI devices
US6756653B2 (en) 2000-02-14 2004-06-29 Micron Technology, Inc. Low dielectric constant shallow trench isolation
US6781192B2 (en) 2000-02-14 2004-08-24 Micron Technology, Inc. Low dielectric constant shallow trench isolation
US6770537B2 (en) 2000-02-14 2004-08-03 Micron Technology, Inc. Low dielectric constant shallow trench isolation
US6780721B2 (en) 2000-02-14 2004-08-24 Micron Technology, Inc. Low dielectric constant shallow trench isolation
US6737723B2 (en) 2000-02-14 2004-05-18 Micron Technology, Inc. Low dielectric constant shallow trench isolation
US6413827B2 (en) 2000-02-14 2002-07-02 Paul A. Farrar Low dielectric constant shallow trench isolation
US6953983B2 (en) 2000-02-14 2005-10-11 Micron Technology, Inc. Low dielectric constant STI with SOI devices
US6890847B1 (en) 2000-02-22 2005-05-10 Micron Technology, Inc. Polynorbornene foam insulation for integrated circuits
EP1279071A4 (en) * 2000-05-03 2006-08-02 Caliper Life Sciences Inc Multi depth substrate fabrication processes
EP1279071A1 (en) * 2000-05-03 2003-01-29 Caliper Technologies Corporation Multi depth substrate fabrication processes
US7067421B2 (en) 2000-05-31 2006-06-27 Micron Technology, Inc. Multilevel copper interconnect with double passivation
US7091611B2 (en) 2000-05-31 2006-08-15 Micron Technology, Inc. Multilevel copper interconnects with low-k dielectrics and air gaps
US6995470B2 (en) 2000-05-31 2006-02-07 Micron Technology, Inc. Multilevel copper interconnects with low-k dielectrics and air gaps
US6426301B1 (en) 2000-07-31 2002-07-30 Advanced Micro Devices, Inc. Reduction of via etch charging damage through the use of a conducting hard mask
US6709968B1 (en) 2000-08-16 2004-03-23 Micron Technology, Inc. Microelectronic device with package with conductive elements and associated method of manufacture
US6614092B2 (en) 2000-08-16 2003-09-02 Micron Technology, Inc. Microelectronic device package with conductive elements and associated method of manufacture
US20020037603A1 (en) * 2000-08-16 2002-03-28 Eldridge Jerome M. Microelectronic device package with conductive elements and associated method of manufacture
US6689693B2 (en) 2000-08-30 2004-02-10 Micron Technology, Inc. Methods for utilization of disappearing silicon hard mask for fabrication of semiconductor structures
US6461963B1 (en) 2000-08-30 2002-10-08 Micron Technology, Inc. Utilization of disappearing silicon hard mask for fabrication of semiconductor structures
US6534408B2 (en) 2000-08-30 2003-03-18 Micron Technology, Inc. Utilization of disappearing silicon hard mask for fabrication of semiconductor structures
US6787472B2 (en) 2000-08-30 2004-09-07 Micron Technology, Inc. Utilization of disappearing silicon hard mask for fabrication of semiconductor structures
US7507663B2 (en) 2000-09-27 2009-03-24 Contour Semiconductor, Inc. Fabrication of semiconductor devices
US20040132288A1 (en) * 2000-09-27 2004-07-08 Nup2 Incorporated Fabrication of semiconductor devices
CN100435347C (en) * 2000-09-27 2008-11-19 Nup2公司 Fabrication of semiconductor devices
US7183206B2 (en) * 2000-09-27 2007-02-27 Contour Semiconductor, Inc. Fabrication of semiconductor devices
US20070117388A1 (en) * 2000-09-27 2007-05-24 Contour Semiconductor, Inc. Fabrication of semiconductor devices
WO2002029887A3 (en) * 2000-09-29 2003-02-06 Infineon Technologies Corp One-step etch processes for dual damascene metallization
WO2002029887A2 (en) * 2000-09-29 2002-04-11 Infineon Technologies North America Corp. One-step etch processes for dual damascene metallization
US6512198B2 (en) 2001-05-15 2003-01-28 Lexmark International, Inc Removal of debris from laser ablated nozzle plates
US6822191B2 (en) * 2002-04-30 2004-11-23 Siemens Aktiengesellschaft Method for producing a trench structure in a polymer substrate
US20030201258A1 (en) * 2002-04-30 2003-10-30 Hubert De Steur Method for producing a trench structure in a polymer substrate
US7569500B2 (en) 2002-06-14 2009-08-04 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US7569501B2 (en) 2002-06-14 2009-08-04 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US20060094217A1 (en) * 2002-06-28 2006-05-04 Ludwig Dittmar Method for contacting parts of a component integrated into a semiconductor substrate
US7396749B2 (en) * 2002-06-28 2008-07-08 Infineon Technologies Ag Method for contacting parts of a component integrated into a semiconductor substrate
US6900126B2 (en) 2002-11-20 2005-05-31 International Business Machines Corporation Method of forming metallized pattern
US20040113385A1 (en) * 2002-11-28 2004-06-17 Shimano, Inc. Bicycle electronic control device with a reset function
US8962470B2 (en) * 2002-12-27 2015-02-24 Fujitsu Limited Method for forming bumps, semiconductor device and method for manufacturing same, substrate processing apparatus, and semiconductor manufacturing apparatus
US20090186425A1 (en) * 2002-12-27 2009-07-23 Fujitsu Limited Method for forming bumps, semiconductor device and method for manufacturing same, substrate processing apparatus, and semiconductor manufacturing apparatus
US20060237838A1 (en) * 2003-02-19 2006-10-26 Mark Fery Thermal interconnect systems methods of production and uses thereof
US7378730B2 (en) * 2003-02-19 2008-05-27 Honeywell International Inc. Thermal interconnect systems methods of production and uses thereof
US20040166669A1 (en) * 2003-02-20 2004-08-26 Renesas Technology Corp. Method of manufacturing semiconductor device having dual damascene structure
US6787454B1 (en) * 2003-02-20 2004-09-07 Renesas Technology Corp. Method of manufacturing semiconductor device having dual damascene structure
US7405454B2 (en) 2003-03-04 2008-07-29 Micron Technology, Inc. Electronic apparatus with deposited dielectric layers
EP1484644A3 (en) * 2003-06-02 2006-04-12 Hewlett-Packard Development Company, L.P. Mould, pattern of nano wires, multiplexer/demultiplexer and method of making same
US7155819B2 (en) * 2003-06-30 2007-01-02 Intel Corporation System for making a conductive circuit on a substantially non-conductive substrate
US20040262029A1 (en) * 2003-06-30 2004-12-30 Mcconville David P. Method and apparatus for forming printed circuit boards using imprinting and grinding
US20070094873A1 (en) * 2003-06-30 2007-05-03 Intel Corporation. System for making a conductive circuit on a substantially non-conductive substrate
US7504674B2 (en) 2003-08-05 2009-03-17 Micron Technology, Inc. Electronic apparatus having a core conductive structure within an insulating layer
US7220665B2 (en) 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment
CN100483672C (en) * 2003-09-29 2009-04-29 国际商业机器公司 Fabrication method
US8021748B2 (en) 2003-09-29 2011-09-20 Ibiden Co., Ltd. Interlayer insulating layer for printed wiring board, printed wiring board and method for manufacturing same
US20080023815A1 (en) * 2003-09-29 2008-01-31 Ibiden Co., Ltd. Interlayer dielectric layer for printed wiring board, printed wiring board, and method of producing the same
US20070013049A1 (en) * 2003-09-29 2007-01-18 Ibiden Co., Ltd. Interlayer insulating layer for printed wiring board, printed wiring board and method for manufacturing same
US20080014336A1 (en) * 2003-09-29 2008-01-17 Ibiden Co., Ltd. Interlayer dielectric layer for printed wiring board, printed wiring board, and method of producing the same
WO2005031855A1 (en) * 2003-09-29 2005-04-07 International Business Machines Corporation Fabrication method
KR100791443B1 (en) * 2003-09-29 2008-01-10 인터내셔널 비지네스 머신즈 코포레이션 Fabrication method
US20050137882A1 (en) * 2003-12-17 2005-06-23 Cameron Don T. Method for authenticating goods
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US20060046461A1 (en) * 2004-09-01 2006-03-02 Benson Peter A Method for creating electrically conductive elements for semiconductor device structures using laser ablation processes and methods of fabricating semiconductor device assemblies
US20070066048A1 (en) * 2004-09-01 2007-03-22 Benson Peter A Method for creating electrically conductive elements for semiconductor device structures using laser ablation processes and methods of fabricating semiconductor device assemblies
US7629250B2 (en) * 2004-09-01 2009-12-08 Micron Technology, Inc. Method for creating electrically conductive elements for semiconductor device structures using laser ablation processes and methods of fabricating semiconductor device assemblies
US7575999B2 (en) 2004-09-01 2009-08-18 Micron Technology, Inc. Method for creating conductive elements for semiconductor device structures using laser ablation processes and methods of fabricating semiconductor device assemblies
US7767103B2 (en) 2004-09-14 2010-08-03 Lexmark International, Inc. Micro-fluid ejection assemblies
US20060054591A1 (en) * 2004-09-14 2006-03-16 Bernard David L Micro-fluid ejection assemblies
US20060105114A1 (en) * 2004-11-16 2006-05-18 White John M Multi-layer high quality gate dielectric for low-temperature poly-silicon TFTs
US20070212872A1 (en) * 2005-03-04 2007-09-13 Daubenspeck Timothy H Single mask process for variable thickness dual damascene structures, other grey-masking processes, and structures made using grey-masking
US20060197228A1 (en) * 2005-03-04 2006-09-07 International Business Machines Corporation Single mask process for variable thickness dual damascene structures, other grey-masking processes, and structures made using grey-masking
US8501563B2 (en) 2005-07-20 2013-08-06 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US8921914B2 (en) 2005-07-20 2014-12-30 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US20070105300A1 (en) * 2005-11-08 2007-05-10 Lee Wan G Semiconductor substrate and method for manufacturing semiconductor device
US20070117372A1 (en) * 2005-11-18 2007-05-24 Yu-Hao Hsu Fabricating method of an interconnect structure
US7696086B2 (en) * 2005-11-18 2010-04-13 United Microelectronics Corp. Fabricating method of an interconnect structure
CN101667555B (en) * 2005-12-07 2012-06-27 佳能株式会社 Method for manufacturing semiconductor device by using dual damascene process and method for manufacturing article having communicating hole
JP2006135362A (en) * 2006-02-14 2006-05-25 Renesas Technology Corp Method of manufacturing semiconductor device
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US20070278693A1 (en) * 2006-05-31 2007-12-06 Matthias Lehr Metallization layer of a semiconductor device having differently thick metal lines and a method of forming the same
US7592258B2 (en) * 2006-05-31 2009-09-22 Advanced Micro Devices, Inc. Metallization layer of a semiconductor device having differently thick metal lines and a method of forming the same
CN101479842B (en) * 2006-06-30 2014-09-03 先进微装置公司 A nano imprint technique with increased flexibility with respect to alignment and feature shaping
DE102006030267B4 (en) * 2006-06-30 2009-04-16 Advanced Micro Devices, Inc., Sunnyvale Nano embossing technique with increased flexibility in terms of adjustment and shaping of structural elements
KR101336274B1 (en) 2006-06-30 2013-12-03 어드밴스드 마이크로 디바이시즈, 인코포레이티드 A nano imprint technique with increased flexibility with respect to alignment and feature shaping
DE102006030267A1 (en) * 2006-06-30 2008-01-03 Advanced Micro Devices, Inc., Sunnyvale Microstructures producing method involves common stamping of contact opening and cut in ductile material layer, which is formed by substrate, where contact opening and cut correspond to structural components of metallizing structure
US20080003818A1 (en) * 2006-06-30 2008-01-03 Robert Seidel Nano imprint technique with increased flexibility with respect to alignment and feature shaping
US7928004B2 (en) 2006-06-30 2011-04-19 Advanced Micro Devices, Inc. Nano imprint technique with increased flexibility with respect to alignment and feature shaping
WO2008005087A2 (en) * 2006-06-30 2008-01-10 Advanced Micro Devices, Inc. A nano imprint technique with increased flexibility with respect to alignment and feature shaping
WO2008005087A3 (en) * 2006-06-30 2008-03-27 Advanced Micro Devices Inc A nano imprint technique with increased flexibility with respect to alignment and feature shaping
JP2009543334A (en) * 2006-06-30 2009-12-03 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド Nanoimprint technology with improved flexibility for alignment and feature shaping
GB2452445A (en) * 2006-06-30 2009-03-04 Advanced Micro Devices Inc A nano imprint technique with increased flexibility with respect to alignment and feature shaping
KR100834511B1 (en) 2006-09-06 2008-06-02 삼성전기주식회사 Manufacturing method of stamper for imprinting
US7902018B2 (en) 2006-09-26 2011-03-08 Applied Materials, Inc. Fluorine plasma treatment of high-k gate stack for defect passivation
US8247905B2 (en) 2007-01-05 2012-08-21 International Business Machines Corporation Formation of vertical devices by electroplating
US20090294989A1 (en) * 2007-01-05 2009-12-03 International Business Machines Corporation Formation of vertical devices by electroplating
US20080166874A1 (en) * 2007-01-05 2008-07-10 International Business Machines Corporation Formation of vertical devices by electroplating
US7608538B2 (en) * 2007-01-05 2009-10-27 International Business Machines Corporation Formation of vertical devices by electroplating
US9889239B2 (en) 2007-03-23 2018-02-13 Allegiance Corporation Fluid collection and disposal system and related methods
US9604778B2 (en) 2007-03-23 2017-03-28 Allegiance Corporation Fluid collection and disposal system having interchangeable collection and other features and methods relating thereto
US10252856B2 (en) 2007-03-23 2019-04-09 Allegiance Corporation Fluid collection and disposal system having interchangeable collection and other features and methods relating thereof
US8500706B2 (en) 2007-03-23 2013-08-06 Allegiance Corporation Fluid collection and disposal system having interchangeable collection and other features and methods relating thereto
US20090004403A1 (en) * 2007-06-29 2009-01-01 Yonggang Li Method of Providing Patterned Embedded Conducive Layer Using Laser Aided Etching of Dielectric Build-Up Layer
WO2009032390A3 (en) * 2007-06-29 2009-09-24 Intel Corporation Method of providing patterned embedded conducive layer using laser aided etching of dielectric build-up layer
JP2010532582A (en) * 2007-06-29 2010-10-07 インテル・コーポレーション Method for providing a patterned buried conductive layer using laser assisted etching of a dielectric buildup layer
CN101689482B (en) * 2007-06-29 2012-08-22 英特尔公司 Method of providing patterned embedded conducive layer using laser aided etching of dielectric build-up layer
KR101481851B1 (en) * 2007-06-29 2015-01-12 인텔 코포레이션 Method of providing patterned embedded conductive layer using laser aided etching of dielectric build-up layer
WO2009032390A2 (en) * 2007-06-29 2009-03-12 Intel Corporation Method of providing patterned embedded conducive layer using laser aided etching of dielectric build-up layer
NL1034489C2 (en) * 2007-10-09 2009-04-14 Micronit Microfluidics Bv Methods for manufacturing a microstructure.
WO2009048321A2 (en) 2007-10-09 2009-04-16 Micronit Microfluidics B.V. Methods for manufacturing a microstructure
US20100288729A1 (en) * 2007-10-09 2010-11-18 Micronit Microfluidics B.V. Methods for Manufacturing a Microstructure
US9099457B2 (en) 2008-06-19 2015-08-04 Micron Technology, Inc. Semiconductor with through-substrate interconnect
US9514975B2 (en) 2008-06-19 2016-12-06 Micron Technology, Inc. Semiconductor with through-substrate interconnect
US9917002B2 (en) 2008-06-19 2018-03-13 Micron Technology, Inc. Semiconductor with through-substrate interconnect
US10734272B2 (en) 2008-06-19 2020-08-04 Micron Technology, Inc. Semiconductor with through-substrate interconnect
US8404587B2 (en) 2008-06-19 2013-03-26 Micro Technology, Inc. Semiconductor with through-substrate interconnect
US20100015803A1 (en) * 2008-07-17 2010-01-21 Jin-Ho Yang Method for fabricating semiconductor device using dual damascene process
US8119523B2 (en) * 2008-07-17 2012-02-21 Hynix Semiconductor Inc. Method for fabricating semiconductor device using dual damascene process
US8187795B2 (en) 2008-12-09 2012-05-29 The Board Of Trustees Of The University Of Illinois Patterning methods for stretchable structures
US20100143848A1 (en) * 2008-12-09 2010-06-10 Kanti Jain Patterning methods for stretchable structures
US20100196617A1 (en) * 2009-02-05 2010-08-05 Api Group Plc Production of a surface relief on a substrate
US8460256B2 (en) 2009-07-15 2013-06-11 Allegiance Corporation Collapsible fluid collection and disposal system and related methods
US10600689B2 (en) 2009-08-21 2020-03-24 Micron Technology, Inc. Vias and conductive routing layers in semiconductor substrates
US9799562B2 (en) * 2009-08-21 2017-10-24 Micron Technology, Inc. Vias and conductive routing layers in semiconductor substrates
US20110042821A1 (en) * 2009-08-21 2011-02-24 Micron Technology, Inc. Vias and conductive routing layers in semiconductor substrates
US20110175233A1 (en) * 2010-01-19 2011-07-21 Akira Ueki Semiconductor device and method for fabricating the same
US11527436B2 (en) 2010-02-08 2022-12-13 Micron Technology, Inc. Microelectronic devices with through-substrate interconnects and associated methods of manufacturing
US10685878B2 (en) 2010-02-08 2020-06-16 Micron Technology, Inc. Microelectronic devices with through-substrate interconnects and associated methods of manufacturing
US20110193226A1 (en) * 2010-02-08 2011-08-11 Micron Technology, Inc. Microelectronic devices with through-substrate interconnects and associated methods of manufacturing
US8907457B2 (en) 2010-02-08 2014-12-09 Micron Technology, Inc. Microelectronic devices with through-substrate interconnects and associated methods of manufacturing
JP4566283B2 (en) * 2010-03-18 2010-10-20 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
JP2010153909A (en) * 2010-03-18 2010-07-08 Renesas Technology Corp Method of manufacturing semiconductor device
US10672765B2 (en) 2011-06-27 2020-06-02 National Centre For Printable Electronics Methods of manufacturing transistors including forming a depression in a surface of a covering of resist material
US9425193B2 (en) * 2011-06-27 2016-08-23 Pragmatic Printing Ltd Methods of manufacturing transistors including forming a depression in a surface of a covering of resist material
US8518832B1 (en) * 2011-06-27 2013-08-27 Western Digital (Fremont), Llc Process for masking and removal of residue from complex shapes
US20140191331A1 (en) * 2011-06-27 2014-07-10 Pragmatic Printing Ltd Transistor and Its Method of Manufacture
US9117804B2 (en) * 2013-09-13 2015-08-25 United Microelectronics Corporation Interposer structure and manufacturing method thereof
US20150076694A1 (en) * 2013-09-13 2015-03-19 United Microelectronics Corporation Interposer structure and manufacturing method thereof
US10096624B2 (en) * 2013-10-14 2018-10-09 Samsung Display Co., Ltd. Display substrate comprising interconnected first and second wirings and display device including the same
US11011552B2 (en) 2013-10-14 2021-05-18 Samsung Display Co., Ltd. Method for manufacturing a display substrate comprising interconnected first and second wirings
US20150102343A1 (en) * 2013-10-14 2015-04-16 Samsung Display Co., Ltd. Display substrates, methods of manufacturing the same and display devices including the same
KR20150042967A (en) * 2013-10-14 2015-04-22 삼성디스플레이 주식회사 Display substrates, methods of manufacturing the same and display devices including the same
US10755974B2 (en) 2014-03-13 2020-08-25 Taiwan Semiconductor Manufacturing Company Interconnect structure and method of forming same
US10269632B2 (en) 2014-03-13 2019-04-23 Taiwan Semiconductor Manufacturing Company Semiconductor device and method
US20150262873A1 (en) * 2014-03-13 2015-09-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device and Method
US9601348B2 (en) * 2014-03-13 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method of forming same
US9418887B2 (en) * 2014-03-31 2016-08-16 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US20150279727A1 (en) * 2014-03-31 2015-10-01 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US9986642B2 (en) * 2015-07-22 2018-05-29 Ibiden Co., Ltd. Method for manufacturing printed wiring board and printed wiring board
US20180046045A1 (en) * 2016-02-02 2018-02-15 Boe Technology Group Co., Ltd. Array substrate, manufacturing method thereof, and display panel
CN108122873A (en) * 2016-11-28 2018-06-05 日月光半导体制造股份有限公司 The semiconductor packages of connection column are moulded including filling
CN108417530A (en) * 2017-02-10 2018-08-17 Imec 非营利协会 The method for being used to form conductive path and channel
US20230369109A1 (en) * 2017-11-30 2023-11-16 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive Feature Formation and Structure
US11258325B2 (en) 2018-10-23 2022-02-22 General Electric Company Articles including insulated conductors and systems thereof
DE102019209889A1 (en) * 2019-07-04 2021-01-07 Gebr. Schmid Gmbh Process for the production of printed circuit boards as well as printed circuit boards produced according to the process

Similar Documents

Publication Publication Date Title
US5173442A (en) Methods of forming channels and vias in insulating layers
US5091339A (en) Trenching techniques for forming vias and channels in multilayer electrical interconnects
US5219787A (en) Trenching techniques for forming channels, vias and components in substrates
US5227013A (en) Forming via holes in a multilevel substrate in a single step
US5187119A (en) Multichip module and integrated circuit substrates having planarized patterned surfaces
US5266446A (en) Method of making a multilayer thin film structure
US5236551A (en) Rework of polymeric dielectric electrical interconnect by laser photoablation
US5316974A (en) Integrated circuit copper metallization process using a lift-off seed layer and a thick-plated conductor layer
US5112448A (en) Self-aligned process for fabrication of interconnect structures in semiconductor applications
US7325299B2 (en) Method of making a circuitized substrate
US6048445A (en) Method of forming a metal line utilizing electroplating
US4925723A (en) Microwave integrated circuit substrate including metal filled via holes and method of manufacture
EP0188735B1 (en) Tailoring of via-hole sidewall slope in an insulating layer
TW200408049A (en) BARC shaping for improved fabrication of dual damascene integrated circuit features
KR100258875B1 (en) Method of forming via for multilayer wiring
US5223084A (en) Simultaneous dielectric planarization and contact hole etching
EP0557278B1 (en) A method of making a multilayer thin film structure
JP4195706B2 (en) Printed wiring board and manufacturing method thereof
KR100363642B1 (en) Method for forming contact hole of semiconductor devices
KR100462764B1 (en) Dual damascene method by use of different photo-resist
WO2002089199A2 (en) A method of filling a via or recess in a semiconductor substrate
KR100364807B1 (en) Method for forming metal line using the dual damascene process
KR100813441B1 (en) Method of fabricating a printed circuit board having a fine line spacing pitch
JPH05226054A (en) Manufacture of anisotropic conductive film
KR100866122B1 (en) Method for forming metal line using dual damascene process

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

REMI Maintenance fee reminder mailed
AS Assignment

Owner name: SAMSUNG ELECTRONICS CO., LTD., KOREA, REPUBLIC OF

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:MICROELECTRONICS AND COMPUTER TECHNOLOGY CORPORATION;REEL/FRAME:013184/0834

Effective date: 20020612

FPAY Fee payment

Year of fee payment: 12