US20170040437A1 - Low-k spacer for rmg finfet formation - Google Patents

Low-k spacer for rmg finfet formation Download PDF

Info

Publication number
US20170040437A1
US20170040437A1 US15/298,828 US201615298828A US2017040437A1 US 20170040437 A1 US20170040437 A1 US 20170040437A1 US 201615298828 A US201615298828 A US 201615298828A US 2017040437 A1 US2017040437 A1 US 2017040437A1
Authority
US
United States
Prior art keywords
mandrels
recited
low
gate structure
spacers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US15/298,828
Inventor
Hong He
Chiahsun Tseng
Tenko Yamashita
Chun-Chen Yeh
Yunpeng Yin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US15/298,828 priority Critical patent/US20170040437A1/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: TSENG, CHIAHSUN, YAMASHITA, TENKO, YEH, CHUN-CHEN, HE, HONG, YIN, YUNPENG
Publication of US20170040437A1 publication Critical patent/US20170040437A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Definitions

  • a semiconductor device includes a substrate having one or more mandrels formed thereon.
  • a replacement gate structure is formed over the one or more mandrels.
  • Low-k spacers are formed about a periphery of the replacement gate structure, the low-k spacers extending through the one or more mandrels to an underlying oxide layer. Raised source/drain regions are also formed.
  • FIG. 3B shows a cross-sectional view of the semiconductor device in FIG. 3A along section line 1 A- 1 A, in accordance with one illustrative embodiment

Abstract

A method for semiconductor fabrication includes providing mask layers on opposite sides of a substrate, the substrate having one or more mandrels. Dummy spacers are formed along a periphery of the mask layers. A dummy gate structure is formed between the dummy spacers. The dummy spacers are removed to provide a recess. Low-k spacers are formed in the recess.

Description

    BACKGROUND
  • Technical Field
  • The present invention relates to semiconductor fabrication, and more particularly to the fabrication of a low-K spacer for replacement metal gate fin field effect transistor.
  • Description of the Related Art
  • The replacement metal gate (RMG) fin field effect transistor (finFET) fabrication process typically includes first patterning the gate, followed by conformal spacer deposition, spacer etch, and then source/drain epitaxial merge. However, the spacer etch step can introduce both fin erosion in the source/drain area and also top corner rounding of the dummy gate hard mask. Source/drain fin erosion raises fin epitaxial merge concerns. Gate hard mask top corner rounding can potentially cause epitaxial nodules at source/drain epitaxial merge.
  • SUMMARY
  • A method for semiconductor fabrication includes providing mask layers on opposite sides of a substrate, the substrate having one or more mandrels. Dummy spacers are formed along a periphery of the mask layers. A dummy gate structure is formed between the dummy spacers. The dummy spacers are removed to provide a recess. Low-k spacers are formed in the recess.
  • A method for semiconductor fabrication includes providing mask layers on opposite sides of a substrate, the substrate having one or more mandrels. Dummy spacers are formed along a periphery of the mask layers. A dummy gate structure is formed between the dummy spacers. The dummy spacers are removed to provide a recess. Low-k spacers are formed in the recess. The mask layers are removed to form raised source/drain regions such that source/drain regions of neighboring mandrels are epitaxially merged. The dummy gate structure is removed and a replacement metal gate structure is formed.
  • A semiconductor device includes a substrate having one or more mandrels formed thereon. A replacement gate structure is formed over the one or more mandrels. Low-k spacers are formed about a periphery of the replacement gate structure, the low-k spacers extending through the one or more mandrels to an underlying oxide layer. Raised source/drain regions are also formed.
  • These and other features and advantages will become apparent from the following detailed description of illustrative embodiments thereof, which is to be read in connection with the accompanying drawings.
  • BRIEF DESCRIPTION OF DRAWINGS
  • The disclosure will provide details in the following description of preferred embodiments with reference to the following figures wherein:
  • FIG. 1A shows a top-down view of a semiconductor device having mandrels formed on a substrate and mask layers formed at opposite end portions of the substrate, in accordance with one illustrative embodiment;
  • FIG. 1B shows a cross-sectional view of the semiconductor device in FIG. 1A along section line 1A-1A, in accordance with one illustrative embodiment;
  • FIG. 2A shows a top-down view of the semiconductor device having dummy spacers formed along a periphery of the mask layers, in accordance with one illustrative embodiment;
  • FIG. 2B shows a cross-sectional view of the semiconductor device in FIG. 2A along section line 1A-1A, in accordance with one illustrative embodiment;
  • FIG. 3A shows a top-down view of the semiconductor device having a dummy gate structure formed between the dummy spacers, in accordance with one illustrative embodiment;
  • FIG. 3B shows a cross-sectional view of the semiconductor device in FIG. 3A along section line 1A-1A, in accordance with one illustrative embodiment;
  • FIG. 4A shows a top-down view of the semiconductor device having dummy spacers removed and low-k spacers formed, in accordance with one illustrative embodiment;
  • FIG. 4B shows a cross-sectional view of the semiconductor device in FIG. 4A along section line 1A-1A, in accordance with one illustrative embodiment;
  • FIG. 5A shows a top-down view of the semiconductor device having raised source/drain regions formed for epitaxial merging, in accordance with one illustrative embodiment;
  • FIG. 5B shows a cross-sectional view of the semiconductor device in FIG. 5A along section line 1A-1A, in accordance with one illustrative embodiment;
  • FIG. 6A shows a top-down view of the semiconductor device having the dummy gate structure removed, in accordance with one illustrative embodiment;
  • FIG. 6B shows a cross-sectional view of the semiconductor device in FIG. 6A along section line 1A-1A, in accordance with one illustrative embodiment;
  • FIG. 7A shows a top-down view of the semiconductor device having a replacement metal gate structure, in accordance with one illustrative embodiment;
  • FIG. 7B shows a cross-sectional view of the semiconductor device in FIG. 7A along section line 1A-1A, in accordance with one illustrative embodiment; and
  • FIG. 8 is a block/flow diagram showing a method for fabricating a semiconductor device having low-k spacers, in accordance with one illustrative embodiment.
  • DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS
  • In accordance with the present principles, semiconductor devices and methods of fabrication are provided for the formation of a low-k spacer for a replacement metal gate (RMG) fin field effect transistor (finFET). A semiconductor substrate is first provided having a buried oxide layer (BOX) and silicon on insulator (SOI) formed thereon. The SOI layer may be patterned to form one or more mandrels or fins. Mask layers are formed on opposite end portions of the substrate.
  • Dummy spacers are formed along a periphery of the masks layers. A dummy gate structure is then formed between the dummy spacers. The dummy spacers are removed by etching to provide an opening. The etch preferably is applied through the mandrels to an underlying oxide layer. Low-k spacers are formed in the opening. The mask is removed and raised source/drain regions are formed such that source/drain regions of neighboring mandrels are epitaxially merged. The dummy gate is removed and a replacement metal gate is formed.
  • A low-k spacer for RMG finFET formation is provided using a replacement dummy spacer, where the dummy spacer is formed first and replaced by the real spacer. This enables a spacer with a lower dielectric constant in a finFET structure with excellent gate encapsulation for better manufacturability. One advantage of the present principles is that the spacer formation won't need to be conformal. Additionally, fin erosion and gate top hard mask corner rounding can be mitigated. The present principles provide for more potential material options for spacer formation.
  • It is to be understood that the present invention will be described in terms of a given illustrative architecture having a wafer; however, other architectures, structures, substrate materials and process features and steps may be varied within the scope of the present invention.
  • It will also be understood that when an element such as a layer, region or substrate is referred to as being “on” or “over” another element, it can be directly on the other element or intervening elements may also be present. In contrast, when an element is referred to as being “directly on” or “directly over” another element, there are no intervening elements present. It will also be understood that when an element is referred to as being “connected” or “coupled” to another element, it can be directly connected or coupled to the other element or intervening elements may be present. In contrast, when an element is referred to as being “directly connected” or “directly coupled” to another element, there are no intervening elements present.
  • A design for an integrated circuit chip may be created in a graphical computer programming language, and stored in a computer storage medium (such as a disk, tape, physical hard drive, or virtual hard drive such as in a storage access network). If the designer does not fabricate chips or the photolithographic masks used to fabricate chips, the designer may transmit the resulting design by physical means (e.g., by providing a copy of the storage medium storing the design) or electronically (e.g., through the Internet) to such entities, directly or indirectly. The stored design is then converted into the appropriate format (e.g., GDSII) for the fabrication of photolithographic masks, which typically include multiple copies of the chip design in question that are to be formed on a wafer. The photolithographic masks are utilized to define areas of the wafer (and/or the layers thereon) to be etched or otherwise processed.
  • Methods as described herein may be used in the fabrication of integrated circuit chips. The resulting integrated circuit chips can be distributed by the fabricator in raw wafer form (that is, as a single wafer that has multiple unpackaged chips), as a bare die, or in a packaged form. In the latter case the chip is mounted in a single chip package (such as a plastic carrier, with leads that are affixed to a motherboard or other higher level carrier) or in a multichip package (such as a ceramic carrier that has either or both surface interconnections or buried interconnections). In any case the chip is then integrated with other chips, discrete circuit elements, and/or other signal processing devices as part of either (a) an intermediate product, such as a motherboard, or (b) an end product. The end product can be any product that includes integrated circuit chips, ranging from toys and other low-end applications to advanced computer products having a display, a keyboard or other input device, and a central processor.
  • Reference in the specification to “one embodiment” or “an embodiment” of the present principles, as well as other variations thereof, means that a particular feature, structure, characteristic, and so forth described in connection with the embodiment is included in at least one embodiment of the present principles. Thus, the appearances of the phrase “in one embodiment” or “in an embodiment”, as well any other variations, appearing in various places throughout the specification are not necessarily all referring to the same embodiment.
  • It is to be appreciated that the use of any of the following “/”, “and/or”, and “at least one of”, for example, in the cases of “A/B”, “A and/or B” and “at least one of A and B”, is intended to encompass the selection of the first listed option (A) only, or the selection of the second listed option (B) only, or the selection of both options (A and B). As a further example, in the cases of “A, B, and/or C” and “at least one of A, B, and C”, such phrasing is intended to encompass the selection of the first listed option (A) only, or the selection of the second listed option (B) only, or the selection of the third listed option (C) only, or the selection of the first and the second listed options (A and B) only, or the selection of the first and third listed options (A and C) only, or the selection of the second and third listed options (B and C) only, or the selection of all three options (A and B and C). This may be extended, as readily apparent by one of ordinary skill in this and related arts, for as many items listed.
  • Referring now to the drawings in which like numerals represent the same or similar elements and initially to FIG. 1A and FIG. 1B, a semiconductor device 100 is illustratively depicted in accordance with one embodiment. FIG. 1A shows a top-down view of the semiconductor device 100. FIG. 1B shows a cross-sectional view of the semiconductor device 100 along section line 1A-1A.
  • The semiconductor structure 100 is formed in a semiconductor substrate 102, which may include bulk silicon, monocrystalline silicon, germanium, gallium arsenide, or any other suitable material or combination of materials. Substrate 102 preferably includes a buried oxide (BOX) layer 104 and semiconductor-on-insulator (SOI) layer (not shown) formed in or on the substrate 102. BOX layer 104 may include silicon dioxide, silicon nitride, or any other suitable dielectric material. SOI layer may include any suitable semiconductor such as, e.g., silicon, germanium, silicon germanium, a group III-V semiconductor such as, e.g., gallium arsenide, a group II-VI semiconductor, etc.
  • Mandrels 106 are formed from the SOI layer. While the device 100 in FIG. 1A is shown having two mandrels 106, it should be understood that the device 100 may include more or less than two mandrels 106. Formation of the mandrels 106 may include a lithographic process to form a mask layer (not shown) and etch exposed portions of the SOI layer to form mandrels 106. Mandrels 106 preferably have a width of or about, e.g., 20-25 nanometers. The mandrels 106 are shown having the mask layer from the lithographic mandrel patterning step removed. However, in one embodiment, the mask layer from the lithographic mandrel patterning step may remain over the mandrels 106.
  • In some embodiments, the mandrels 106 may include fin structures. Fin structures refer to a semiconductor material, which is employed as the body of a semiconductor device, in which the gate structure is positioned around the fin structure such that charge flows down the channel on the two sidewalls of the fin structure and optionally along the top surface of the fin structure. The semiconductor structure 100 may further comprise other features or structures that are formed in previous process steps.
  • Gate trench masks 108 are formed on opposite end portions of the semiconductor structure 100. The gate trench masks 108 preferably have a width of or about, e.g., 10 nanometers. The gate trench masks 108 preferably include hardmasks and may be formed by deposition, photolithography and etching. The gate trench masks 108 may include oxides, nitrides, oxynitrides or any other suitable material. Preferably, the gate trench masks 108 include silicon nitride.
  • Referring now to FIG. 2A and FIG. 2B, dummy spacers 110 are formed using known processes. FIG. 2A shows a top-down view of the semiconductor device 100. FIG. 2B shows a cross-sectional view of the semiconductor device 100 along sectional line 1A-1A.
  • The dummy spacers 110 are formed along a periphery of the trench masks 108 and over and above portions of the BOX layer 104 and mandrels 108. Preferably, the dummy spacers 110 have a width of or about, e.g., 4 nanometers. The dummy spacers 110 may include any material that may be selectively removed. In one embodiment, the dummy spacers 110 may include silicon oxide, silicon nitride, silicon oxynitride, silicon carbide, fluoride-doped silicate glass (FSG), combinations thereof, and/or any other suitable material. In one particularly useful embodiment, the dummy spacers 110 are formed by depositing a nitride material and performing a reactive ion etch (RIE) to form the spacers.
  • Referring now to FIG. 3A and FIG. 3B, a sacrificial gate structure 112 is formed. FIG. 3A shows a top-down view of the semiconductor device 100. FIG. 3B shows a cross-sectional view of the semiconductor device 100 along sectional line 1A-1A.
  • The sacrificial gate structure 112 may also be referred to as a dummy gate. The dummy gate 112 is formed between dummy spacers 110 and over and above exposed portions of the BOX layer 104 and mandrels 108. The dummy gate 112 may comprise any suitable material that can be selectively etched to the underlying mandrel 106. For example, the dummy gate 112 may be composed of a semiconductor material, such as, e.g., polycrystalline silicon, amorphous silicon, microcrystal silicon, or any other suitable material or combinations of materials. Although, the dummy gate 112 is typically composed of a semiconductor material, the dummy gate 112 may also be composed of a dielectric material, such as an oxide, nitride or oxynitride material, or amorphous carbon. In one particularly useful embodiment, forming the dummy gate 112 may include depositing the, e.g., polycrystalline silicon material and performing chemical-mechanical planarization (CMP).
  • Referring now to FIG. 4A and FIG. 4B, the dummy spacers 110 are removed and low-k spacers 114 are formed. FIG. 4A shows a top-down view of the semiconductor device 100. FIG. 4B shows a cross-sectional view of the semiconductor device 100 along sectional line 1A-1A.
  • Removal of the dummy spacers 110 preferably includes etching the dummy spacers 110. The etch is applied through the underlying fin layer 106 to the surface of the BOX layer 104. The etch of the dummy spacers 110 has minimal erosion to the gate 120 (formed later) and mandrels 106. A low-k spacer material is deposited in the resulting opening to form low-k spacers 114. The low-k spacer deposition may include non-conformal chemical vapor deposition (CVD) techniques.
  • A low-k spacer is a spacer having a dielectric constant less than the dielectric constant of silicon nitride at room temperature. For example, the low-k spacer may have a dielectric constant of or about 7.0 or less, and preferably at or about, e.g., 5.0. Examples of low-k materials include, but are not limited to, hydrogen silsesquioxane polymer (HSQ), methyl silsesquioxane polymer (MSQ), polyphenylene oligomer, methyl doped silica or SiOx(CH3)y or SiCxOyHy or SiOCH, organosilicate glass (SiCOH) and porous SiCOH, silicon oxide, boron nitride, silicon oxynitride and the like. The low-k material may be deposited by using any suitable techniques such as, e.g., chemical vapor deposition and spin-on coating.
  • Referring now to FIG. 5A and FIG. 5B, the masks 108 are removed. FIG. 5A shows a top-down view of the semiconductor device 100. FIG. 5B shows a cross-sectional view of the semiconductor device 100 along sectional line 1A-1A.
  • The masks 108 are preferably etched to expose source/drain regions of the mandrels 106. Raised source/drain regions 118 are formed by epitaxial growth. Epitaxial growth may include depositing silicon seeds on a silicon wafer, e.g., mandrels 106. The process is selective such that the silicon only grows on exposed portions of the silicon of the mandrels 106 and does not grow on oxide or nitride surfaces. The epitaxial growth may continue until the raised source/drain regions 118 reach a desired height measured from an upper surface of the mandrels 106. The epitaxial growth is performed to provide an epitaxial merge of sources and drains of neighboring mandrels 106. An oxide 116 is then deposited over the raised source/drain regions 118.
  • Referring now to FIG. 6A and FIG. 6B, the dummy gate 112 is removed. FIG. 6A shows a top-down view of the semiconductor device 100. FIG. 6B shows a cross-sectional view of the semiconductor device 100 along sectional line 1A-1A. The dummy gate 112 is etched to provide an opening 120. The etch may include, e.g., a wet etch comprising diluted hydrofluoric acid (DHF) and hot ammonia, or TetraMethyl Ammonium Hydroxide (TMAH). The opening 120 exposes fins 106 and BOX layer 104, shown in FIG. 6A.
  • Referring now to FIG. 7A and FIG. 7B, a replacement gate structure 122 is formed in the opening 120 using conventional processing steps. FIG. 7A shows a top-down view of the semiconductor device 100. FIG. 7B shows a cross-sectional view of the semiconductor device 100 along sectional line 1A-1A. The gate structure 120 preferably includes a replacement metal gate (RMG) structure. The RMG 120 structure includes at least one gate dielectric and at least one gate conductor. The gate structure 120 may be formed before or after the epitaxial merge shown in FIGS. 7A and 7B.
  • The device 100 provides fin field effect transistors (finFET) having low-k spacers without going through the spacer etch step. One advantage is that spacer formation does not need to be conformal and fin erosion and gate top hard mask corner rounding can be mitigated. There are also more potential material options for spacer formation.
  • Referring now to FIG. 7, a block/flow diagram showing a method of semiconductor fabrication 200 is illustratively depicted in accordance with one embodiment. In block 202, mask layers are provided on opposite sides of a substrate. The substrate preferably includes an SOI layer formed over a BOX layer. The SOI layer is patterned to form one or more mandrels (e.g., fins). The mask layers are formed across opposite end portions of the one or more mandrels.
  • In block 204, dummy spacers are formed along a periphery of the mask layers and a dummy gate structure is formed between the dummy spacers. In block 206, the dummy spacers are removed to provide a recess. Preferably, the recess extends through the underlying mandrels to a surface of the BOX layer. In block 208, low-k spacers are formed in the recess. A low-k spacer is a spacer having a dielectric constant less than the dielectric constant of silicon nitride at room temperature, e.g., 7.0 or less, and preferably about, e.g., 5.0.
  • In block 210, the mask layers are removed to expose source/drain regions of the mandrels. Raised source/drain regions may be formed by epitaxial growth. The epitaxial growth may provide an epitaxial merge of sources and drains of neighboring mandrels. The raised source/drain regions may then be covered by an oxide.
  • In block 212, the dummy gate structure is removed to expose mandrels and the BOX layer. A replacement gate structure may be formed using known processing steps. Preferably, the replacement gate structure includes a replacement metal gate structure.
  • Having described preferred embodiments of a method and device for low-K spacer for RMG finFET formation (which are intended to be illustrative and not limiting), it is noted that modifications and variations can be made by persons skilled in the art in light of the above teachings. It is therefore to be understood that changes may be made in the particular embodiments disclosed which are within the scope of the invention as outlined by the appended claims. Having thus described aspects of the invention, with the details and particularity required by the patent laws, what is claimed and desired protected by Letters Patent is set forth in the appended claims.

Claims (20)

What is claimed is:
1. A semiconductor device, comprising:
a substrate having one or more mandrels formed thereon;
a replacement gate structure formed over the one or more mandrels;
low-k spacers formed about a periphery of the replacement gate structure, the low-k spacers extending through the one or more mandrels to an underlying oxide layer; and
raised source/drain regions.
2. The device as recited in claim 1, wherein source/drain regions for neighboring mandrels are epitaxially merged.
3. The device as recited in claim 1, wherein the low-k spacers are non-conformal.
4. The device as recited in claim 1, further comprising an oxide formed over the raised source/drain regions.
5. The device as recited in claim 1, wherein the replacement gate structure includes a replacement metal gate structure.
6. The device as recited in claim 1, wherein the one or more mandrels include one or more fins.
7. The device as recited in claim 1, wherein the low-k spacer is comprised of a material selected from the group consisting of hydrogen silsesquioxane polymer (HSQ), methyl silsesquioxane polymer (MSQ), polyphenylene oligomer, methyl doped silica, SiOx(CH3)y, SiCxOyHy, SiOCH, organosilicate glass (SiCOH), porous SiCOH, silicon oxide, boron nitride, silicon oxynitride and combinations thereof.
8. A semiconductor device, comprising:
a substrate having one or more mandrels formed thereon;
a replacement gate structure formed over the one or more mandrels;
low-k spacers formed about a periphery of the replacement gate structure, the low-k spacers extending through the one or more mandrels to an underlying oxide layer; and
epitaxially merged raised source/drain regions.
9. The device as recited in claim 8, wherein the low-k spacers are non-conformal.
10. The device as recited in claim 8, further comprising an oxide formed over the raised source/drain regions.
11. The device as recited in claim 8, wherein the replacement gate structure includes a replacement metal gate structure.
12. The device as recited in claim 8, wherein the one or more mandrels include one or more fins.
13. The device as recited in claim 8, wherein the low-k spacer is comprised of a material selected from the group consisting of hydrogen silsesquioxane polymer (HSQ), methyl silsesquioxane polymer (MSQ), polyphenylene oligomer, methyl doped silica, SiOx(CH3)y, SiCxOyHy, SiOCH, organosilicate glass (SiCOH), porous SiCOH, silicon oxide, boron nitride, silicon oxynitride and combinations thereof.
14. A semiconductor device, comprising:
a substrate having one or more mandrels formed thereon;
a replacement gate structure formed over the one or more mandrels;
low-k spacers formed about a periphery of the replacement gate structure, the low-k spacers extending through the one or more mandrels to an underlying oxide layer, wherein the low-k spacers are non-conformal; and
raised source/drain regions.
15. The device as recited in claim 14, wherein source/drain regions for neighboring mandrels are epitaxially merged.
16. The device as recited in claim 14, further comprising an oxide formed over the raised source/drain regions.
17. The device as recited in claim 14, wherein the replacement gate structure includes a replacement metal gate structure.
18. The device as recited in claim 14, wherein the one or more mandrels include one or more fins.
19. The device as recited in claim 14, wherein have a dielectric constant less than 5.0
20. The device as recited in claim 14, wherein the low-k spacer is comprised of a material selected from the group consisting of hydrogen silsesquioxane polymer (HSQ), methyl silsesquioxane polymer (MSQ), polyphenylene oligomer, methyl doped silica, SiOx(CH3)y, SiCxOyHy, SiOCH, organosilicate glass (SiCOH), porous SiCOH, silicon oxide, boron nitride, silicon oxynitride and combinations thereof.
US15/298,828 2014-02-27 2016-10-20 Low-k spacer for rmg finfet formation Abandoned US20170040437A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US15/298,828 US20170040437A1 (en) 2014-02-27 2016-10-20 Low-k spacer for rmg finfet formation

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/191,751 US9543407B2 (en) 2014-02-27 2014-02-27 Low-K spacer for RMG finFET formation
US15/298,828 US20170040437A1 (en) 2014-02-27 2016-10-20 Low-k spacer for rmg finfet formation

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US14/191,751 Division US9543407B2 (en) 2014-02-27 2014-02-27 Low-K spacer for RMG finFET formation

Publications (1)

Publication Number Publication Date
US20170040437A1 true US20170040437A1 (en) 2017-02-09

Family

ID=53883028

Family Applications (2)

Application Number Title Priority Date Filing Date
US14/191,751 Active 2034-03-03 US9543407B2 (en) 2014-02-27 2014-02-27 Low-K spacer for RMG finFET formation
US15/298,828 Abandoned US20170040437A1 (en) 2014-02-27 2016-10-20 Low-k spacer for rmg finfet formation

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US14/191,751 Active 2034-03-03 US9543407B2 (en) 2014-02-27 2014-02-27 Low-K spacer for RMG finFET formation

Country Status (1)

Country Link
US (2) US9543407B2 (en)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9437694B1 (en) 2015-04-01 2016-09-06 Stmicroelectronics (Crolles 2) Sas Transistor with a low-k sidewall spacer and method of making same
US9425105B1 (en) * 2015-09-15 2016-08-23 International Business Machines Corporation Semiconductor device including self-aligned gate structure and improved gate spacer topography
US10181527B2 (en) 2015-10-16 2019-01-15 Samsung Electronics Co., Ltd. FinFet having dual vertical spacer and method of manufacturing the same
US9660050B1 (en) 2015-11-25 2017-05-23 International Business Machines Corporation Replacement low-k spacer
US9608065B1 (en) 2016-06-03 2017-03-28 International Business Machines Corporation Air gap spacer for metal gates
US9806078B1 (en) 2016-11-02 2017-10-31 Globalfoundries Inc. FinFET spacer formation on gate sidewalls, between the channel and source/drain regions
US10229983B1 (en) 2017-11-16 2019-03-12 International Business Machines Corporation Methods and structures for forming field-effect transistors (FETs) with low-k spacers

Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080315309A1 (en) * 2007-06-20 2008-12-25 International Business Machines Corporation Fin field effect transistor devices with self-aligned source and drain regions
US20110020987A1 (en) * 2003-06-27 2011-01-27 Hareland Scott A Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US20110062443A1 (en) * 2009-09-16 2011-03-17 Globalfoundries Inc. Thin body semiconductor devices having improved contact resistance and methods for the fabrication thereof
US20120068264A1 (en) * 2010-09-21 2012-03-22 International Business Machines Corporation Forming narrow fins for finfet devices using asymmetrically spaced mandrels
US20120139062A1 (en) * 2010-12-02 2012-06-07 International Business Machines Corporation Self-aligned contact combined with a replacement metal gate/high-k gate dielectric
US20130095629A1 (en) * 2011-10-13 2013-04-18 International Business Machines Corporation Finfet Parasitic Capacitance Reduction Using Air Gap
US20130187229A1 (en) * 2012-01-20 2013-07-25 International Business Machines Corporation Semiconductor device with a low-k spacer and method of forming the same
US20130277686A1 (en) * 2012-04-23 2013-10-24 An-Chi Liu Semiconductor Structure with Metal Gate and Method of Fabricating the Same
US8637359B2 (en) * 2011-06-10 2014-01-28 International Business Machines Corporation Fin-last replacement metal gate FinFET process
US20140054713A1 (en) * 2012-08-22 2014-02-27 Jung-Chan Lee Semiconductor device and a method for fabricating the same
US20140065802A1 (en) * 2012-08-28 2014-03-06 International Business Machines Corporation Techniques for metal gate workfunction engineering to enable multiple threshold voltage finfet devices
US8697523B2 (en) * 2012-02-06 2014-04-15 International Business Machines Corporation Integration of SMT in replacement gate FINFET process flow
US20140110798A1 (en) * 2012-10-22 2014-04-24 Globalfoundries Inc. Methods of forming a semiconductor device with low-k spacers and the resulting device
US20140159167A1 (en) * 2012-12-07 2014-06-12 International Business Machines Corporation Preventing fin erosion and limiting epi overburden in finfet structures by composite hardmask
US20140239395A1 (en) * 2013-02-25 2014-08-28 International Business Machines Corporation Contact resistance reduction in finfets
US9054189B1 (en) * 2014-01-06 2015-06-09 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US20150162190A1 (en) * 2013-11-25 2015-06-11 Commissariat A L'energie Atomique Et Aux Ene Alt Method for forming spacers for a transistor gate
US20150294879A1 (en) * 2012-11-30 2015-10-15 Institute of Microelectronics, Chinese Academy of Sciences Method for manufacturing fin structure

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7365678B2 (en) 2004-12-16 2008-04-29 Raytheon Company Determining usability of a navigation augmentation system
US20070152266A1 (en) 2005-12-29 2007-07-05 Intel Corporation Method and structure for reducing the external resistance of a three-dimensional transistor through use of epitaxial layers
US7687364B2 (en) 2006-08-07 2010-03-30 Intel Corporation Low-k isolation spacers for conductive regions
US7585716B2 (en) 2007-06-27 2009-09-08 International Business Machines Corporation High-k/metal gate MOSFET with reduced parasitic capacitance
US8530971B2 (en) 2009-11-12 2013-09-10 International Business Machines Corporation Borderless contacts for semiconductor devices
US8716095B2 (en) * 2010-06-03 2014-05-06 Institute of Microelectronics, Chinese Academy of Sciences Manufacturing method of gate stack and semiconductor device
US8723236B2 (en) 2011-10-13 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same

Patent Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110020987A1 (en) * 2003-06-27 2011-01-27 Hareland Scott A Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US20080315309A1 (en) * 2007-06-20 2008-12-25 International Business Machines Corporation Fin field effect transistor devices with self-aligned source and drain regions
US20110062443A1 (en) * 2009-09-16 2011-03-17 Globalfoundries Inc. Thin body semiconductor devices having improved contact resistance and methods for the fabrication thereof
US20120068264A1 (en) * 2010-09-21 2012-03-22 International Business Machines Corporation Forming narrow fins for finfet devices using asymmetrically spaced mandrels
US20120139062A1 (en) * 2010-12-02 2012-06-07 International Business Machines Corporation Self-aligned contact combined with a replacement metal gate/high-k gate dielectric
US8637359B2 (en) * 2011-06-10 2014-01-28 International Business Machines Corporation Fin-last replacement metal gate FinFET process
US20130095629A1 (en) * 2011-10-13 2013-04-18 International Business Machines Corporation Finfet Parasitic Capacitance Reduction Using Air Gap
US20130187229A1 (en) * 2012-01-20 2013-07-25 International Business Machines Corporation Semiconductor device with a low-k spacer and method of forming the same
US8697523B2 (en) * 2012-02-06 2014-04-15 International Business Machines Corporation Integration of SMT in replacement gate FINFET process flow
US20130277686A1 (en) * 2012-04-23 2013-10-24 An-Chi Liu Semiconductor Structure with Metal Gate and Method of Fabricating the Same
US20140054713A1 (en) * 2012-08-22 2014-02-27 Jung-Chan Lee Semiconductor device and a method for fabricating the same
US20140065802A1 (en) * 2012-08-28 2014-03-06 International Business Machines Corporation Techniques for metal gate workfunction engineering to enable multiple threshold voltage finfet devices
US20140110798A1 (en) * 2012-10-22 2014-04-24 Globalfoundries Inc. Methods of forming a semiconductor device with low-k spacers and the resulting device
US20150294879A1 (en) * 2012-11-30 2015-10-15 Institute of Microelectronics, Chinese Academy of Sciences Method for manufacturing fin structure
US20140159167A1 (en) * 2012-12-07 2014-06-12 International Business Machines Corporation Preventing fin erosion and limiting epi overburden in finfet structures by composite hardmask
US20140239395A1 (en) * 2013-02-25 2014-08-28 International Business Machines Corporation Contact resistance reduction in finfets
US20150162190A1 (en) * 2013-11-25 2015-06-11 Commissariat A L'energie Atomique Et Aux Ene Alt Method for forming spacers for a transistor gate
US9054189B1 (en) * 2014-01-06 2015-06-09 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same

Also Published As

Publication number Publication date
US20150243760A1 (en) 2015-08-27
US9543407B2 (en) 2017-01-10

Similar Documents

Publication Publication Date Title
US10991795B2 (en) Semiconductor device and manufacturing method thereof
US10622261B2 (en) FinFET devices with unique shape and the fabrication thereof
US9543407B2 (en) Low-K spacer for RMG finFET formation
US9000413B2 (en) Overlap capacitance nanowire
US9865509B2 (en) FinFET CMOS with Si NFET and SiGe PFET
US9484440B2 (en) Methods for forming FinFETs with non-merged epitaxial fin extensions
US20150147868A1 (en) Locally isolated protected bulk finfet semiconductor device
US9041094B2 (en) Finfet formed over dielectric
US10658391B2 (en) Hybrid substrate engineering in CMOS finFET integration for mobility improvement
US9252014B2 (en) Trench sidewall protection for selective epitaxial semiconductor material formation
US10734499B2 (en) Unmerged epitaxial process for FinFET devices with aggressive fin pitch scaling
US9875905B2 (en) FinFET devices having fins with a tapered configuration and methods of fabricating the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:HE, HONG;TSENG, CHIAHSUN;YAMASHITA, TENKO;AND OTHERS;SIGNING DATES FROM 20140225 TO 20140226;REEL/FRAME:040077/0606

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE AFTER FINAL ACTION FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STCV Information on status: appeal procedure

Free format text: APPEAL BRIEF (OR SUPPLEMENTAL BRIEF) ENTERED AND FORWARDED TO EXAMINER

STCV Information on status: appeal procedure

Free format text: EXAMINER'S ANSWER TO APPEAL BRIEF MAILED

STCV Information on status: appeal procedure

Free format text: ON APPEAL -- AWAITING DECISION BY THE BOARD OF APPEALS

STCV Information on status: appeal procedure

Free format text: BOARD OF APPEALS DECISION RENDERED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- AFTER EXAMINER'S ANSWER OR BOARD OF APPEALS DECISION