US20160329405A1 - Contact structure of semiconductor device - Google Patents

Contact structure of semiconductor device Download PDF

Info

Publication number
US20160329405A1
US20160329405A1 US15/212,969 US201615212969A US2016329405A1 US 20160329405 A1 US20160329405 A1 US 20160329405A1 US 201615212969 A US201615212969 A US 201615212969A US 2016329405 A1 US2016329405 A1 US 2016329405A1
Authority
US
United States
Prior art keywords
fin
gate electrode
contact
source
drain region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US15/212,969
Inventor
Hsiang-Jen TSENG
Ting-Wei Chiang
Wei-Yu Chen
Kuo-Nan Yang
Ming-Hsiang Song
Ta-Pen Guo
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US15/212,969 priority Critical patent/US20160329405A1/en
Publication of US20160329405A1 publication Critical patent/US20160329405A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts

Definitions

  • This disclosure relates to integrated circuit fabrication, and more particularly to a semiconductor device with a contact structure.
  • a typical FinFET is fabricated with a thin vertical “fin” (or fin structure) extending from a substrate formed by, for example, etching away a portion of a silicon layer of the substrate.
  • the channel of the FinFET is formed in this vertical fin.
  • a gate is provided over three sides (e.g., wrapping) the fin. Having a gate on both sides of the channel allows gate control of the channel from both sides.
  • strained materials in source/drain (S/D) portions of the FinFET utilizing selectively grown silicon germanium may be used to enhance carrier mobility.
  • CMOS complementary metal-oxide-semiconductor
  • FIG. 1 is a flowchart illustrating a method of fabricating a contact structure of a semiconductor device according to various aspects of the present disclosure
  • FIG. 2 is a perspective view of a semiconductor device comprising a contact structure according to various aspects of the present disclosure.
  • FIGS. 3A-10C are cross-section views of a semiconductor device comprising a contact structure at various stages of fabrication according to various aspects of the present disclosure.
  • first and second features are formed in direct contact
  • additional features may be formed between the first and second features, such that the first and second features may not be in direct contact
  • present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • the method 100 begins with step 102 in which a substrate is provided.
  • the method 100 continues with step 104 in which a first fin of the substrate and a second fin of the substrate are formed extending above a major surface of the substrate, wherein the first fin and second fin comprise fin top surfaces.
  • the method 100 continues with step 106 in which an insulation region is formed above the substrate major surface between the first fin and second fin, wherein the insulation region comprises an insulation top surface lower than the fin top surfaces.
  • the method 100 continues with step 108 in which a gate electrode layer is formed over channel portions of the first fin and the second fin and extending over a portion of the insulation region, wherein a portion of the gate electrode layer over the portion of insulation region comprises a gate middle line.
  • the method 100 continues with step 110 in which an inter-layer dielectric (ILD) layer surrounding the gate electrode layer is formed over the insulation region.
  • the method 100 continues with step 112 in which a first opening and a second opening are formed in the ILD layer over the insulation region, wherein a distance between a middle line of the first opening and the gate middle line are different from a distance between a middle line of the second opening and the gate middle line.
  • the method 100 continues with step 114 in which a metal layer is formed in the first opening to form a first contact structure and in the second opening to form a second contact structure.
  • FIG. 2 is a perspective view of a semiconductor device 200 comprising a contact structure 220 according to various aspects of the present disclosure
  • FIGS. 3A-10C are cross-section views of the semiconductor device 200 at various stages of fabrication according to various aspects of the present disclosure.
  • Each figure denoted with a letter “A” shows an embodiment taken along line a-a (gate lengthwise) of FIG. 2 ; each figure denoted with a letter “B” shows an embodiment taken along line b-b (fin lengthwise) of FIG. 2 ; and each figure denoted with a letter “C” shows an embodiment taken along line c-c (between fins) of FIG. 2 .
  • the term semiconductor device 200 refers to a fin field effect transistor (FinFET).
  • the FinFET refers to any fin-based, multi-gate transistor. Other transistor structures and analogous structures are within the contemplated scope of the disclosure.
  • the semiconductor device 200 may be included in a microprocessor, memory cell, and/or other integrated circuit (IC).
  • FIG. 1 the performance of the operations mentioned in FIG. 1 does not produce a completed semiconductor device 200 .
  • a completed semiconductor device 200 may be fabricated using complementary metal-oxide-semiconductor (CMOS) technology processing. Accordingly, it is understood that additional processes may be provided before, during, and/or after the method 100 of FIG. 1 , and that some other processes may only be briefly described herein.
  • FIGS. 2 through 10B are simplified for a better understanding of the concepts of the present disclosure. For example, although the figures illustrate the semiconductor device 200 , it is understood the IC may comprise a number of other devices comprising resistors, capacitors, inductors, fuses, etc.
  • FIG. 2 illustrates a semiconductor device 200 fabricated using the steps in FIG. 1 .
  • the semiconductor device 200 comprises a FinFET (also referred to as a FinFET 200 hereafter).
  • the FinFET 200 comprises a fin structure 202 (comprising a first fin 202 a of the substrate 20 and a second fin 202 b of the substrate 20 ), an insulation region 204 between the first fin 202 a and second fin 202 b, a gate electrode layer 214 traversing over the first fin 202 a and second fin 202 b, and a contact structure 220 on an epitaxial layer 208 adjacent to one side of the gate electrode layer 214 .
  • the FinFET 200 may comprise less than or greater than two fins, for example, one fin or three fins.
  • FIG. 3A is a cross-section view of the FinFET 200 taken along the line a-a of FIG. 2 having a substrate at one of the various stages of fabrication according to an embodiment
  • FIG. 3B is a cross-sectional view of FinFET 200 taken along the line b-b of FIG. 2
  • FIG. 3C is a cross-sectional view of FinFET 200 taken along the line c-c of FIG. 2 .
  • the substrate 20 comprises a crystalline silicon substrate (e.g., wafer).
  • the substrate 20 may comprise various doped regions depending on design requirements (e.g., p-type substrate or n-type substrate).
  • the doped regions may be doped with p-type or n-type dopants.
  • the doped regions may be doped with p-type dopants, such as boron or BF 2 ; n-type dopants, such as phosphorus or arsenic; and/or combinations thereof.
  • the doped regions may be configured for an n-type FinFET, or alternatively configured for a p-type
  • the substrate 20 may alternatively be made of some other suitable elementary semiconductor, such as diamond or germanium; a suitable compound semiconductor, such as gallium arsenide, silicon carbide, indium arsenide, or indium phosphide; or a suitable alloy semiconductor, such as silicon germanium carbide, gallium arsenic phosphide, or gallium indium phosphide.
  • the substrate 20 may include an epitaxial layer (epi-layer), may be strained for performance enhancement, and/or may include a silicon-on-insulator (SOI) structure.
  • epi-layer epitaxial layer
  • SOI silicon-on-insulator
  • the structures in FIGS. 3A, 3B, and 3C are produced by forming a fin structure 202 (comprising a first fin 202 a of the substrate 20 and a second fin 202 b of the substrate 20 ) extending above a major surface 20 s of the substrate 20 comprising fin top surfaces 202 t (step 104 in FIG. 1 ).
  • the fin structure 202 may further comprise a capping layer (not shown) disposed on the fins, which may be a silicon-capping layer.
  • the fin structure 202 is formed using any suitable process comprising various deposition, photolithography, and/or etching processes.
  • An exemplary photolithography process may include forming a photo-sensitive layer overlying the substrate 20 (e.g., on a silicon layer), exposing the photo-sensitive layer to a pattern, performing a post-exposure bake process, and developing the photo-sensitive layer to form a masking element including the photo-sensitive layer.
  • the silicon layer may then be etched using reactive ion etching (RIE) processes and/or other suitable processes to form trenches 206 a with a major surface 20 s of the substrate 20 . Each portion of the substrate 20 between trenches 206 a forms one semiconductor fin.
  • RIE reactive ion etching
  • the fin structure 202 (comprising the first fin 202 a of the substrate 20 and the second fin 202 b of the substrate 20 ) extends above the major surface 20 s of the substrate 20 comprising the fin top surfaces 202 t.
  • the photo-sensitive layer is then removed.
  • a cleaning may be performed to remove a native oxide of the semiconductor substrate 20 .
  • the cleaning may be performed using diluted hydrofluoric (DHF) acid.
  • Liner oxide (not shown) is then optionally formed in the trenches 206 a.
  • liner oxide may be a thermal oxide having a thickness ranging from about 20 ⁇ to about 500 ⁇ .
  • liner oxide may be formed using in-situ steam generation (ISSG) and the like. The formation of liner oxide rounds corners of the trenches 206 a, which reduces the electrical fields, and hence improves the performance of the resulting integrated circuit.
  • ISSG in-situ steam generation
  • FIG. 4A is a cross-section view of the FinFET 200 taken along the line a-a of FIG. 2 at one of the various stages of fabrication according to an embodiment
  • FIG. 4B is a cross-sectional view of FinFET 200 taken along the line b-b of FIG. 2
  • FIG. 4C is a cross-sectional view of FinFET 200 taken along the line c-c of FIG. 2
  • the structure in FIGS. 4A, 4B, and 4C are produced by forming an insulation region 204 above the substrate major surface 20 s between the first fin 202 a and second fin 202 b comprising an insulation top surface 204 s lower than the fin top surfaces 202 t (step 106 in FIG. 1 ).
  • the trenches 22 are filled with a dielectric material to form the isolation regions 204 to define and electrically isolate the various fins of the fin structure 202 .
  • the isolation regions 204 include shallow trench isolation (STI) regions.
  • the isolation regions 204 comprise silicon oxide, silicon nitride, silicon oxynitride, fluoride-doped silicate glass (FSG), a low-K dielectric material, and/or combinations thereof.
  • the isolation regions 204 and in the present embodiment, the STI regions 204 , may be formed by any suitable process.
  • the formation of the STI regions 204 may include filling trenches between the fins (for example, using a chemical vapor deposition process) with a dielectric material.
  • the filled trench may have a multi-layer structure such as a thermal oxide liner layer filled with silicon nitride or silicon oxide.
  • a chemical mechanical polish is then performed until the top surface of the fin structure 202 is exposed or reached, followed by recessing the dielectric material by an etching step, resulting in recesses 206 b to expose upper portions 202 u of the fin structure 202 to form the isolation regions 204 .
  • Each of the upper portions 202 u of the fin structure 202 comprises two source/drain (S/D) portions 202 s, 202 d and a channel portion 202 c between the two S/D regions 202 s, 202 d.
  • the insulation region 204 is above the substrate major surface 20 s between the first fin 202 a and second fin 202 b comprising an insulation top surface 204 s lower than the fin top surfaces 202 t.
  • the etching step may be performed using a wet etching process, for example, by dipping the substrate 202 in hydrofluoric acid (HF).
  • the etching step may be performed using a dry etching process, for example, the dry etching process may be performed using CHF 3 or BF 3 as etching gases.
  • FIGS. 5A, 5B, and 5C after formation of the isolation regions 204 , the structures in FIGS. 5A, 5B, and 5C are produced by forming a gate electrode layer 214 over channel portions 202 c of the first fin 202 a and the second fin 202 b and extending over a portion of the insulation region 204 , wherein a portion of the gate electrode layer 214 over the portion of insulation region 204 comprises a gate middle line 214 m (step 108 in FIG. 1 ).
  • FIG. 5A is a cross-section view of the FinFET 200 taken along the line a-a of FIG. 2 at one of the various stages of fabrication according to an embodiment
  • FIG. 5B is a cross-sectional view of FinFET 200 taken along the line b-b of FIG. 2
  • FIG. 5C is a cross-sectional view of FinFET 200 taken along the line c-c of FIG. 2 .
  • the gate electrode layer 214 is over a gate dielectric layer 212 .
  • the gate electrode layer 214 and the gate dielectric layer 212 form a gate stack 210 .
  • a pair of sidewall spacers 216 is formed on two sides of the gate stack 210 .
  • the gate stack 210 may be formed using any suitable process, including the processes described herein.
  • the gate dielectric layer 212 and gate electrode layer 214 are sequentially deposited over the substrate 20 .
  • the gate dielectric layer 212 may include silicon oxide, silicon nitride, silicon oxy-nitride, or high dielectric constant (high-k) dielectric.
  • High-k dielectrics comprise metal oxides. Examples of metal oxides used for high-k dielectrics include oxides of Li, Be, Mg, Ca, Sr, Sc, Y, Zr, Hf, Al, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu and mixtures thereof.
  • the gate dielectric layer 212 is a high-k dielectric layer with a thickness in the range of about 10 angstroms to about 30 angstroms.
  • the gate dielectric layer 212 may be formed using a suitable process such as atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), thermal oxidation, UV-ozone oxidation, or combinations thereof.
  • the gate dielectric layer 212 may further comprise an interfacial layer (not shown) to reduce damage between the gate dielectric layer 212 and the fin structure 202 .
  • the interfacial layer may comprise silicon oxide.
  • the gate electrode layer 214 may comprise a single-layer or multilayer structure. In at least one embodiment, the gate electrode layer 214 comprises poly-silicon. Further, the gate electrode layer 214 may be doped poly-silicon with the uniform or non-uniform doping. In an alternative embodiment, the gate electrode layer 214 comprises N-work function metal. The N-work function metal comprises a metal selected from a group of W, Cu, Ti, Ag, Al, TiAl, TiAlN, TaC, TaCN, TaSiN, Mn, and Zr. In an alternative embodiment, the gate electrode layer 214 comprises P-work function metal. The P-work function metal comprises a metal selected from a group of TiN, WN, TaN, and Ru. In the present embodiment, the gate electrode layer 214 comprises a thickness in the range of about 30 nm to about 60 nm. The gate electrode layer 214 may be formed using a suitable process such as ALD, CVD, PVD, plating, or combinations thereof.
  • ALD ALD
  • CVD
  • a layer of photoresist (not shown) is formed over the gate electrode layer 214 by a suitable process, such as spin-on coating, and patterned to form a patterned photoresist feature by a proper lithography patterning method.
  • a width of the patterned photoresist feature is in the range of about 5 nm to about 45 nm
  • the patterned photoresist feature can then be transferred using a dry etching process to the underlying layers (i.e., the gate electrode layer 214 and the gate dielectric layer 212 ) to form the gate stack 210 .
  • the photoresist layer may be stripped thereafter.
  • the FinFET 200 further comprises a dielectric layer formed over the gate stack 210 and the substrate 20 and covering sidewalls of the gate stack 210 .
  • the dielectric layer may include silicon oxide, silicon nitride, or silicon oxy-nitride.
  • the dielectric layer may comprise a single layer or multilayer structure.
  • the dielectric layer may be formed by CVD, PVD, ALD, or other suitable technique.
  • the dielectric layer comprises a thickness ranging from about 5 nm to about 15 nm. Then, an anisotropic etching is performed on the dielectric layer to form the pair of sidewall spacers 216 on two sides of the gate stack 210 .
  • FIG. 6A is a cross-section view of the FinFET 200 taken along the line a-a of FIG. 2 at one of the various stages of fabrication according to an embodiment
  • FIG. 6B is a cross-sectional view of FinFET 200 taken along the line b-b of FIG. 2
  • FIG. 6C is a cross-sectional view of FinFET 200 taken along the line c-c of FIG. 2 .
  • FIGS. 6A, 6B, and 6C after forming the gate electrode layer 214 , the optional structures in FIGS.
  • 6A, 6B, and 6C are produced by epi-growing a strained material 208 on the S/D portions 202 s, 202 d of the fins 202 and extending over the insulation region 204 , wherein a lattice constant of the strained material 208 is different from a lattice constant of the substrate 20 .
  • the channel portion 202 c of the FinFET 200 is strained or stressed to enhance carrier mobility of the device.
  • the strained material 208 comprises Si, Ge, SiGe, SiC, SiP, or III-V semiconductor material.
  • a pre-cleaning process may be performed to clean the S/D portions 202 s, 202 d with HF or other suitable solution.
  • the strained material 208 such as silicon germanium (SiGe) is selectively grown by a low-pressure CVD (LPCVD) process on the S/D portions 202 s, 202 d.
  • the LPCVD process is performed at a temperature of about 400 to about 800° C. and under a pressure of about 1 to about 15 Torr, using SiH 2 Cl 2 , HCl, GeH 4 , B 2 H 6 , and H 2 as reaction gases.
  • FIGS. 7A, 7B, and 7C are produced by forming an inter-layer dielectric (ILD) layer 218 surrounding the gate electrode layer 214 (and the pair of sidewall spacers 216 ) over the insulation region 204 , and extending over the two source/drain (S/D) portions 202 a , 202 d.
  • FIG. 7A is a cross-section view of the FinFET 200 taken along the line a-a of FIG. 2 at one of the various stages of fabrication according to an embodiment
  • FIG. 7B is a cross-sectional view of FinFET 200 taken along the line b-b of FIG. 2
  • FIG. 7C is a cross-sectional view of FinFET 200 taken along the line c-c of FIG. 2 .
  • the ILD layer 218 comprises a dielectric material.
  • the dielectric material may comprise silicon oxide, silicon nitride, silicon oxynitride, phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), spin-on glass (SOG), fluorinated silica glass (FSG), carbon doped silicon oxide (e.g., SiCOH), and/or combinations thereof.
  • the ILD layer 218 may be formed over the strained material 208 by CVD, high density plasma (HDP) CVD, sub-atmospheric CVD (SACVD), spin-on, sputtering, or other suitable methods.
  • the ILD layer 218 has a thickness in the range of about 4000 ⁇ to about 8000 ⁇ . It is understood that the ILD layer 218 may comprise one or more dielectric materials and/or one or more dielectric layers.
  • FIG. 8A is a cross-section view of the FinFET 200 taken along the line a-a of FIG. 2 at one of the various stages of fabrication according to an embodiment
  • FIG. 8B is a cross-sectional view of FinFET 200 taken along the line b-b of FIG. 2
  • FIG. 8C is a cross-sectional view of FinFET 200 taken along the line c-c of FIG. 2 .
  • the process steps up to this point have provided the substrate 20 having the ILD layer 218 over the two S/D portions 202 s, 202 d.
  • two symmetrical S/D contact structures on two sides of the gate electrode layer 214 are formed through the ILD layer 218 to provide electrical contacts to the S/D portions 202 s, 202 d of the FinFET 200 .
  • the gate electrode layer 214 wraps the fin 202 and has a design-rule distance to the two symmetrical S/D contact structures, parasitic capacitance between the S/D contact structures and the gate electrode layer 214 significantly increases and thus degrades the device performance.
  • the processing discussed below with reference to FIGS. 9A-10C may form two asymmetrical S/D contact structures on two sides of the gate electrode layer 214 to replace the two symmetrical S/D contact structures on two sides of the gate electrode layer 214 .
  • a distance between the gate electrode layer 214 and one of the two asymmetrical S/D contact structures is the design-rule distance if increased parasitic capacitance is acceptable, while a distance between the gate electrode layer 214 and another one of the two asymmetrical S/D contact structures is greater than the design-rule distance, resulting in lower parasitic capacitance if increased parasitic capacitance is unacceptable, thereby enhancing the device performance
  • CMOS processing steps applied to the FinFET 200 of FIGS. 8A, 8B , and 8 C comprise forming contact openings through the ILD layer 218 to provide electrical contacts to the S/D portions 202 s, 202 d of the FinFET 200 .
  • FIGS. 9A, 9B, and 9C the structures in FIGS.
  • FIG. 9A, 9B, and 9C are produced by forming openings 222 (comprising a first opening 222 a and a second opening 222 b ) in the ILD layer 218 over the insulation region 204 , wherein a first distance D 1 (i.e., design-rule distance) between a middle line 222 m of the first opening 222 a and the gate middle line 214 m is different from a second distance D 2 between a middle line 222 n of the second opening 222 b and the gate middle line 214 m (step 112 in FIG. 1 ).
  • FIG. 9A is a cross-section view of the FinFET 200 taken along the line a-a of FIG. 2 at one of the various stages of fabrication according to an embodiment
  • FIG. 9B is a cross-sectional view of FinFET 200 taken along the line b-b of FIG. 2
  • FIG. 9C is a cross-sectional view of FinFET 200 taken along the line c-c of FIG.
  • the formation of the openings 222 includes forming a layer of photoresist (not shown) over the ILD layer 218 by a suitable process, such as spin-on coating, patterning the layer of photoresist to form a patterned photoresist feature by a proper lithography method, etching the exposed ILD layer 218 (for example, by using a dry etching, wet etching, and/or plasma etching process) to remove portions of the ILD layer 218 to expose a portion of the strained material 208 over the insulation regions 204 .
  • the patterned photoresist layer may be stripped thereafter.
  • FIG. 10A is a cross-section view of the FinFET 200 taken along the line a-a of FIG. 2 at one of the various stages of fabrication according to an embodiment
  • FIG. 10B is a cross-sectional view of FinFET 200 taken along the line b-b of FIG. 2
  • FIG. 10C is a cross-sectional view of FinFET 200 taken along the line c-c of FIG. 2 .
  • 10A, 10B, and 10C are produced by forming a metal layer 224 in the first opening 222 a to form a first contact structure 220 a and in the second opening 222 b to form a second contact structure 220 b.
  • the first contact structure 220 a and second contact structure 220 b are combined and referred as the contact structure 220 .
  • the metal layer 224 comprises W, Al, or Cu. In some embodiments, the metal layer 224 may be formed by CVD, PVD, plating, ALD, or other suitable technique. In some embodiment, the metal layer 224 may comprise a laminate.
  • the laminate may further comprise a barrier metal layer, a linear metal layer or a wetting metal layer. Further, the thickness of the metal layer 224 will depend on the depth of the openings 222 . The metal layer 224 is thus deposited until the openings 222 are substantially filled or over-filled. Then, another CMP is performed to remove a portion of the metal layer 224 outside of the openings 222 , the CMP process may stop when reaching the ILD layer 218 , and thus providing a substantially planar surface.
  • the semiconductor device 200 comprises the insulation region 204 over the substrate 20 ; the gate electrode layer 214 over the insulation region 204 comprising the gate middle line 214 m; the first contact structure 220 a over the insulation region 204 adjacent to the gate electrode layer 214 comprising the first middle line 222 m , wherein the first middle line 222 m and the gate middle line 214 m has the first distance D 1 ; and the second contact structure 220 b over the insulation region 204 on a side of the gate electrode layer 214 opposite to the first contact structure 220 a comprising the second middle line 222 n, wherein the second middle line 222 n and the gate middle line 214 m has the second distance D 2 greater than the first distance D 1 .
  • the FinFET 200 comprises the substrate 20 comprising the major surface 20 s; the first fin 202 a of the substrate 20 and the second fin 202 b of the substrate 20 extending above the substrate major surface 20 s comprising fin top surfaces 202 t; the insulation region 204 above the substrate major surface 20 s between the first fin 202 a and second fin 202 b comprising the insulation top surface 204 s lower than the fin top surfaces 202 t; the gate electrode layer 214 over the first fin 202 a and the second fin 202 b and extending over insulation region 204 , wherein the portion of the gate electrode layer 214 over insulation region 204 comprises the gate middle line 214 m; the first contact structure 220 a over the insulation region 204 adjacent to the gate electrode layer 214 comprising the first middle line 222 m, wherein the first middle line 222 m and the gate middle line 214 m has a first distance D 1 ; and the second contact structure 220 b over the insulation region 204 on a side of the
  • a ratio of the second distance D 2 to the first distance D 1 is from about 1.1 to about 5.
  • the gate electrode layer 214 comprises a gate bottom surface 214 b and the first contact structure 220 a comprises a first bottom surface 220 c higher than the gate bottom surface 214 b, wherein a first height H 1 between the first bottom surface 220 c and the gate bottom surface 214 b is in the range of about 1 to about 50 nm.
  • the gate electrode layer 214 comprises a gate bottom surface 214 b and the second contact structure 220 b comprises a second bottom surface 220 d higher than the gate bottom surface 214 b, wherein a second height H 2 between the second bottom surface 220 d and the gate bottom surface 214 b is in the range of about 1 to about 50 nm.
  • a semiconductor layer i.e. the strained material 208 ) is between the first contact structure 220 a and the insulation region 204 .
  • the gate stack 210 is fabricated using a gate-first process.
  • the gate stack 210 may be fabricated using a gate-last process performed by first forming a dummy gate stack.
  • the gate-last process comprises forming an ILD layer surrounding the dummy gate stack, removing a dummy gate electrode layer to form a trench in the ILD layer, then fill the trench with a conductive gate electrode layer.
  • the gate-last process comprises forming an ILD layer surrounding the dummy gate stack, removing a dummy gate electrode layer and a dummy gate dielectric layer to form a trench in the ILD layer, then fill the trench with a gate dielectric layer and a conductive gate electrode layer.
  • a semiconductor device comprises an insulation region over a substrate; a gate electrode layer over the insulation region comprising a gate middle line; a first contact structure over the insulation region adjacent to the gate electrode layer comprising a first middle line, wherein the first middle line and the gate middle line has a first distance; and a second contact structure over the insulation region on a side of the gate electrode layer opposite to the first contact structure comprising a second middle line, wherein the second middle line and the gate middle line has a second distance greater than the first distance.
  • a fin field effect transistor comprises a substrate comprising a major surface; a first fin of the substrate and a second fin of the substrate extending above the substrate major surface comprising fin top surfaces; an insulation region above the substrate major surface between the first fin and second fin comprising an insulation top surface lower than the fin top surfaces; a gate electrode layer over the first fin and the second fin and extending over insulation region, wherein a portion of the gate electrode layer over insulation region comprises a gate middle line; a first contact structure over the insulation region adjacent to the gate electrode layer comprising a first middle line, wherein the first middle line and the gate middle line has a first distance; and a second contact structure over the insulation region on a side of the gate electrode layer opposite to the first contact structure comprising a second middle line, wherein the second middle line and the gate middle line has a second distance greater than the first distance.
  • FinFET fin field effect transistor
  • a method of fabricating a semiconductor device comprises providing a substrate; forming a first fin of the substrate and a second fin of the substrate extending above a major surface of the substrate comprising fin top surfaces; forming an insulation region above the substrate major surface between the first fin and second fin comprising an insulation top surface lower than the fin top surfaces; forming a gate electrode layer over channel portions of the first fin and the second fin and extending over a portion of the insulation region, wherein a portion of the gate electrode layer over the portion of insulation region comprises a gate middle line; forming an inter-layer dielectric (ILD) layer surrounding the gate electrode layer over the insulation region; forming a first opening and a second opening in the ILD layer over the insulation region, wherein a distance between a middle line of the first opening and the gate middle line is different from a distance between a middle line of the second opening and the gate middle line; and forming a metal layer in the first opening to form a first contact structure and in the second opening to form a second contact structure.
  • ILD inter-layer
  • a semiconductor device in accordance with another embodiment, includes a first fin and a second fin over a substrate, the first fin and the second fin having an elongated axis running in a first direction.
  • the semiconductor device also includes an insulation region extending between the first fin and the second fin, the first fin and the second fin extending above the insulation region, a gate electrode over the insulation region and the first fin and the second fin, and spacers alongside opposing sidewalls of the gate electrode.
  • the semiconductor device further includes a first source/drain region in the first fin and the second fin, the first source/drain region extending laterally away from the gate electrode, a second source/drain region in the first fin and the second fin, the second source/drain region extending laterally away from the gate electrode, a first contact structure to the first source/drain region, the first contact structure extending from laterally above the first fin to laterally above the second fin, wherein the first contact structure is spaced apart from a first closest sidewall of the gate electrode by a first distance, the first source/drain region having a uniform dopant concentration from a first spacer of the spacers to the first contact structure, and a second contact structure to the second source/drain region, the second contact structure extending from laterally above the first fin to laterally above the second fin, wherein the second contact structure is spaced apart from a second closest sidewall of the gate electrode by a second distance, the second distance less than the first distance, the second source/drain region having a uniform dopant concentration
  • a semiconductor device in accordance with another embodiment, includes a first fin and a second fin, an insulation region interposed between the first fin and the second fin, a gate electrode over channel portions of the first fin and the second fin, the gate electrode extending over a portion of the insulation region, a semiconductor layer over the first fin and the second fin on opposing sides of the gate electrode, the semiconductor layer extending between the first fin and the second fin, and a first source/drain region and a second source/drain region in the semiconductor layer, the first source/drain region and the second source/drain region on opposing sides of the gate electrode.
  • the semiconductor device further includes an inter-layer dielectric (ILD) layer over the semiconductor layer, and a first contact extending through the ILD layer to the first source/drain region and a second contact extending through the ILD layer to the second source/drain region, wherein a first distance between a middle of the first contact and a gate of the gate electrode is less than a second distance between a middle of the second contact and the middle of the gate electrode, the first source/drain region having a uniform dopant profile from the first contact to a first gate spacer, the second source/drain region having a uniform dopant profile from the second contact to a second gate spacer.
  • ILD inter-layer dielectric
  • a method of forming a semiconductor device includes forming a first fin and a second fin, forming an insulation region interposed between the first fin and the second fin, forming a gate electrode over channel portions of the first fin and the second fin, the gate electrode extending over a portion of the insulation region, forming a semiconductor layer over the first fin and the second fin on opposing sides of the gate electrode, the semiconductor layer extending between the first fin and the second fin, and forming a first source/drain region and a second source/drain region in the semiconductor layer on opposing sides of the gate electrode.
  • the method further includes forming an inter-layer dielectric (ILD) layer over the semiconductor layer, forming a first opening extending through the ILD layer to the first source/drain region and a second opening extending through the ILD layer to the second source/drain region, and forming a first contact in the first opening and a second contact in the second opening, wherein a first distance between a middle of the first contact and a gate of the gate electrode is less than a second distance between a middle of the second contact and the middle of the gate electrode, the first source/drain region having a uniform dopant profile from the first contact to a first gate spacer, the second source/drain region having a uniform dopant profile from the second contact to a second gate spacer.
  • ILD inter-layer dielectric

Abstract

The invention relates to a contact structure of a semiconductor device. An exemplary structure for a semiconductor device comprises an insulation region over a substrate; a gate electrode layer over the insulation region comprising a gate middle line; a first contact structure over the insulation region adjacent to the gate electrode layer comprising a first middle line, wherein the first middle line and the gate middle line has a first distance; and a second contact structure over the insulation region on a side of the gate electrode layer opposite to the first contact structure comprising a second middle line, wherein the second middle line and the gate middle line has a second distance greater than the first distance.

Description

  • This application is a divisional application of U.S. patent application Ser. No. 13/730,052, entitled “Contact Structure of Semiconductor Device,” filed Dec. 28, 2012, which application is herein incorporated by reference in its entirety.
  • TECHNICAL FIELD
  • This disclosure relates to integrated circuit fabrication, and more particularly to a semiconductor device with a contact structure.
  • BACKGROUND
  • As the semiconductor industry has progressed into nanometer technology process nodes in pursuit of higher device density, higher performance, and lower costs, challenges from both fabrication and design issues have resulted in the development of three-dimensional designs of a semiconductor device, such as a fin field effect transistor (FinFET). A typical FinFET is fabricated with a thin vertical “fin” (or fin structure) extending from a substrate formed by, for example, etching away a portion of a silicon layer of the substrate. The channel of the FinFET is formed in this vertical fin. A gate is provided over three sides (e.g., wrapping) the fin. Having a gate on both sides of the channel allows gate control of the channel from both sides. In addition, strained materials in source/drain (S/D) portions of the FinFET utilizing selectively grown silicon germanium may be used to enhance carrier mobility.
  • However, there are challenges to implementation of such features and processes in complementary metal-oxide-semiconductor (CMOS) fabrication. For example, parasitic capacitance between S/D contact structure and the gate significantly increases if the gate wraps the fin, thereby degrading the device performance.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present disclosure is best understood from the following detailed description when read with the accompanying figures. It is emphasized that, in accordance with the standard practice in the industry, various features are not drawn to scale and are used for illustration purposes only. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
  • FIG. 1 is a flowchart illustrating a method of fabricating a contact structure of a semiconductor device according to various aspects of the present disclosure;
  • FIG. 2 is a perspective view of a semiconductor device comprising a contact structure according to various aspects of the present disclosure; and
  • FIGS. 3A-10C are cross-section views of a semiconductor device comprising a contact structure at various stages of fabrication according to various aspects of the present disclosure.
  • DESCRIPTION
  • It is understood that the following disclosure provides many different embodiments, or examples, for implementing different features of the disclosure. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • Referring to FIG. 1, illustrated is a flowchart of a method 100 of fabricating a contact structure of a semiconductor device according to various aspects of the present disclosure. The method 100 begins with step 102 in which a substrate is provided. The method 100 continues with step 104 in which a first fin of the substrate and a second fin of the substrate are formed extending above a major surface of the substrate, wherein the first fin and second fin comprise fin top surfaces. The method 100 continues with step 106 in which an insulation region is formed above the substrate major surface between the first fin and second fin, wherein the insulation region comprises an insulation top surface lower than the fin top surfaces. The method 100 continues with step 108 in which a gate electrode layer is formed over channel portions of the first fin and the second fin and extending over a portion of the insulation region, wherein a portion of the gate electrode layer over the portion of insulation region comprises a gate middle line.
  • The method 100 continues with step 110 in which an inter-layer dielectric (ILD) layer surrounding the gate electrode layer is formed over the insulation region. The method 100 continues with step 112 in which a first opening and a second opening are formed in the ILD layer over the insulation region, wherein a distance between a middle line of the first opening and the gate middle line are different from a distance between a middle line of the second opening and the gate middle line. The method 100 continues with step 114 in which a metal layer is formed in the first opening to form a first contact structure and in the second opening to form a second contact structure. The discussion that follows illustrates embodiments of semiconductor devices that can be fabricated according to the method 100 of FIG. 1.
  • FIG. 2 is a perspective view of a semiconductor device 200 comprising a contact structure 220 according to various aspects of the present disclosure; and FIGS. 3A-10C are cross-section views of the semiconductor device 200 at various stages of fabrication according to various aspects of the present disclosure. Each figure denoted with a letter “A” shows an embodiment taken along line a-a (gate lengthwise) of FIG. 2; each figure denoted with a letter “B” shows an embodiment taken along line b-b (fin lengthwise) of FIG. 2; and each figure denoted with a letter “C” shows an embodiment taken along line c-c (between fins) of FIG. 2. As employed in the present disclosure, the term semiconductor device 200 refers to a fin field effect transistor (FinFET). The FinFET refers to any fin-based, multi-gate transistor. Other transistor structures and analogous structures are within the contemplated scope of the disclosure. The semiconductor device 200 may be included in a microprocessor, memory cell, and/or other integrated circuit (IC).
  • It is noted that, in some embodiments, the performance of the operations mentioned in FIG. 1 does not produce a completed semiconductor device 200. A completed semiconductor device 200 may be fabricated using complementary metal-oxide-semiconductor (CMOS) technology processing. Accordingly, it is understood that additional processes may be provided before, during, and/or after the method 100 of FIG. 1, and that some other processes may only be briefly described herein. Also, FIGS. 2 through 10B are simplified for a better understanding of the concepts of the present disclosure. For example, although the figures illustrate the semiconductor device 200, it is understood the IC may comprise a number of other devices comprising resistors, capacitors, inductors, fuses, etc.
  • FIG. 2 illustrates a semiconductor device 200 fabricated using the steps in FIG. 1. The semiconductor device 200 comprises a FinFET (also referred to as a FinFET 200 hereafter). For illustration, the FinFET 200 comprises a fin structure 202 (comprising a first fin 202 a of the substrate 20 and a second fin 202 b of the substrate 20), an insulation region 204 between the first fin 202 a and second fin 202 b, a gate electrode layer 214 traversing over the first fin 202 a and second fin 202 b, and a contact structure 220 on an epitaxial layer 208 adjacent to one side of the gate electrode layer 214. In some embodiments, the FinFET 200 may comprise less than or greater than two fins, for example, one fin or three fins.
  • Referring to FIGS. 3A, 3B, and 3C, and step 102 in FIG. 1, a substrate 20 is provided. FIG. 3A is a cross-section view of the FinFET 200 taken along the line a-a of FIG. 2 having a substrate at one of the various stages of fabrication according to an embodiment, FIG. 3B is a cross-sectional view of FinFET 200 taken along the line b-b of FIG. 2, and FIG. 3C is a cross-sectional view of FinFET 200 taken along the line c-c of FIG. 2.
  • In at least one embodiment, the substrate 20 comprises a crystalline silicon substrate (e.g., wafer). The substrate 20 may comprise various doped regions depending on design requirements (e.g., p-type substrate or n-type substrate). In some embodiments, the doped regions may be doped with p-type or n-type dopants. For example, the doped regions may be doped with p-type dopants, such as boron or BF2; n-type dopants, such as phosphorus or arsenic; and/or combinations thereof. The doped regions may be configured for an n-type FinFET, or alternatively configured for a p-type
  • The substrate 20 may alternatively be made of some other suitable elementary semiconductor, such as diamond or germanium; a suitable compound semiconductor, such as gallium arsenide, silicon carbide, indium arsenide, or indium phosphide; or a suitable alloy semiconductor, such as silicon germanium carbide, gallium arsenic phosphide, or gallium indium phosphide. Further, the substrate 20 may include an epitaxial layer (epi-layer), may be strained for performance enhancement, and/or may include a silicon-on-insulator (SOI) structure.
  • Still referring to FIGS. 3A, 3B, and 3C, the structures in FIGS. 3A, 3B, and 3C are produced by forming a fin structure 202 (comprising a first fin 202 a of the substrate 20 and a second fin 202 b of the substrate 20) extending above a major surface 20 s of the substrate 20 comprising fin top surfaces 202 t (step 104 in FIG. 1). In some embodiments, the fin structure 202 may further comprise a capping layer (not shown) disposed on the fins, which may be a silicon-capping layer.
  • The fin structure 202 is formed using any suitable process comprising various deposition, photolithography, and/or etching processes. An exemplary photolithography process may include forming a photo-sensitive layer overlying the substrate 20 (e.g., on a silicon layer), exposing the photo-sensitive layer to a pattern, performing a post-exposure bake process, and developing the photo-sensitive layer to form a masking element including the photo-sensitive layer. The silicon layer may then be etched using reactive ion etching (RIE) processes and/or other suitable processes to form trenches 206 a with a major surface 20 s of the substrate 20. Each portion of the substrate 20 between trenches 206 a forms one semiconductor fin. In the depicted embodiment, the fin structure 202 (comprising the first fin 202 a of the substrate 20 and the second fin 202 b of the substrate 20) extends above the major surface 20 s of the substrate 20 comprising the fin top surfaces 202 t. The photo-sensitive layer is then removed. Next, a cleaning may be performed to remove a native oxide of the semiconductor substrate 20. The cleaning may be performed using diluted hydrofluoric (DHF) acid.
  • Liner oxide (not shown) is then optionally formed in the trenches 206 a. In an embodiment, liner oxide may be a thermal oxide having a thickness ranging from about 20 Å to about 500 Å. In some embodiments, liner oxide may be formed using in-situ steam generation (ISSG) and the like. The formation of liner oxide rounds corners of the trenches 206 a, which reduces the electrical fields, and hence improves the performance of the resulting integrated circuit.
  • FIG. 4A is a cross-section view of the FinFET 200 taken along the line a-a of FIG. 2 at one of the various stages of fabrication according to an embodiment, FIG. 4B is a cross-sectional view of FinFET 200 taken along the line b-b of FIG. 2, and FIG. 4C is a cross-sectional view of FinFET 200 taken along the line c-c of FIG. 2. The structure in FIGS. 4A, 4B, and 4C are produced by forming an insulation region 204 above the substrate major surface 20 s between the first fin 202 a and second fin 202 b comprising an insulation top surface 204 s lower than the fin top surfaces 202 t (step 106 in FIG. 1).
  • In the depicted embodiment, the trenches 22 are filled with a dielectric material to form the isolation regions 204 to define and electrically isolate the various fins of the fin structure 202. In one example, the isolation regions 204 include shallow trench isolation (STI) regions. The isolation regions 204 comprise silicon oxide, silicon nitride, silicon oxynitride, fluoride-doped silicate glass (FSG), a low-K dielectric material, and/or combinations thereof. The isolation regions 204, and in the present embodiment, the STI regions 204, may be formed by any suitable process. As one example, the formation of the STI regions 204 may include filling trenches between the fins (for example, using a chemical vapor deposition process) with a dielectric material. In some embodiments, the filled trench may have a multi-layer structure such as a thermal oxide liner layer filled with silicon nitride or silicon oxide.
  • A chemical mechanical polish is then performed until the top surface of the fin structure 202 is exposed or reached, followed by recessing the dielectric material by an etching step, resulting in recesses 206 b to expose upper portions 202 u of the fin structure 202 to form the isolation regions 204. Each of the upper portions 202 u of the fin structure 202 comprises two source/drain (S/D) portions 202 s, 202 d and a channel portion 202 c between the two S/ D regions 202 s, 202 d. As such, the insulation region 204 is above the substrate major surface 20 s between the first fin 202 a and second fin 202 b comprising an insulation top surface 204 s lower than the fin top surfaces 202 t. In one embodiment, the etching step may be performed using a wet etching process, for example, by dipping the substrate 202 in hydrofluoric acid (HF). In another embodiment, the etching step may be performed using a dry etching process, for example, the dry etching process may be performed using CHF3 or BF3 as etching gases.
  • As shown in FIGS. 5A, 5B, and 5C, after formation of the isolation regions 204, the structures in FIGS. 5A, 5B, and 5C are produced by forming a gate electrode layer 214 over channel portions 202 c of the first fin 202 a and the second fin 202 b and extending over a portion of the insulation region 204, wherein a portion of the gate electrode layer 214 over the portion of insulation region 204 comprises a gate middle line 214 m (step 108 in FIG. 1). FIG. 5A is a cross-section view of the FinFET 200 taken along the line a-a of FIG. 2 at one of the various stages of fabrication according to an embodiment, FIG. 5B is a cross-sectional view of FinFET 200 taken along the line b-b of FIG. 2, and FIG. 5C is a cross-sectional view of FinFET 200 taken along the line c-c of FIG. 2.
  • In some embodiments, the gate electrode layer 214 is over a gate dielectric layer 212. The gate electrode layer 214 and the gate dielectric layer 212 form a gate stack 210. In some embodiments, a pair of sidewall spacers 216 is formed on two sides of the gate stack 210. In the depicted embodiment, the gate stack 210 may be formed using any suitable process, including the processes described herein.
  • In one example, the gate dielectric layer 212 and gate electrode layer 214 are sequentially deposited over the substrate 20. In some embodiments, the gate dielectric layer 212 may include silicon oxide, silicon nitride, silicon oxy-nitride, or high dielectric constant (high-k) dielectric. High-k dielectrics comprise metal oxides. Examples of metal oxides used for high-k dielectrics include oxides of Li, Be, Mg, Ca, Sr, Sc, Y, Zr, Hf, Al, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu and mixtures thereof. In the present embodiment, the gate dielectric layer 212 is a high-k dielectric layer with a thickness in the range of about 10 angstroms to about 30 angstroms. The gate dielectric layer 212 may be formed using a suitable process such as atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), thermal oxidation, UV-ozone oxidation, or combinations thereof. The gate dielectric layer 212 may further comprise an interfacial layer (not shown) to reduce damage between the gate dielectric layer 212 and the fin structure 202. The interfacial layer may comprise silicon oxide.
  • In some embodiments, the gate electrode layer 214 may comprise a single-layer or multilayer structure. In at least one embodiment, the gate electrode layer 214 comprises poly-silicon. Further, the gate electrode layer 214 may be doped poly-silicon with the uniform or non-uniform doping. In an alternative embodiment, the gate electrode layer 214 comprises N-work function metal. The N-work function metal comprises a metal selected from a group of W, Cu, Ti, Ag, Al, TiAl, TiAlN, TaC, TaCN, TaSiN, Mn, and Zr. In an alternative embodiment, the gate electrode layer 214 comprises P-work function metal. The P-work function metal comprises a metal selected from a group of TiN, WN, TaN, and Ru. In the present embodiment, the gate electrode layer 214 comprises a thickness in the range of about 30 nm to about 60 nm. The gate electrode layer 214 may be formed using a suitable process such as ALD, CVD, PVD, plating, or combinations thereof.
  • Then, a layer of photoresist (not shown) is formed over the gate electrode layer 214 by a suitable process, such as spin-on coating, and patterned to form a patterned photoresist feature by a proper lithography patterning method. In at least one embodiment, a width of the patterned photoresist feature is in the range of about 5 nm to about 45 nm The patterned photoresist feature can then be transferred using a dry etching process to the underlying layers (i.e., the gate electrode layer 214 and the gate dielectric layer 212) to form the gate stack 210. The photoresist layer may be stripped thereafter.
  • Still referring to FIGS. 5A, 5B, and 5C, the FinFET 200 further comprises a dielectric layer formed over the gate stack 210 and the substrate 20 and covering sidewalls of the gate stack 210. The dielectric layer may include silicon oxide, silicon nitride, or silicon oxy-nitride. The dielectric layer may comprise a single layer or multilayer structure. The dielectric layer may be formed by CVD, PVD, ALD, or other suitable technique. The dielectric layer comprises a thickness ranging from about 5 nm to about 15 nm. Then, an anisotropic etching is performed on the dielectric layer to form the pair of sidewall spacers 216 on two sides of the gate stack 210.
  • FIG. 6A is a cross-section view of the FinFET 200 taken along the line a-a of FIG. 2 at one of the various stages of fabrication according to an embodiment, FIG. 6B is a cross-sectional view of FinFET 200 taken along the line b-b of FIG. 2, and FIG. 6C is a cross-sectional view of FinFET 200 taken along the line c-c of FIG. 2. As depicted in FIGS. 6A, 6B, and 6C, after forming the gate electrode layer 214, the optional structures in FIGS. 6A, 6B, and 6C are produced by epi-growing a strained material 208 on the S/ D portions 202 s, 202 d of the fins 202 and extending over the insulation region 204, wherein a lattice constant of the strained material 208 is different from a lattice constant of the substrate 20. Thus, the channel portion 202 c of the FinFET 200 is strained or stressed to enhance carrier mobility of the device.
  • In some embodiments, the strained material 208 comprises Si, Ge, SiGe, SiC, SiP, or III-V semiconductor material. In the depicted embodiment, a pre-cleaning process may be performed to clean the S/ D portions 202 s, 202 d with HF or other suitable solution. Then, the strained material 208 such as silicon germanium (SiGe) is selectively grown by a low-pressure CVD (LPCVD) process on the S/ D portions 202 s, 202 d. In the depicted embodiment, the LPCVD process is performed at a temperature of about 400 to about 800° C. and under a pressure of about 1 to about 15 Torr, using SiH2Cl2, HCl, GeH4, B2H6, and H2 as reaction gases.
  • As depicted in FIGS. 7A, 7B, and 7C, and step 110 in FIG. 1, for fabricating a contact structure (such as a contact structure 220 shown in FIG. 10) of the FinFET 200, the structures in FIGS. 7A, 7B, and 7C are produced by forming an inter-layer dielectric (ILD) layer 218 surrounding the gate electrode layer 214 (and the pair of sidewall spacers 216) over the insulation region 204, and extending over the two source/drain (S/D) portions 202 a, 202 d. FIG. 7A is a cross-section view of the FinFET 200 taken along the line a-a of FIG. 2 at one of the various stages of fabrication according to an embodiment, FIG. 7B is a cross-sectional view of FinFET 200 taken along the line b-b of FIG. 2, and FIG. 7C is a cross-sectional view of FinFET 200 taken along the line c-c of FIG. 2.
  • The ILD layer 218 comprises a dielectric material. The dielectric material may comprise silicon oxide, silicon nitride, silicon oxynitride, phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), spin-on glass (SOG), fluorinated silica glass (FSG), carbon doped silicon oxide (e.g., SiCOH), and/or combinations thereof. In some embodiments, the ILD layer 218 may be formed over the strained material 208 by CVD, high density plasma (HDP) CVD, sub-atmospheric CVD (SACVD), spin-on, sputtering, or other suitable methods. In the present embodiment, the ILD layer 218 has a thickness in the range of about 4000 Å to about 8000 Å. It is understood that the ILD layer 218 may comprise one or more dielectric materials and/or one or more dielectric layers.
  • Subsequently, the ILD layer 218 is planarized using a CMP process until a top surface 214 s of the gate electrode layer 214 is exposed or reached (shown in FIGS. 8A, 8B, and 8C). The CMP process has a high selectivity to provide a substantially planar surface for the gate electrode layer 214 and ILD layer 218. FIG. 8A is a cross-section view of the FinFET 200 taken along the line a-a of FIG. 2 at one of the various stages of fabrication according to an embodiment, FIG. 8B is a cross-sectional view of FinFET 200 taken along the line b-b of FIG. 2, and FIG. 8C is a cross-sectional view of FinFET 200 taken along the line c-c of FIG. 2.
  • The process steps up to this point have provided the substrate 20 having the ILD layer 218 over the two S/ D portions 202 s, 202 d. In some applications, two symmetrical S/D contact structures on two sides of the gate electrode layer 214 are formed through the ILD layer 218 to provide electrical contacts to the S/ D portions 202 s, 202 d of the FinFET 200. However, if the gate electrode layer 214 wraps the fin 202 and has a design-rule distance to the two symmetrical S/D contact structures, parasitic capacitance between the S/D contact structures and the gate electrode layer 214 significantly increases and thus degrades the device performance.
  • Accordingly, the processing discussed below with reference to FIGS. 9A-10C may form two asymmetrical S/D contact structures on two sides of the gate electrode layer 214 to replace the two symmetrical S/D contact structures on two sides of the gate electrode layer 214. Thus, a distance between the gate electrode layer 214 and one of the two asymmetrical S/D contact structures is the design-rule distance if increased parasitic capacitance is acceptable, while a distance between the gate electrode layer 214 and another one of the two asymmetrical S/D contact structures is greater than the design-rule distance, resulting in lower parasitic capacitance if increased parasitic capacitance is unacceptable, thereby enhancing the device performance
  • Subsequent CMOS processing steps applied to the FinFET 200 of FIGS. 8A, 8B, and 8C comprise forming contact openings through the ILD layer 218 to provide electrical contacts to the S/ D portions 202 s, 202 d of the FinFET 200. Referring to FIGS. 9A, 9B, and 9C, the structures in FIGS. 9A, 9B, and 9C are produced by forming openings 222 (comprising a first opening 222 a and a second opening 222 b) in the ILD layer 218 over the insulation region 204, wherein a first distance D1 (i.e., design-rule distance) between a middle line 222 m of the first opening 222 a and the gate middle line 214 m is different from a second distance D2 between a middle line 222 n of the second opening 222 b and the gate middle line 214 m (step 112 in FIG. 1). FIG. 9A is a cross-section view of the FinFET 200 taken along the line a-a of FIG. 2 at one of the various stages of fabrication according to an embodiment, FIG. 9B is a cross-sectional view of FinFET 200 taken along the line b-b of FIG. 2, and FIG. 9C is a cross-sectional view of FinFET 200 taken along the line c-c of FIG. 2.
  • As one example, the formation of the openings 222 includes forming a layer of photoresist (not shown) over the ILD layer 218 by a suitable process, such as spin-on coating, patterning the layer of photoresist to form a patterned photoresist feature by a proper lithography method, etching the exposed ILD layer 218 (for example, by using a dry etching, wet etching, and/or plasma etching process) to remove portions of the ILD layer 218 to expose a portion of the strained material 208 over the insulation regions 204. The patterned photoresist layer may be stripped thereafter.
  • FIG. 10A is a cross-section view of the FinFET 200 taken along the line a-a of FIG. 2 at one of the various stages of fabrication according to an embodiment, FIG. 10B is a cross-sectional view of FinFET 200 taken along the line b-b of FIG. 2, and FIG. 10C is a cross-sectional view of FinFET 200 taken along the line c-c of FIG. 2. Referring to FIGS. 10A, 10B, and 10C, and step 114 in FIG. 1, after formation of the openings 222 in the ILD layer 218, the structures in FIGS. 10A, 10B, and 10C are produced by forming a metal layer 224 in the first opening 222 a to form a first contact structure 220 a and in the second opening 222 b to form a second contact structure 220 b. The first contact structure 220 a and second contact structure 220 b are combined and referred as the contact structure 220.
  • In some embodiments, the metal layer 224 comprises W, Al, or Cu. In some embodiments, the metal layer 224 may be formed by CVD, PVD, plating, ALD, or other suitable technique. In some embodiment, the metal layer 224 may comprise a laminate.
  • The laminate may further comprise a barrier metal layer, a linear metal layer or a wetting metal layer. Further, the thickness of the metal layer 224 will depend on the depth of the openings 222. The metal layer 224 is thus deposited until the openings 222 are substantially filled or over-filled. Then, another CMP is performed to remove a portion of the metal layer 224 outside of the openings 222, the CMP process may stop when reaching the ILD layer 218, and thus providing a substantially planar surface.
  • In some embodiments, the semiconductor device 200 comprises the insulation region 204 over the substrate 20; the gate electrode layer 214 over the insulation region 204 comprising the gate middle line 214 m; the first contact structure 220 a over the insulation region 204 adjacent to the gate electrode layer 214 comprising the first middle line 222 m, wherein the first middle line 222 m and the gate middle line 214 m has the first distance D1; and the second contact structure 220 b over the insulation region 204 on a side of the gate electrode layer 214 opposite to the first contact structure 220 a comprising the second middle line 222 n, wherein the second middle line 222 n and the gate middle line 214 m has the second distance D2 greater than the first distance D1.
  • In some embodiments, the FinFET 200 comprises the substrate 20 comprising the major surface 20 s; the first fin 202 a of the substrate 20 and the second fin 202 b of the substrate 20 extending above the substrate major surface 20 s comprising fin top surfaces 202 t; the insulation region 204 above the substrate major surface 20 s between the first fin 202 a and second fin 202 b comprising the insulation top surface 204 s lower than the fin top surfaces 202 t; the gate electrode layer 214 over the first fin 202 a and the second fin 202 b and extending over insulation region 204, wherein the portion of the gate electrode layer 214 over insulation region 204 comprises the gate middle line 214 m; the first contact structure 220 a over the insulation region 204 adjacent to the gate electrode layer 214 comprising the first middle line 222 m, wherein the first middle line 222 m and the gate middle line 214 m has a first distance D1; and the second contact structure 220 b over the insulation region 204 on a side of the gate electrode layer 214 opposite to the first contact structure 220 a comprising the second middle line 222 n, wherein the second middle line 222 n and the gate middle line 214 m has a second distance D2 greater than the first distance D1.
  • In some embodiments, a ratio of the second distance D2 to the first distance D1 is from about 1.1 to about 5. In some embodiments, the gate electrode layer 214 comprises a gate bottom surface 214 b and the first contact structure 220 a comprises a first bottom surface 220 c higher than the gate bottom surface 214 b, wherein a first height H1 between the first bottom surface 220 c and the gate bottom surface 214 b is in the range of about 1 to about 50 nm. In some embodiments, the gate electrode layer 214 comprises a gate bottom surface 214 b and the second contact structure 220 b comprises a second bottom surface 220 d higher than the gate bottom surface 214 b, wherein a second height H2 between the second bottom surface 220 d and the gate bottom surface 214 b is in the range of about 1 to about 50 nm. In some embodiments, a semiconductor layer (i.e. the strained material 208) is between the first contact structure 220 a and the insulation region 204.
  • In the depicted embodiment, the gate stack 210 is fabricated using a gate-first process. In an alternative embodiment, the gate stack 210 may be fabricated using a gate-last process performed by first forming a dummy gate stack. In some embodiments, the gate-last process comprises forming an ILD layer surrounding the dummy gate stack, removing a dummy gate electrode layer to form a trench in the ILD layer, then fill the trench with a conductive gate electrode layer. In some embodiments, the gate-last process comprises forming an ILD layer surrounding the dummy gate stack, removing a dummy gate electrode layer and a dummy gate dielectric layer to form a trench in the ILD layer, then fill the trench with a gate dielectric layer and a conductive gate electrode layer.
  • After the steps shown in FIG. 1, as further illustrated with respect to the example depicted in FIGS. 3A-10C, have been performed, subsequent processes, comprising interconnect processing, are performed to complete the FinFET 200 fabrication. It has been observed that the second middle line 222 n and the gate middle line 214 m has the second distance D2 greater than the first distance D1 (i.e., the design-rule distance), resulting in lower parasitic capacitance and enhancing the device performance
  • In accordance with embodiments, a semiconductor device comprises an insulation region over a substrate; a gate electrode layer over the insulation region comprising a gate middle line; a first contact structure over the insulation region adjacent to the gate electrode layer comprising a first middle line, wherein the first middle line and the gate middle line has a first distance; and a second contact structure over the insulation region on a side of the gate electrode layer opposite to the first contact structure comprising a second middle line, wherein the second middle line and the gate middle line has a second distance greater than the first distance.
  • In accordance with another embodiments, a fin field effect transistor (FinFET) comprises a substrate comprising a major surface; a first fin of the substrate and a second fin of the substrate extending above the substrate major surface comprising fin top surfaces; an insulation region above the substrate major surface between the first fin and second fin comprising an insulation top surface lower than the fin top surfaces; a gate electrode layer over the first fin and the second fin and extending over insulation region, wherein a portion of the gate electrode layer over insulation region comprises a gate middle line; a first contact structure over the insulation region adjacent to the gate electrode layer comprising a first middle line, wherein the first middle line and the gate middle line has a first distance; and a second contact structure over the insulation region on a side of the gate electrode layer opposite to the first contact structure comprising a second middle line, wherein the second middle line and the gate middle line has a second distance greater than the first distance.
  • In accordance with another embodiments, a method of fabricating a semiconductor device comprises providing a substrate; forming a first fin of the substrate and a second fin of the substrate extending above a major surface of the substrate comprising fin top surfaces; forming an insulation region above the substrate major surface between the first fin and second fin comprising an insulation top surface lower than the fin top surfaces; forming a gate electrode layer over channel portions of the first fin and the second fin and extending over a portion of the insulation region, wherein a portion of the gate electrode layer over the portion of insulation region comprises a gate middle line; forming an inter-layer dielectric (ILD) layer surrounding the gate electrode layer over the insulation region; forming a first opening and a second opening in the ILD layer over the insulation region, wherein a distance between a middle line of the first opening and the gate middle line is different from a distance between a middle line of the second opening and the gate middle line; and forming a metal layer in the first opening to form a first contact structure and in the second opening to form a second contact structure.
  • In accordance with another embodiment, a semiconductor device is provided. The semiconductor device includes a first fin and a second fin over a substrate, the first fin and the second fin having an elongated axis running in a first direction. The semiconductor device also includes an insulation region extending between the first fin and the second fin, the first fin and the second fin extending above the insulation region, a gate electrode over the insulation region and the first fin and the second fin, and spacers alongside opposing sidewalls of the gate electrode. The semiconductor device further includes a first source/drain region in the first fin and the second fin, the first source/drain region extending laterally away from the gate electrode, a second source/drain region in the first fin and the second fin, the second source/drain region extending laterally away from the gate electrode, a first contact structure to the first source/drain region, the first contact structure extending from laterally above the first fin to laterally above the second fin, wherein the first contact structure is spaced apart from a first closest sidewall of the gate electrode by a first distance, the first source/drain region having a uniform dopant concentration from a first spacer of the spacers to the first contact structure, and a second contact structure to the second source/drain region, the second contact structure extending from laterally above the first fin to laterally above the second fin, wherein the second contact structure is spaced apart from a second closest sidewall of the gate electrode by a second distance, the second distance less than the first distance, the second source/drain region having a uniform dopant concentration from a second spacer of the spacers to the second contact structure.
  • In accordance with another embodiment, a semiconductor device is provided. The semiconductor device includes a first fin and a second fin, an insulation region interposed between the first fin and the second fin, a gate electrode over channel portions of the first fin and the second fin, the gate electrode extending over a portion of the insulation region, a semiconductor layer over the first fin and the second fin on opposing sides of the gate electrode, the semiconductor layer extending between the first fin and the second fin, and a first source/drain region and a second source/drain region in the semiconductor layer, the first source/drain region and the second source/drain region on opposing sides of the gate electrode. The semiconductor device further includes an inter-layer dielectric (ILD) layer over the semiconductor layer, and a first contact extending through the ILD layer to the first source/drain region and a second contact extending through the ILD layer to the second source/drain region, wherein a first distance between a middle of the first contact and a gate of the gate electrode is less than a second distance between a middle of the second contact and the middle of the gate electrode, the first source/drain region having a uniform dopant profile from the first contact to a first gate spacer, the second source/drain region having a uniform dopant profile from the second contact to a second gate spacer.
  • In accordance with another embodiment, a method of forming a semiconductor device is provided. The method includes forming a first fin and a second fin, forming an insulation region interposed between the first fin and the second fin, forming a gate electrode over channel portions of the first fin and the second fin, the gate electrode extending over a portion of the insulation region, forming a semiconductor layer over the first fin and the second fin on opposing sides of the gate electrode, the semiconductor layer extending between the first fin and the second fin, and forming a first source/drain region and a second source/drain region in the semiconductor layer on opposing sides of the gate electrode. The method further includes forming an inter-layer dielectric (ILD) layer over the semiconductor layer, forming a first opening extending through the ILD layer to the first source/drain region and a second opening extending through the ILD layer to the second source/drain region, and forming a first contact in the first opening and a second contact in the second opening, wherein a first distance between a middle of the first contact and a gate of the gate electrode is less than a second distance between a middle of the second contact and the middle of the gate electrode, the first source/drain region having a uniform dopant profile from the first contact to a first gate spacer, the second source/drain region having a uniform dopant profile from the second contact to a second gate spacer.
  • While the invention has been described by way of example and in terms of the preferred embodiments, it is to be understood that the invention is not limited to the disclosed embodiments. To the contrary, it is intended to cover various modifications and similar arrangements (as would be apparent to those skilled in the art). Therefore, the scope of the appended claims should be accorded the broadest interpretation so as to encompass all such modifications and similar arrangements.

Claims (20)

What is claimed is:
1. A semiconductor device comprising:
a first fin and a second fin over a substrate, the first fin and the second fin having an elongated axis running in a first direction;
an insulation region extending between the first fin and the second fin, the first fin and the second fin extending above the insulation region;
a gate electrode over the insulation region and the first fin and the second fin;
spacers alongside opposing sidewalls of the gate electrode;
a first source/drain region in the first fin and the second fin, the first source/drain region extending laterally away from the gate electrode;
a second source/drain region in the first fin and the second fin, the second source/drain region extending laterally away from the gate electrode;
a first contact structure to the first source/drain region, the first contact structure extending from laterally above the first fin to laterally above the second fin, wherein the first contact structure is spaced apart from a first closest sidewall of the gate electrode by a first distance, the first source/drain region having a uniform dopant concentration from a first spacer of the spacers to the first contact structure; and
a second contact structure to the second source/drain region, the second contact structure extending from laterally above the first fin to laterally above the second fin, wherein the second contact structure is spaced apart from a second closest sidewall of the gate electrode by a second distance, the second distance less than the first distance, the second source/drain region having a uniform dopant concentration from a second spacer of the spacers to the second contact structure.
2. The semiconductor device of claim 1, further comprising a semiconductor layer over the first fin and the second fin, wherein the first source/drain region and the second source/drain region comprise the semiconductor layer.
3. The semiconductor device of claim 2, wherein an upper surface of the semiconductor layer is higher than a lower surface of the spacers.
4. The semiconductor device of claim 1, wherein the first contact structure is a drain contact and the second contact structure is a source contact.
5. The semiconductor device of claim 1, wherein a ratio of the first distance to the second distance is from about 1.1 to about 5.
6. The semiconductor device of claim 1, wherein the gate electrode comprises a gate bottom surface and the first contact structure comprises a first bottom surface higher than the gate bottom surface.
7. The semiconductor device of claim 6, wherein a first height between the first bottom surface and the gate bottom surface is in a range of about 1 nm to about 50 nm.
8. The semiconductor device of claim 1, wherein the gate electrode comprises a gate bottom surface and the second contact structure comprises a second bottom surface higher than the gate bottom surface.
9. The semiconductor device of claim 8, wherein a second height between the second bottom surface and the gate bottom surface is in a range of about 1 nm to about 50 nm.
10. A semiconductor device comprising:
a first fin and a second fin;
an insulation region interposed between the first fin and the second fin;
a gate electrode over channel portions of the first fin and the second fin, the gate electrode extending over a portion of the insulation region;
a semiconductor layer over the first fin and the second fin on opposing sides of the gate electrode, the semiconductor layer extending between the first fin and the second fin;
a first source/drain region and a second source/drain region in the semiconductor layer, the first source/drain region and the second source/drain region on opposing sides of the gate electrode;
an inter-layer dielectric (ILD) layer over the semiconductor layer; and
a first contact extending through the ILD layer to the first source/drain region and a second contact extending through the ILD layer to the second source/drain region, wherein a first distance between a middle of the first contact and a gate of the gate electrode is less than a second distance between a middle of the second contact and the middle of the gate electrode, the first source/drain region having a uniform dopant profile from the first contact to a first gate spacer, the second source/drain region having a uniform dopant profile from the second contact to a second gate spacer.
11. The semiconductor device of claim 10, wherein the first contact is a source contact and the second contact is a drain contact.
12. The semiconductor device of claim 10, wherein a ratio of the second distance to the first distance is from about 1.1 to about 5.
13. The semiconductor device of claim 10, wherein a lattice constant of the semiconductor layer is different than a lattice constant of the first fin and the second fin.
14. The semiconductor device of claim 10, further comprising a semiconductor capping layer over the first fin and the second fin.
15. The semiconductor device of claim 14, wherein the semiconductor capping layer comprises a silicon capping layer.
16. A method of forming a semiconductor device, the method comprising:
forming a first fin and a second fin;
forming an insulation region interposed between the first fin and the second fin;
forming a gate electrode over channel portions of the first fin and the second fin, the gate electrode extending over a portion of the insulation region;
forming a semiconductor layer over the first fin and the second fin on opposing sides of the gate electrode, the semiconductor layer extending between the first fin and the second fin;
forming a first source/drain region and a second source/drain region in the semiconductor layer on opposing sides of the gate electrode;
forming an inter-layer dielectric (ILD) layer over the semiconductor layer;
forming a first opening extending through the ILD layer to the first source/drain region and a second opening extending through the ILD layer to the second source/drain region; and
forming a first contact in the first opening and a second contact in the second opening, wherein a first distance between a middle of the first contact and a gate of the gate electrode is less than a second distance between a middle of the second contact and the middle of the gate electrode, the first source/drain region having a uniform dopant profile from the first contact to a first gate spacer, the second source/drain region having a uniform dopant profile from the second contact to a second gate spacer.
17. The method of claim 16, wherein a ratio of the second distance to the first distance is from about 1.1 to about 5.
18. The method of claim 16, wherein forming the semiconductor layer comprises epitaxially growing a strained material over the first fin and the second fin after forming the gate electrode, the strained material extending over the insulation region, wherein a lattice constant of the strained material is different from a lattice constant of the first fin and the second fin.
19. The method of claim 18, wherein the strained material is separated from the gate electrode by a gate spacer.
20. The method of claim 16, wherein forming the first contact comprises forming the first contact such that the first contact overlaps at least a portion of the first fin and the second fin.
US15/212,969 2012-12-28 2016-07-18 Contact structure of semiconductor device Abandoned US20160329405A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US15/212,969 US20160329405A1 (en) 2012-12-28 2016-07-18 Contact structure of semiconductor device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/730,052 US9397217B2 (en) 2012-12-28 2012-12-28 Contact structure of non-planar semiconductor device
US15/212,969 US20160329405A1 (en) 2012-12-28 2016-07-18 Contact structure of semiconductor device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/730,052 Division US9397217B2 (en) 2012-12-28 2012-12-28 Contact structure of non-planar semiconductor device

Publications (1)

Publication Number Publication Date
US20160329405A1 true US20160329405A1 (en) 2016-11-10

Family

ID=51016183

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/730,052 Active 2033-03-08 US9397217B2 (en) 2012-12-28 2012-12-28 Contact structure of non-planar semiconductor device
US15/212,969 Abandoned US20160329405A1 (en) 2012-12-28 2016-07-18 Contact structure of semiconductor device

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US13/730,052 Active 2033-03-08 US9397217B2 (en) 2012-12-28 2012-12-28 Contact structure of non-planar semiconductor device

Country Status (3)

Country Link
US (2) US9397217B2 (en)
KR (1) KR20140086798A (en)
TW (1) TWI625858B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109585551A (en) * 2017-09-28 2019-04-05 台湾积体电路制造股份有限公司 Semiconductor structure and its manufacturing method

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101675121B1 (en) * 2011-12-30 2016-11-10 인텔 코포레이션 Wrap-around trench contact structure and methods of fabrication
US9209066B2 (en) * 2013-03-01 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structure of semiconductor device
KR20140142423A (en) * 2013-06-03 2014-12-12 삼성전자주식회사 Semiconductor device and fabricated method thereof
US9368626B2 (en) 2013-12-04 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with strained layer
US9312136B2 (en) * 2014-03-06 2016-04-12 International Business Machines Corporation Replacement metal gate stack for diffusion prevention
CN105489652B (en) * 2014-09-19 2018-09-18 中国科学院微电子研究所 Semiconductor devices and its manufacturing method
CN105489651B (en) * 2014-09-19 2019-02-01 中国科学院微电子研究所 Semiconductor devices and its manufacturing method
CN105513968B (en) * 2014-09-26 2019-03-08 中国科学院微电子研究所 The manufacturing method of fin formula field effect transistor
US9472669B1 (en) 2015-09-04 2016-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Fin FET device with epitaxial source/drain
CN106601605B (en) * 2015-10-19 2020-02-28 中芯国际集成电路制造(北京)有限公司 Gate stack structure, NMOS device, semiconductor device and manufacturing method thereof
KR20170065271A (en) * 2015-12-03 2017-06-13 삼성전자주식회사 A semiconductor device and methods of manufacturing the same
US9768231B2 (en) * 2016-02-12 2017-09-19 Globalfoundries Singapore Pte. Ltd. High density multi-time programmable resistive memory devices and method of forming thereof
US20170250268A1 (en) * 2016-02-25 2017-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
DE102019111297B4 (en) * 2018-11-30 2023-01-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11362199B2 (en) 2018-11-30 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050014339A1 (en) * 2003-07-17 2005-01-20 Kouichi Tani Method for fabricating semiconductor device
US20060223302A1 (en) * 2005-03-31 2006-10-05 Chang Peter L Self-aligned contacts for transistors
US20070102726A1 (en) * 2005-10-31 2007-05-10 Ryota Watanabe Semiconductor device for improving channel mobility
US20070108535A1 (en) * 2005-11-14 2007-05-17 Nec Electronics Corporation Semiconductor device
US20110215423A1 (en) * 2010-03-05 2011-09-08 Renesas Electronics Corporation Semiconductor device and a manufacturing method thereof
US20110298058A1 (en) * 2010-06-04 2011-12-08 Toshiba America Electronic Components, Inc. Faceted epi shape and half-wrap around silicide in s/d merged finfet
US20120049279A1 (en) * 2010-08-25 2012-03-01 Mayank Shrivastava High Voltage Semiconductor Devices
US20130161729A1 (en) * 2011-12-21 2013-06-27 Globalfoundries Inc. Methods of Forming Isolation Structures on FinFET Semiconductor Devices
US20130234250A1 (en) * 2012-03-08 2013-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET-Based ESD Devices and Methods for Forming the Same
US20140001520A1 (en) * 2012-06-29 2014-01-02 Glenn A. Glass Contact resistance reduced p-mos transistors employing ge-rich contact layer
US20140092506A1 (en) * 2012-09-28 2014-04-03 Akm Ahsan Extended Drain Non-planar MOSFETs for Electrostatic Discharge (ESD) Protection
US20140159159A1 (en) * 2011-12-30 2014-06-12 Joseph Steigerwald Wrap-around trench contact structure and methods of fabrication

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6864536B2 (en) * 2000-12-20 2005-03-08 Winbond Electronics Corporation Electrostatic discharge protection circuit
US7105894B2 (en) * 2003-02-27 2006-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Contacts to semiconductor fin devices
US7173305B2 (en) * 2003-04-08 2007-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned contact for silicon-on-insulator devices
US6881614B2 (en) * 2003-06-20 2005-04-19 Taiwan Semiconductor Manufacturing Company Shared contact for high-density memory cell design
US6909151B2 (en) * 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7612416B2 (en) * 2003-10-09 2009-11-03 Nec Corporation Semiconductor device having a conductive portion below an interlayer insulating film and method for producing the same
US7247578B2 (en) * 2003-12-30 2007-07-24 Intel Corporation Method of varying etch selectivities of a film
US7026689B2 (en) * 2004-08-27 2006-04-11 Taiwan Semiconductor Manufacturing Company Metal gate structure for MOS devices
JP2006100600A (en) * 2004-09-29 2006-04-13 Toshiba Corp Semiconductor device and manufacturing method thereof
JP4064955B2 (en) * 2004-09-30 2008-03-19 株式会社東芝 Semiconductor device and manufacturing method thereof
US7282426B2 (en) * 2005-03-29 2007-10-16 Freescale Semiconductor, Inc. Method of forming a semiconductor device having asymmetric dielectric regions and structure thereof
US7279375B2 (en) * 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
KR100657964B1 (en) * 2005-07-22 2006-12-14 삼성전자주식회사 Semiconductor device and random access memory having a single gate electrode corresponding a pair of fin-type channel regions
US20070029576A1 (en) * 2005-08-03 2007-02-08 International Business Machines Corporation Programmable semiconductor device containing a vertically notched fusible link region and methods of making and using same
JP2007134577A (en) * 2005-11-11 2007-05-31 Toshiba Corp Semiconductor device
DE102006001997B4 (en) * 2006-01-16 2007-11-15 Infineon Technologies Ag Semiconductor circuitry
US7456471B2 (en) * 2006-09-15 2008-11-25 International Business Machines Corporation Field effect transistor with raised source/drain fin straps
US8431972B2 (en) * 2006-12-13 2013-04-30 Infineon Technologies Ag Semiconductor ESD device and method of making same
US7550773B2 (en) * 2007-06-27 2009-06-23 International Business Machines Corporation FinFET with top body contact
US7910994B2 (en) 2007-10-15 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for source/drain contact processing
JP5193583B2 (en) 2007-12-17 2013-05-08 株式会社東芝 Fin type transistor
US8563355B2 (en) * 2008-01-18 2013-10-22 Freescale Semiconductor, Inc. Method of making a phase change memory cell having a silicide heater in conjunction with a FinFET
US7872303B2 (en) * 2008-08-14 2011-01-18 International Business Machines Corporation FinFET with longitudinal stress in a channel
US8110877B2 (en) * 2008-12-19 2012-02-07 Intel Corporation Metal-insulator-semiconductor tunneling contacts having an insulative layer disposed between source/drain contacts and source/drain regions
US8258602B2 (en) * 2009-01-28 2012-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. Bipolar junction transistors having a fin
US8405121B2 (en) * 2009-02-12 2013-03-26 Infineon Technologies Ag Semiconductor devices
JP2010225768A (en) * 2009-03-23 2010-10-07 Toshiba Corp Semiconductor device
US9054194B2 (en) * 2009-04-29 2015-06-09 Taiwan Semiconductor Manufactruing Company, Ltd. Non-planar transistors and methods of fabrication thereof
US9245805B2 (en) * 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8258572B2 (en) * 2009-12-07 2012-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM structure with FinFETs having multiple fins
US8263451B2 (en) 2010-02-26 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxy profile engineering for FinFETs
US8399931B2 (en) * 2010-06-30 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Layout for multiple-fin SRAM cell
US8487376B2 (en) * 2010-08-18 2013-07-16 Intel Corporation High-voltage transistor architectures, processes of forming same, and systems containing same
US8901676B2 (en) 2011-01-03 2014-12-02 International Business Machines Corporation Lateral extended drain metal oxide semiconductor field effect transistor (LEDMOSFET) having a high drain-to-body breakdown voltage (Vb), a method of forming an LEDMOSFET, and a silicon-controlled rectifier (SCR) incorporating a complementary pair of LEDMOSFETs
TWI455316B (en) * 2011-01-28 2014-10-01 Richtek Technology Corp High voltage multigate device and manufacturing method thereof
US8629420B1 (en) * 2012-07-03 2014-01-14 Intel Mobile Communications GmbH Drain extended MOS device for bulk FinFET technology

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050014339A1 (en) * 2003-07-17 2005-01-20 Kouichi Tani Method for fabricating semiconductor device
US20060223302A1 (en) * 2005-03-31 2006-10-05 Chang Peter L Self-aligned contacts for transistors
US20070102726A1 (en) * 2005-10-31 2007-05-10 Ryota Watanabe Semiconductor device for improving channel mobility
US20070108535A1 (en) * 2005-11-14 2007-05-17 Nec Electronics Corporation Semiconductor device
US20110215423A1 (en) * 2010-03-05 2011-09-08 Renesas Electronics Corporation Semiconductor device and a manufacturing method thereof
US20110298058A1 (en) * 2010-06-04 2011-12-08 Toshiba America Electronic Components, Inc. Faceted epi shape and half-wrap around silicide in s/d merged finfet
US20120049279A1 (en) * 2010-08-25 2012-03-01 Mayank Shrivastava High Voltage Semiconductor Devices
US20130161729A1 (en) * 2011-12-21 2013-06-27 Globalfoundries Inc. Methods of Forming Isolation Structures on FinFET Semiconductor Devices
US20140159159A1 (en) * 2011-12-30 2014-06-12 Joseph Steigerwald Wrap-around trench contact structure and methods of fabrication
US20130234250A1 (en) * 2012-03-08 2013-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET-Based ESD Devices and Methods for Forming the Same
US20140001520A1 (en) * 2012-06-29 2014-01-02 Glenn A. Glass Contact resistance reduced p-mos transistors employing ge-rich contact layer
US20140092506A1 (en) * 2012-09-28 2014-04-03 Akm Ahsan Extended Drain Non-planar MOSFETs for Electrostatic Discharge (ESD) Protection

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109585551A (en) * 2017-09-28 2019-04-05 台湾积体电路制造股份有限公司 Semiconductor structure and its manufacturing method

Also Published As

Publication number Publication date
US20140183632A1 (en) 2014-07-03
KR20140086798A (en) 2014-07-08
TW201427018A (en) 2014-07-01
TWI625858B (en) 2018-06-01
US9397217B2 (en) 2016-07-19

Similar Documents

Publication Publication Date Title
US11257930B2 (en) Method of forming fin field effect transistor
US9397217B2 (en) Contact structure of non-planar semiconductor device
US9099494B2 (en) Contact structure of semiconductor device
US9589838B2 (en) Contact structure of semiconductor device
US9806076B2 (en) FinFET device and method of manufacturing same
US9899521B2 (en) FinFET low resistivity contact formation method
US9337304B2 (en) Method of making semiconductor device
US9306037B2 (en) Dummy gate electrode of semiconductor device
US8440517B2 (en) FinFET and method of fabricating the same
US9048317B2 (en) Contact structure of semiconductor device
US10026641B2 (en) Isolation structure of semiconductor device

Legal Events

Date Code Title Description
STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION