US20160308014A1 - Fabrication of channel wraparound gate structure for field-effect transistor - Google Patents

Fabrication of channel wraparound gate structure for field-effect transistor Download PDF

Info

Publication number
US20160308014A1
US20160308014A1 US15/197,563 US201615197563A US2016308014A1 US 20160308014 A1 US20160308014 A1 US 20160308014A1 US 201615197563 A US201615197563 A US 201615197563A US 2016308014 A1 US2016308014 A1 US 2016308014A1
Authority
US
United States
Prior art keywords
gate electrode
semiconductor body
gate
semiconductor
channel
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US15/197,563
Inventor
Marko Radosavljevic
Amlan Majumdar
Suman Datta
Jack T. Kavalieros
Brian S. Doyle
Justin K. Brask
Robert S. Chau
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tahoe Research Ltd
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US15/197,563 priority Critical patent/US20160308014A1/en
Publication of US20160308014A1 publication Critical patent/US20160308014A1/en
Assigned to INTEL CORPORATION reassignment INTEL CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHAU, ROBERT S., DOYLE, BRIAN S., DATTA, SUMAN, RADOSAVLJEVIC, MARKO, KAVALIEROS, JACK T., MAJUMDAR, AMLAN, BRASK, JUSTIN K.
Assigned to TAHOE RESEARCH, LTD. reassignment TAHOE RESEARCH, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTEL CORPORATION
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66606Lateral single gate silicon transistors with final source and drain contacts formation strictly before final or dummy gate formation, e.g. contact first technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/926Dummy metallization
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/957Making metal-insulator-metal device

Definitions

  • the invention is in the field of Field-Effect Transistors.
  • CMOS complementary metal-oxide-semiconductor
  • Examples of transistors having reduced bodies along with tri-gate structures are shown in US 2004/0036127.
  • Other small transistors are delta-doped transistors fanned in lightly doped or undoped epitaxial layers grown on a heavily doped substrate. See, for instance, “Metal Gate Transistor with Epitaxial Source and Drain Regions,” application Ser. No. 10/955,669, filed Sep. 29, 2004, assigned to the assignee of the present application.
  • Off-state current reduces the switching efficiency and robs system power. This is particularly significant in planar CMOS transistors, where substrate leakage paths account for most of the current flow in the off state. While three-dimensional structures such as tri-gates and fin-FETs are more scalable, since they have more effective electrostatic control, there still remains a leakage path in the channel.
  • FIG. 1 is a cross-sectional, elevation view of a silicon-on-insulator (SOI) substrate.
  • SOI silicon-on-insulator
  • FIG. 2 is a perspective view of the structure of FIG. 1 , after the formation of a silicon body, sometimes referred to as a fin.
  • FIG. 3 illustrates the structure of FIG. 2 , after a dummy gate is fabricated and during a first ion implantation step.
  • FIG. 4 illustrates the structure of FIG. 3 , after spacers are fabricated and during a second ion implantation step.
  • FIG. 5 illustrates the structure of FIG. 4 , after forming a dielectric layer and after the removal of the dummy gate.
  • FIG. 6 is a cross-sectional, elevation view of the structure of FIG. 5 through section line 6 - 6 of FIG. 5 .
  • FIG. 7 illustrates the structure of FIG. 6 during an ion implantation step.
  • FIG. 8 illustrates the structure of FIG. 7 after an etching step which removes the BOX under the channel region. This view is generally through section line 8 - 8 of FIG. 5 .
  • FIG. 9 is an enlarged view of the region beneath the gate after the formation of a gate dielectric layer and a gate metal.
  • FIG. 10 is a cross-sectional, elevation view taken through the same plane as FIG. 6 , this view illustrates the formation of the gate encircling the entire channel region of a semiconductor body.
  • CMOS field-effect transistors A process for fabricating CMOS field-effect transistors and the resultant transistors are described.
  • numerous specific details are set forth, such as specific dimensions and chemical regimes, in order to provide a thorough understanding of the present invention. It will be apparent to one skilled in the art that the present invention may be practiced without these specific details. In other instances, well-known processing steps, such as cleaning steps, are not described in detail, in order to not unnecessarily obscure the present invention, Also in the description below, the fabrication of a single transistor is described. As will be appreciated in the typical integrated circuit, both n and p channel transistors are fabricated.
  • transistors are fabricated on a buried oxide layer (BOX) 20 which is disposed on a silicon substrate 21 shown in FIG. 1 .
  • Transistor bodies are fabricated from a monocrystalline, silicon layer 24 disposed on BOX 20 .
  • This silicon-on-insulation (SOI) substrate is well-known in the semiconductor industry.
  • the SOI substrate is fabricated by bonding the oxide layer 20 and silicon layer 24 onto the substrate 21 , and then planarizing the layer 24 until it is relatively thin.
  • Other techniques are known for forming an SOI substrate eluding, for instance, the implantation of oxygen into a silicon substrate to form a buried oxide layer.
  • Other semiconductor materials, other than silicon, may also be used such as gallium arsenide.
  • the BOX is seeded through ion implantation beneath the channel region of a transistor to make the oxide more readily etchable than the overlying, silicon body.
  • An electrically inactive species is implemented so as to not alter the electrical characteristics of the semiconductor body. Then, after removal of the BOX beneath the channel, a gate insulator and gate are formed entirely around the channel.
  • the layer 24 may be selectively ion implanted with a p type dopant in regions where n channel transistors are to be fabricated, and with an type dopant in those regions where p channel devices are to be fabricated. This is used to provide the relatively light doping typically found in the channel regions of MOS devices fabricated in a CMOS integrated circuit.
  • a protective oxide is disposed on the silicon layer 24 followed by the deposition of a silicon nitride layer (both not shown).
  • the nitride layer acts as a hard mask to define silicon bodies such as the silicon body 25 of FIG. 2 .
  • the body 25 may have a height and width of 20-30 nm.
  • An oxide (not shown) which subsequently acts as an etchant stop is formed over body 25 .
  • a polysilicon layer is formed over the structure of FIG. 2 and etched to define a dummy gate 30 which extends over the body 25 as seen in FIG. 3 .
  • the region of the body 25 below the dummy gate 30 is the channel region in this replacement gate process.
  • phosphorous or arsenic may be implanted into the body 25 for an n channel transistor, or boron for a p channel transistor in alignment with the dummy gate, as illustrated by the ion implantation 26 . This ion implantation defines the tip or extension source and drain regions frequently used in CMOS transistors.
  • a layer of silicon nitride is conformally deposited over the structure of FIG. 3 to fabricate the spacers 38 shown in FIG. 4 .
  • Ordinary, well-known, anisotropic etching is used to fabricate the spacers.
  • a carbon-doped nitride, doped with 5-13% carbon concentration is used for the spacers.
  • the main part of the source and drain regions are formed through ion implantation 35 shown in FIG. 4 .
  • arsenic or phosphorous is used with an implant dose of up to 1 ⁇ 10 19 -1 ⁇ 10 20 atoms/cm 3 .
  • a similar dose range of boron may be used for a p channel transistor.
  • the silicon body 25 Following the implantation of the main source and drain region, the silicon body 25 , to the extent that it extends beyond the spacers 38 , receives a suicide or salicide layer 39 as is often done on exposed silicon in field-effect transistors.
  • An annealing step to activate the source and drain dopant is used, also commonly used cleaning steps common in the fabrication of a field-effect transistor are not shown.
  • a dielectric layer 40 is now conformally deposited over the structure of FIG. 4 , as shown in FIG. 5 .
  • This may comprise a silicon dioxide layer which will become an interlayer dielectric (ILD) in an integrated circuit or a low-k ILD may be used. Alternatively, a sacrificial dielectric layer may be used.
  • the layer 40 typically has the mechanical strength to withstand a planarization process such as chemical mechanical polishing (CMP) so that it may be polished level with the top of the spacers 38 .
  • CMP chemical mechanical polishing
  • FIG. 6 After the deposition and planarization of the dielectric layer 40 , a wet etch is used to remove the dummy polysilicon gate 30 , leaving the opening 45 , as shown in FIG. 5 . (A dummy gate oxide (not shown) may also be removed.)
  • the cross-sectional view of FIG. 6 taken through section line 6 - 6 of FIG. 5 , also shows the body 25 . This view is a better reference for the ion implantation of FIG. 7 .
  • the wafer having the structure of FIG. 6 is now ion implanted at an angle of ⁇ ° relative to the normal of the wafer with the wafer at two different angles of rotation. These angles of rotation are in the plane of the wafer and are referred to below as the wafer rotation angle.
  • the angle between the normal to the wafer and the ion beam is referred to below as the ion implantation angle ⁇ .
  • the wafer is ion implanted at the angle ⁇ with the wafer rotated to an angle of 90°.
  • implantation occurs again at the angle ⁇ with the wafer rotated to an angle of 270°.
  • the wafer rotation angles of 90° and 270°, shown in FIG. 2 are perpendicular to the body 25 . Since 90° and 270° are 180° apart, the net effect is the same as implanting at ⁇ , as shown in FIG. 7 .
  • the implanted ions are implanted into the dielectric 40 , in the exposed portions of the BOX 20 , as well as under the channel region of the body 25 and in the channel region of body 25 .
  • may be in the range of 30°-60°, the angle is selected so as to insure that the ions are implanted into all the BOX 20 under the body 25 .
  • Ions seeded into the upper portion of BOX 20 shown as region 20 a, cause BOX 20 to be more readily etched and to provide better selectivity between the region 20 a versus the body 25 and the non-implanted regions of BOX. 20 .
  • the ions alter the crystalline nature of BOX 20 , in effect, amorphizing or modifying the structure making it less resistant to selected chemistry without making body 25 or non-implanted regions of the BOX 20 more readily etched.
  • the implanted region 20 a is etched more readily in the presence of the wet etchant compared to the body 25 or unexposed portions of the BOX 20 , allowing the implanted portion of the BOX 20 (region 20 a ), including beneath the body 25 to be removed without substantially affecting the dimensions of the body 25 .
  • pre-etch implantation may be found in US2004/0118805. Wet etchant discrimination ratio of 6-1 between implanted silicon dioxide and non-implanted silicon dioxide are achievable.
  • Ions selected for the implantation shown in FIG. 7 are electrically inactive in the BOX 20 and the semiconductor body 25 .
  • silicon can be implanted where the body 25 is a silicon body, to disrupt the structure of the silicon dioxide without altering the electrical properties of the body 25 .
  • the additional silicon ions implanted in body 25 are re-crystallized and have substantially no impact on the transistor characteristics.
  • electrically inactive species such as nitrogen or halogens (fluorine, chlorine, etc.) may be implanted to create structural alteration with the resultant modification of the wet etch rate without adversely effecting the electrical behavior of the transistor. These species remain in the silicon without altering the electrical characteristics of the transistor which is subsequently formed.
  • Relatively low implantation energies and dose levels are adequate to sufficiently seed the BOX 20 beneath the body 25 to allow removal of the oxide below the body.
  • energy levels for implanting silicon in the range of 0.5-2.0 KeV, to a dose of 1 ⁇ 10 18 atoms/cm 2 are sufficient for a silicon body having dimensions of approximately 20 ⁇ 20 nm.
  • a wet etch is used to remove the region 20 a including the region 20 a under the body 25 .
  • Many wet chemical etchants are known to be effective and controllable on such thin film materials. As would be apparent to one skilled in the art, they may be appropriately matched with substrate and thin film materials, such as those above, to provide desirable selective etching.
  • Suitable etchants include but are not limited to phosphoric acid (H 3 PO 4 ), hydrofluoric acid (HF), buffered HF, hydrochloric acid (HCl), nitric acid (HNO 3 ), acetic acid (CH 3 COOH), ammonium hydroxide (NH 4 OH, alcohols, potassium permanganate (KMnO 4 ), ammonium fluoride (NH 4 F), and others, as would be listed in known Wet chemical etching references such as Thin Film Processes, Academic Press 1978), edited by John L. Vossen and Werner Kern, Mixtures of these and other etchant chemicals are also conventionally used.
  • the wet etchant of the region 20 a of layer 20 defines a trench aligned with the opening 45 which extends beneath the body 25 .
  • This trench is best seen in FIG. 8 as trench 50 . Note, this view is taken through the section lines 8 - 8 of FIG. 5 . In this view the source and drain regions 55 are visible.
  • the trench 50 is encircled with the circle 60 , and enlarged in FIG. 9 , as will be subsequently discussed.
  • a gate dielectric 62 may now be formed on exposed surfaces which includes the sides, top and bottom of the body 25 ,
  • the gate dielectric has a high dielectric constant (k), such as a metal oxide dielectric, for instance, HfO 2 or ZrO 2 or other high k dielectrics, such as PZT or BST.
  • the gate dielectric may be formed by any well-known technique such as atomic layer deposition (ALD) or chemical vapor deposition (CVD). Alternately, the gate dielectric may be a grown dielectric.
  • the gate dielectric 62 may be a silicon dioxide film grown with a wet or dry oxidation process to a thickness between 5-50 ⁇ .
  • a gate electrode (metal) layer 63 is formed over the gate dielectric layer 62 .
  • the gate electrode layer 62 may be formed by blanket deposition of a suitable gate electrode material.
  • a gate electrode material comprises a metal film such as tungsten, tantalum, titanium and/or nitrides and alloys thereof.
  • n channel transistors a work function in the range of 3.9 to 4.6 eV may be used.
  • p channel transistors a work function of 4.6 to 5.2 eV may be used. Accordingly, for substrates with both n channel and p channel transistors, two separate metal deposition processes may need to be used. Only approximately 100 ⁇ of the metal needs to be formed through ALD to set the work function. The remainder of the gate may be formed of polysilicon.
  • the formation of the gate beneath the body 25 may not be as well defined as the gate on the sides and top of the body 25 .
  • a void 64 may occur.
  • Such a void will not affect the performance of the transistor.
  • some of the BOX 20 (not shown) may remain directly under the body 25 in the trench 50 . This oxide, which is subsequently covered with both the high-k dielectric 62 and the metal 63 , will not meaningfully impact transistor performance.
  • the above described method may also be used cm other three dimensional (3D)) semiconductor bodies such as semiconducting carbon nanotubes, Group 3-5 nanowires and silicon nanowires.
  • the surface upon which the 3D semiconductor nanostructure rests is ion implanted to alter its etching rate to make it more etchable than the nanostructure.
  • ALD is then used to form a dielectric and gate entirely around the semiconductor body for one embodiment.

Abstract

A method for fabricating a field-effect transistor with a gate completely wrapping around a channel region is described. Ion implantation is used to make the oxide beneath the channel region of the transistor more etchable, thereby allowing the oxide to be removed below the channel region. Atomic layer deposition is used to form a gate dielectric and a metal gate entirely around the channel region once the oxide is removed below the channel region.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation of U.S. patent application Ser. No. 13/042,973, flied Mar. 8, 2011, which is a continuation of U.S. patent application Ser. No. 11/240,440, filed Sep. 29, 2005, now U.S. Pat. No. 7,915,167, issued Mar. 29, 2011, the entire contents of which are hereby incorporated by reference herein.
  • FIELD OF THE INVENTION
  • The invention is in the field of Field-Effect Transistors.
  • PRIOR ART AND RELATED ART
  • The continuing trend in the fabrication of complementary metal-oxide-semiconductor (CMOS) transistors is to scale the transistors. Examples of transistors having reduced bodies along with tri-gate structures are shown in US 2004/0036127. Other small transistors are delta-doped transistors fanned in lightly doped or undoped epitaxial layers grown on a heavily doped substrate. See, for instance, “Metal Gate Transistor with Epitaxial Source and Drain Regions,” application Ser. No. 10/955,669, filed Sep. 29, 2004, assigned to the assignee of the present application.
  • The ability to continue scaling CMOS transistors to even smaller geometries is hindered by the off-state leakage current. Off-state current reduces the switching efficiency and robs system power. This is particularly significant in planar CMOS transistors, where substrate leakage paths account for most of the current flow in the off state. While three-dimensional structures such as tri-gates and fin-FETs are more scalable, since they have more effective electrostatic control, there still remains a leakage path in the channel.
  • One structure for providing a more completely wrapped around gate is described in “Nonplanar Semiconductor Device with Partially or Fully Wrapped Around Gate Electrode and Methods of Fabrication,” U.S. patent application Ser. No. 10/607,769, filed Jun. 27, 2003.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a cross-sectional, elevation view of a silicon-on-insulator (SOI) substrate.
  • FIG. 2 is a perspective view of the structure of FIG. 1, after the formation of a silicon body, sometimes referred to as a fin.
  • FIG. 3 illustrates the structure of FIG. 2, after a dummy gate is fabricated and during a first ion implantation step.
  • FIG. 4 illustrates the structure of FIG. 3, after spacers are fabricated and during a second ion implantation step.
  • FIG. 5 illustrates the structure of FIG. 4, after forming a dielectric layer and after the removal of the dummy gate.
  • FIG. 6 is a cross-sectional, elevation view of the structure of FIG. 5 through section line 6-6 of FIG. 5.
  • FIG. 7 illustrates the structure of FIG. 6 during an ion implantation step.
  • FIG. 8 illustrates the structure of FIG. 7 after an etching step which removes the BOX under the channel region. This view is generally through section line 8-8 of FIG. 5.
  • FIG. 9 is an enlarged view of the region beneath the gate after the formation of a gate dielectric layer and a gate metal.
  • FIG. 10 is a cross-sectional, elevation view taken through the same plane as FIG. 6, this view illustrates the formation of the gate encircling the entire channel region of a semiconductor body.
  • DETAILED DESCRIPTION
  • A process for fabricating CMOS field-effect transistors and the resultant transistors are described. In the following description, numerous specific details are set forth, such as specific dimensions and chemical regimes, in order to provide a thorough understanding of the present invention. It will be apparent to one skilled in the art that the present invention may be practiced without these specific details. In other instances, well-known processing steps, such as cleaning steps, are not described in detail, in order to not unnecessarily obscure the present invention, Also in the description below, the fabrication of a single transistor is described. As will be appreciated in the typical integrated circuit, both n and p channel transistors are fabricated.
  • In one embodiment, transistors are fabricated on a buried oxide layer (BOX) 20 which is disposed on a silicon substrate 21 shown in FIG. 1. Transistor bodies are fabricated from a monocrystalline, silicon layer 24 disposed on BOX 20. This silicon-on-insulation (SOI) substrate is well-known in the semiconductor industry. By way of example, the SOI substrate is fabricated by bonding the oxide layer 20 and silicon layer 24 onto the substrate 21, and then planarizing the layer 24 until it is relatively thin. Other techniques are known for forming an SOI substrate eluding, for instance, the implantation of oxygen into a silicon substrate to form a buried oxide layer. Other semiconductor materials, other than silicon, may also be used such as gallium arsenide.
  • As will be seen, the BOX is seeded through ion implantation beneath the channel region of a transistor to make the oxide more readily etchable than the overlying, silicon body. An electrically inactive species is implemented so as to not alter the electrical characteristics of the semiconductor body. Then, after removal of the BOX beneath the channel, a gate insulator and gate are formed entirely around the channel.
  • Referring to FIG. 1, the layer 24 may be selectively ion implanted with a p type dopant in regions where n channel transistors are to be fabricated, and with an type dopant in those regions where p channel devices are to be fabricated. This is used to provide the relatively light doping typically found in the channel regions of MOS devices fabricated in a CMOS integrated circuit.
  • A protective oxide is disposed on the silicon layer 24 followed by the deposition of a silicon nitride layer (both not shown). The nitride layer acts as a hard mask to define silicon bodies such as the silicon body 25 of FIG. 2. By way of example, the body 25 may have a height and width of 20-30 nm.
  • An oxide (not shown) which subsequently acts as an etchant stop is formed over body 25. A polysilicon layer is formed over the structure of FIG. 2 and etched to define a dummy gate 30 which extends over the body 25 as seen in FIG. 3. The region of the body 25 below the dummy gate 30, as will be seen, is the channel region in this replacement gate process. Once the. dummy gate 30 has been defined, phosphorous or arsenic may be implanted into the body 25 for an n channel transistor, or boron for a p channel transistor in alignment with the dummy gate, as illustrated by the ion implantation 26. This ion implantation defines the tip or extension source and drain regions frequently used in CMOS transistors.
  • Now, a layer of silicon nitride is conformally deposited over the structure of FIG. 3 to fabricate the spacers 38 shown in FIG. 4. Ordinary, well-known, anisotropic etching is used to fabricate the spacers. In one embodiment, a carbon-doped nitride, doped with 5-13% carbon concentration is used for the spacers. After the spacer formation, the main part of the source and drain regions are formed through ion implantation 35 shown in FIG. 4. For the n channel transistor, arsenic or phosphorous is used with an implant dose of up to 1×1019-1×1020 atoms/cm3. A similar dose range of boron may be used for a p channel transistor.
  • Following the implantation of the main source and drain region, the silicon body 25, to the extent that it extends beyond the spacers 38, receives a suicide or salicide layer 39 as is often done on exposed silicon in field-effect transistors.
  • An annealing step to activate the source and drain dopant is used, also commonly used cleaning steps common in the fabrication of a field-effect transistor are not shown.
  • A dielectric layer 40 is now conformally deposited over the structure of FIG. 4, as shown in FIG. 5. This may comprise a silicon dioxide layer which will become an interlayer dielectric (ILD) in an integrated circuit or a low-k ILD may be used. Alternatively, a sacrificial dielectric layer may be used. In any event, the layer 40 typically has the mechanical strength to withstand a planarization process such as chemical mechanical polishing (CMP) so that it may be polished level with the top of the spacers 38.
  • After the deposition and planarization of the dielectric layer 40, a wet etch is used to remove the dummy polysilicon gate 30, leaving the opening 45, as shown in FIG. 5. (A dummy gate oxide (not shown) may also be removed.) The cross-sectional view of FIG. 6, taken through section line 6-6 of FIG. 5, also shows the body 25. This view is a better reference for the ion implantation of FIG. 7.
  • Referring to FIG. 7, the wafer having the structure of FIG. 6 is now ion implanted at an angle of θ° relative to the normal of the wafer with the wafer at two different angles of rotation. These angles of rotation are in the plane of the wafer and are referred to below as the wafer rotation angle. The angle between the normal to the wafer and the ion beam is referred to below as the ion implantation angle θ.
  • First, for instance, the wafer is ion implanted at the angle θ with the wafer rotated to an angle of 90°. Then, implantation occurs again at the angle θ with the wafer rotated to an angle of 270°. The wafer rotation angles of 90° and 270°, shown in FIG. 2 are perpendicular to the body 25. Since 90° and 270° are 180° apart, the net effect is the same as implanting at ±θ, as shown in FIG. 7. The implanted ions are implanted into the dielectric 40, in the exposed portions of the BOX 20, as well as under the channel region of the body 25 and in the channel region of body 25. θ may be in the range of 30°-60°, the angle is selected so as to insure that the ions are implanted into all the BOX 20 under the body 25.
  • Ions seeded into the upper portion of BOX 20, shown as region 20 a, cause BOX 20 to be more readily etched and to provide better selectivity between the region 20 a versus the body 25 and the non-implanted regions of BOX. 20. The ions alter the crystalline nature of BOX 20, in effect, amorphizing or modifying the structure making it less resistant to selected chemistry without making body 25 or non-implanted regions of the BOX 20 more readily etched. More specifically, by selecting, suitable ions and a suitable wet etchant, the implanted region 20 a is etched more readily in the presence of the wet etchant compared to the body 25 or unexposed portions of the BOX 20, allowing the implanted portion of the BOX 20 (region 20 a), including beneath the body 25 to be removed without substantially affecting the dimensions of the body 25. A discussion of pre-etch implantation may be found in US2004/0118805. Wet etchant discrimination ratio of 6-1 between implanted silicon dioxide and non-implanted silicon dioxide are achievable.
  • Ions selected for the implantation shown in FIG. 7 are electrically inactive in the BOX 20 and the semiconductor body 25. For example, silicon can be implanted where the body 25 is a silicon body, to disrupt the structure of the silicon dioxide without altering the electrical properties of the body 25. In subsequent annealing, the additional silicon ions implanted in body 25 are re-crystallized and have substantially no impact on the transistor characteristics. Further, electrically inactive species such as nitrogen or halogens (fluorine, chlorine, etc.) may be implanted to create structural alteration with the resultant modification of the wet etch rate without adversely effecting the electrical behavior of the transistor. These species remain in the silicon without altering the electrical characteristics of the transistor which is subsequently formed.
  • Relatively low implantation energies and dose levels are adequate to sufficiently seed the BOX 20 beneath the body 25 to allow removal of the oxide below the body. For example, energy levels for implanting silicon in the range of 0.5-2.0 KeV, to a dose of 1×1018 atoms/cm2 are sufficient for a silicon body having dimensions of approximately 20×20 nm.
  • Following the implantation, a wet etch is used to remove the region 20 a including the region 20 a under the body 25. Many wet chemical etchants are known to be effective and controllable on such thin film materials. As would be apparent to one skilled in the art, they may be appropriately matched with substrate and thin film materials, such as those above, to provide desirable selective etching. Suitable etchants include but are not limited to phosphoric acid (H3PO4), hydrofluoric acid (HF), buffered HF, hydrochloric acid (HCl), nitric acid (HNO3), acetic acid (CH3COOH), ammonium hydroxide (NH4OH, alcohols, potassium permanganate (KMnO4), ammonium fluoride (NH4F), and others, as would be listed in known Wet chemical etching references such as Thin Film Processes, Academic Press 1978), edited by John L. Vossen and Werner Kern, Mixtures of these and other etchant chemicals are also conventionally used.
  • The wet etchant of the region 20 a of layer 20 defines a trench aligned with the opening 45 which extends beneath the body 25. This trench is best seen in FIG. 8 as trench 50. Note, this view is taken through the section lines 8-8 of FIG. 5. In this view the source and drain regions 55 are visible. The trench 50 is encircled with the circle 60, and enlarged in FIG. 9, as will be subsequently discussed.
  • A gate dielectric 62 may now be formed on exposed surfaces which includes the sides, top and bottom of the body 25, The gate dielectric has a high dielectric constant (k), such as a metal oxide dielectric, for instance, HfO2 or ZrO2 or other high k dielectrics, such as PZT or BST. The gate dielectric may be formed by any well-known technique such as atomic layer deposition (ALD) or chemical vapor deposition (CVD). Alternately, the gate dielectric may be a grown dielectric. For instance, the gate dielectric 62, may be a silicon dioxide film grown with a wet or dry oxidation process to a thickness between 5-50 Å.
  • Following this a gate electrode (metal) layer 63 is formed over the gate dielectric layer 62. The gate electrode layer 62 may be formed by blanket deposition of a suitable gate electrode material. In one embodiment a gate electrode material comprises a metal film such as tungsten, tantalum, titanium and/or nitrides and alloys thereof. For the n channel transistors, a work function in the range of 3.9 to 4.6 eV may be used. For the p channel transistors, a work function of 4.6 to 5.2 eV may be used. Accordingly, for substrates with both n channel and p channel transistors, two separate metal deposition processes may need to be used. Only approximately 100 Å of the metal needs to be formed through ALD to set the work function. The remainder of the gate may be formed of polysilicon.
  • Standard processing is now used to complete the transistor of FIG. 10.
  • The formation of the gate beneath the body 25 may not be as well defined as the gate on the sides and top of the body 25. For instance, as shown in FIG. 9, a void 64 may occur. Such a void, however, will not affect the performance of the transistor. Moreover, some of the BOX 20 (not shown) may remain directly under the body 25 in the trench 50. This oxide, which is subsequently covered with both the high-k dielectric 62 and the metal 63, will not meaningfully impact transistor performance.
  • The above described method may also be used cm other three dimensional (3D)) semiconductor bodies such as semiconducting carbon nanotubes, Group 3-5 nanowires and silicon nanowires. The surface upon which the 3D semiconductor nanostructure rests is ion implanted to alter its etching rate to make it more etchable than the nanostructure.
  • Thus, a method has been described for forming a gate entirely around a silicon body in a replacement gate process. Ion implantation damages the insulation beneath the semiconductor body in the channel region allowing it to be more readily etched. ALD is then used to form a dielectric and gate entirely around the semiconductor body for one embodiment.

Claims (14)

What is claimed is:
1. A semiconductor structure, comprising:
a semiconductor body above a substrate, the semiconductor body having a top surface, a pair of sidewalls, and a bottom;
a gate electrode haying a first portion over a portion of the top surface of the semiconductor body, a second portion adjacent a portion of the sidewalls of the semiconductor body, and a third portion below the bottom surface of the semiconductor body, wherein the first portion of the gate electrode is continuous with the second portion of the gate electrode, and wherein the third portion of the gate electrode is continuous with the second portion of the gate electrode;
a gate dielectric layer between the first portion of the gate electrode and the portion of the top surface of the semiconductor body, between the second portion of the gate electrode and the portion of the sidewalls of the semiconductor body, and between the third portion of the gate electrode and the bottom surface of the semiconductor body, Wherein is continuous around a top surface, sidewall surfaces and a bottom surface of the third portion of the gate electrode;
an insulating layer above the substrate and laterally adjacent to the third portion of the gate electrode;
a source region at a first side of the gate electrode; and
a drain region at a second side of the gate electrode opposite the first side of the gate electrode.
2. The semiconductor structure of claim 1, wherein the insulating layer is laterally adjacent to a portion of the gate dielectric layer between the third portion of the gate electrode and the bottom surface of the semiconductor body.
3. The semiconductor structure of claim 1, wherein the third portion of the gate electrode comprises a void surrounded by a top portion, sidewall portions and a bottom portion of the third portion of the gate electrode.
4. The semiconductor structure of claim 1, wherein the third portion of the gate electrode is void-free.
5. The semiconductor structure of claim 1, wherein the gate dielectric layer comprises a high-k dielectric material.
6. The semiconductor structure of claim 5, wherein the gate electrode comprises a metal and has a work function between 3.9 to 5.2 eV.
7. The semiconductor structure of claim 1, wherein the gate electrode comprises a metal and has a work function between 3.9 to 5.2 eV.
8. A method of fabricating a semiconductor structure, the method comprising:
forming a semiconductor body above a substrate, the semiconductor body having a top surface, a pair of sidewalls, and a bottom;
forming a gate electrode having a first portion over a portion of the top surface of the semiconductor body, a second portion adjacent a portion of the sidewalls of the semiconductor body, and a third portion below the bottom surface of the semiconductor body, wherein the first portion of the gate electrode is continuous with the second portion of the gate electrode, and wherein the third portion of the gate electrode is continuous with the second portion of the gate electrode;
forming a gate dielectric layer between the first portion of the gate electrode and the portion of the top surface of the semiconductor body, between the second portion of the gate electrode and the portion of the sidewalls of the semiconductor body, and between the third portion of the gate electrode and the bottom surface of the semiconductor body, wherein is continuous around a top surface, sidewall surfaces and a bottom surface of the third portion of the gate electrode;
forming an insulating layer above the substrate and laterally adjacent to the third portion of the gate electrode forming a source region at a first side of the gate electrode; and
forming a drain region at a second side of the gate electrode opposite the first side of the gate electrode.
9. The method of claim 8, wherein the insulating layer is laterally adjacent to a portion of the gate dielectric layer between the third portion of the gate electrode and the bottom surface of the semiconductor body.
10. The method of claim 8, wherein the third portion of the gate electrode comprises a void surrounded by a top portion, sidewall portions and a bottom portion of the third portion of the gate electrode.
11. The method of claim 8, wherein the third portion of the gate electrode is void-free.
12. The method of claim 8, wherein the gate dielectric layer comprises a high-k dielectric material.
13. The method of claim 12, wherein the gate electrode comprises a metal and has a work function between 3.9 to 5.2 eV.
14. The method of claim 8, wherein the gate electrode comprises a metal and has a work function between 3.9 to 5.2 eV.
US15/197,563 2004-09-29 2016-06-29 Fabrication of channel wraparound gate structure for field-effect transistor Abandoned US20160308014A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US15/197,563 US20160308014A1 (en) 2004-09-29 2016-06-29 Fabrication of channel wraparound gate structure for field-effect transistor

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US10/955,669 US7332439B2 (en) 2004-09-29 2004-09-29 Metal gate transistors with epitaxial source and drain regions
US11/240,440 US7915167B2 (en) 2004-09-29 2005-09-29 Fabrication of channel wraparound gate structure for field-effect transistor
US13/042,973 US20110156145A1 (en) 2004-09-29 2011-03-08 Fabrication of channel wraparound gate structure for field-effect transistor
US15/197,563 US20160308014A1 (en) 2004-09-29 2016-06-29 Fabrication of channel wraparound gate structure for field-effect transistor

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/042,973 Continuation US20110156145A1 (en) 2004-09-29 2011-03-08 Fabrication of channel wraparound gate structure for field-effect transistor

Publications (1)

Publication Number Publication Date
US20160308014A1 true US20160308014A1 (en) 2016-10-20

Family

ID=35788956

Family Applications (5)

Application Number Title Priority Date Filing Date
US10/955,669 Active 2025-12-18 US7332439B2 (en) 2004-09-29 2004-09-29 Metal gate transistors with epitaxial source and drain regions
US11/240,440 Expired - Fee Related US7915167B2 (en) 2004-09-29 2005-09-29 Fabrication of channel wraparound gate structure for field-effect transistor
US12/011,439 Expired - Fee Related US8344452B2 (en) 2004-09-29 2008-01-24 Metal gate transistors with raised source and drain regions formed on heavily doped substrate
US13/042,973 Abandoned US20110156145A1 (en) 2004-09-29 2011-03-08 Fabrication of channel wraparound gate structure for field-effect transistor
US15/197,563 Abandoned US20160308014A1 (en) 2004-09-29 2016-06-29 Fabrication of channel wraparound gate structure for field-effect transistor

Family Applications Before (4)

Application Number Title Priority Date Filing Date
US10/955,669 Active 2025-12-18 US7332439B2 (en) 2004-09-29 2004-09-29 Metal gate transistors with epitaxial source and drain regions
US11/240,440 Expired - Fee Related US7915167B2 (en) 2004-09-29 2005-09-29 Fabrication of channel wraparound gate structure for field-effect transistor
US12/011,439 Expired - Fee Related US8344452B2 (en) 2004-09-29 2008-01-24 Metal gate transistors with raised source and drain regions formed on heavily doped substrate
US13/042,973 Abandoned US20110156145A1 (en) 2004-09-29 2011-03-08 Fabrication of channel wraparound gate structure for field-effect transistor

Country Status (6)

Country Link
US (5) US7332439B2 (en)
KR (1) KR100867781B1 (en)
CN (2) CN103560150B (en)
DE (1) DE112005002302B4 (en)
TW (1) TWI272681B (en)
WO (1) WO2006039597A2 (en)

Families Citing this family (134)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101050377B1 (en) * 2001-02-12 2011-07-20 에이에스엠 아메리카, 인코포레이티드 Improved process for deposition of semiconductor films
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7456476B2 (en) * 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US7268058B2 (en) * 2004-01-16 2007-09-11 Intel Corporation Tri-gate transistors and methods to fabricate same
US7154118B2 (en) * 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
JP2007535147A (en) * 2004-04-23 2007-11-29 エーエスエム アメリカ インコーポレイテッド In situ doped epitaxial film
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US7611943B2 (en) * 2004-10-20 2009-11-03 Texas Instruments Incorporated Transistors, integrated circuits, systems, and processes of manufacture with improved work function modulation
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
JP4369359B2 (en) 2004-12-28 2009-11-18 富士通マイクロエレクトロニクス株式会社 Semiconductor device
US7438760B2 (en) * 2005-02-04 2008-10-21 Asm America, Inc. Methods of making substitutionally carbon-doped crystalline Si-containing materials by chemical vapor deposition
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US20060202266A1 (en) * 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7279375B2 (en) * 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7402875B2 (en) * 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
US20070090416A1 (en) 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US20070090408A1 (en) * 2005-09-29 2007-04-26 Amlan Majumdar Narrow-body multiple-gate FET with dominant body transistor for high performance
US7485503B2 (en) 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
US8101485B2 (en) * 2005-12-16 2012-01-24 Intel Corporation Replacement gates to enhance transistor strain
KR20080089403A (en) * 2005-12-22 2008-10-06 에이에스엠 아메리카, 인코포레이티드 Epitaxial deposition of doped semiconductor materials
US20070152266A1 (en) * 2005-12-29 2007-07-05 Intel Corporation Method and structure for reducing the external resistance of a three-dimensional transistor through use of epitaxial layers
US7449373B2 (en) * 2006-03-31 2008-11-11 Intel Corporation Method of ion implanting for tri-gate devices
US7425500B2 (en) * 2006-03-31 2008-09-16 Intel Corporation Uniform silicide metal on epitaxially grown source and drain regions of three-dimensional transistors
US7422960B2 (en) 2006-05-17 2008-09-09 Micron Technology, Inc. Method of forming gate arrays on a partial SOI substrate
US8143646B2 (en) 2006-08-02 2012-03-27 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
US7537994B2 (en) 2006-08-28 2009-05-26 Micron Technology, Inc. Methods of forming semiconductor devices, assemblies and constructions
US20080054361A1 (en) * 2006-08-30 2008-03-06 Infineon Technologies Ag Method and apparatus for reducing flicker noise in a semiconductor device
US7999251B2 (en) * 2006-09-11 2011-08-16 International Business Machines Corporation Nanowire MOSFET with doped epitaxial contacts for source and drain
JP5380827B2 (en) 2006-12-11 2014-01-08 ソニー株式会社 Manufacturing method of semiconductor device
US7786518B2 (en) * 2007-12-27 2010-08-31 Texas Instruments Incorporated Growth of unfaceted SiGe in MOS transistor fabrication
US20090170270A1 (en) * 2007-12-27 2009-07-02 Texas Instruments Incorporated Integration schemes to avoid faceted sige
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US20100078728A1 (en) * 2008-08-28 2010-04-01 Taiwan Semiconductor Manufacturing Company, Ltd. Raise s/d for gate-last ild0 gap filling
US8421162B2 (en) 2009-09-30 2013-04-16 Suvolta, Inc. Advanced transistors with punch through suppression
US8273617B2 (en) 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
US20110079861A1 (en) * 2009-09-30 2011-04-07 Lucian Shifren Advanced Transistors with Threshold Voltage Set Dopant Structures
US8367528B2 (en) * 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
KR101634748B1 (en) 2009-12-08 2016-07-11 삼성전자주식회사 method for manufacturing MOS transistor and forming method of integrated circuit using the sime
US8399314B2 (en) * 2010-03-25 2013-03-19 International Business Machines Corporation p-FET with a strained nanowire channel and embedded SiGe source and drain stressors
US8530286B2 (en) 2010-04-12 2013-09-10 Suvolta, Inc. Low power semiconductor transistor structure and method of fabrication thereof
CN102222692B (en) * 2010-04-14 2013-06-12 中国科学院微电子研究所 Semiconductor device and manufacturing method thereof
US8569128B2 (en) 2010-06-21 2013-10-29 Suvolta, Inc. Semiconductor structure and method of fabrication thereof with mixed metal types
US8759872B2 (en) 2010-06-22 2014-06-24 Suvolta, Inc. Transistor with threshold voltage set notch and method of fabrication thereof
CN102376572A (en) * 2010-08-10 2012-03-14 中芯国际集成电路制造(上海)有限公司 Method for producing semiconductor device
US8377783B2 (en) 2010-09-30 2013-02-19 Suvolta, Inc. Method for reducing punch-through in a transistor device
US11469271B2 (en) * 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US8778767B2 (en) * 2010-11-18 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and fabrication methods thereof
US11508605B2 (en) * 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US8404551B2 (en) 2010-12-03 2013-03-26 Suvolta, Inc. Source/drain extension control for advanced transistors
DE102011004322B4 (en) * 2011-02-17 2012-12-06 Globalfoundries Dresden Module One Llc & Co. Kg A method of manufacturing a semiconductor device having self-aligned contact elements and an exchange gate electrode structure
US8461875B1 (en) 2011-02-18 2013-06-11 Suvolta, Inc. Digital circuits having improved transistors, and methods therefor
US8574990B2 (en) 2011-02-24 2013-11-05 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gate
US8525271B2 (en) 2011-03-03 2013-09-03 Suvolta, Inc. Semiconductor structure with improved channel stack and method for fabrication thereof
US8802524B2 (en) 2011-03-22 2014-08-12 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gates
US8400219B2 (en) 2011-03-24 2013-03-19 Suvolta, Inc. Analog circuits having improved transistors, and methods therefor
US8748270B1 (en) 2011-03-30 2014-06-10 Suvolta, Inc. Process for manufacturing an improved analog transistor
US8835266B2 (en) 2011-04-13 2014-09-16 International Business Machines Corporation Method and structure for compound semiconductor contact
US8796048B1 (en) 2011-05-11 2014-08-05 Suvolta, Inc. Monitoring and measurement of thin film layers
US8999861B1 (en) 2011-05-11 2015-04-07 Suvolta, Inc. Semiconductor structure with substitutional boron and method for fabrication thereof
US8811068B1 (en) 2011-05-13 2014-08-19 Suvolta, Inc. Integrated circuit devices and methods
US8569156B1 (en) 2011-05-16 2013-10-29 Suvolta, Inc. Reducing or eliminating pre-amorphization in transistor manufacture
US8735987B1 (en) 2011-06-06 2014-05-27 Suvolta, Inc. CMOS gate stack structures and processes
US8995204B2 (en) 2011-06-23 2015-03-31 Suvolta, Inc. Circuit devices and methods having adjustable transistor body bias
US9263566B2 (en) 2011-07-19 2016-02-16 Semiconductor Manufacturing International (Beijing) Corporation Semiconductor device and manufacturing method thereof
CN102891177B (en) * 2011-07-19 2016-03-02 中芯国际集成电路制造(北京)有限公司 Semiconductor device and manufacture method thereof
CN102891175B (en) * 2011-07-19 2016-03-16 中芯国际集成电路制造(北京)有限公司 Semiconductor device and manufacture method thereof
CN102891178A (en) * 2011-07-19 2013-01-23 中芯国际集成电路制造(北京)有限公司 Semiconductor device and manufacturing method thereof
US8629016B1 (en) 2011-07-26 2014-01-14 Suvolta, Inc. Multiple transistor types formed in a common epitaxial layer by differential out-diffusion from a doped underlayer
US20130032876A1 (en) 2011-08-01 2013-02-07 International Business Machines Corporation Replacement Gate ETSOI with Sharp Junction
WO2013022753A2 (en) 2011-08-05 2013-02-14 Suvolta, Inc. Semiconductor devices having fin structures and fabrication methods thereof
US8748986B1 (en) 2011-08-05 2014-06-10 Suvolta, Inc. Electronic device with controlled threshold voltage
US8645878B1 (en) 2011-08-23 2014-02-04 Suvolta, Inc. Porting a circuit design from a first semiconductor process to a second semiconductor process
US8614128B1 (en) 2011-08-23 2013-12-24 Suvolta, Inc. CMOS structures and processes based on selective thinning
US8713511B1 (en) 2011-09-16 2014-04-29 Suvolta, Inc. Tools and methods for yield-aware semiconductor manufacturing process target generation
US9236466B1 (en) 2011-10-07 2016-01-12 Mie Fujitsu Semiconductor Limited Analog circuits having improved insulated gate transistors, and methods therefor
US9847225B2 (en) * 2011-11-15 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing the same
US8895327B1 (en) 2011-12-09 2014-11-25 Suvolta, Inc. Tipless transistors, short-tip transistors, and methods and circuits therefor
US8819603B1 (en) 2011-12-15 2014-08-26 Suvolta, Inc. Memory circuits and methods of making and designing the same
CN108172548B (en) 2011-12-21 2023-08-15 英特尔公司 Method for forming fin of metal oxide semiconductor device structure
US8883600B1 (en) 2011-12-22 2014-11-11 Suvolta, Inc. Transistor having reduced junction leakage and methods of forming thereof
JP2013138201A (en) * 2011-12-23 2013-07-11 Imec Method for manufacturing field-effect semiconductor device following replacement gate process
US8599623B1 (en) 2011-12-23 2013-12-03 Suvolta, Inc. Circuits and methods for measuring circuit elements in an integrated circuit device
CN103187290B (en) * 2011-12-31 2015-10-21 中芯国际集成电路制造(北京)有限公司 Fin type field-effect transistor and manufacture method thereof
US8735258B2 (en) * 2012-01-05 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit resistor fabrication with dummy gate removal
US8970289B1 (en) 2012-01-23 2015-03-03 Suvolta, Inc. Circuits and devices for generating bi-directional body bias voltages, and methods therefor
US8877619B1 (en) 2012-01-23 2014-11-04 Suvolta, Inc. Process for manufacture of integrated circuits with different channel doping transistor architectures and devices therefrom
US9093550B1 (en) 2012-01-31 2015-07-28 Mie Fujitsu Semiconductor Limited Integrated circuits having a plurality of high-K metal gate FETs with various combinations of channel foundation structure and gate stack structure and methods of making same
US9406567B1 (en) 2012-02-28 2016-08-02 Mie Fujitsu Semiconductor Limited Method for fabricating multiple transistor devices on a substrate with varying threshold voltages
US8863064B1 (en) 2012-03-23 2014-10-14 Suvolta, Inc. SRAM cell layout structure and devices therefrom
US9299698B2 (en) 2012-06-27 2016-03-29 Mie Fujitsu Semiconductor Limited Semiconductor structure with multiple transistors having various threshold voltages
US20140004677A1 (en) * 2012-06-29 2014-01-02 GlobalFoundries, Inc. High-k Seal for Protection of Replacement Gates
CN103578987B (en) * 2012-07-19 2016-08-24 中国科学院微电子研究所 Semiconductor device and manufacture method thereof
US8637955B1 (en) 2012-08-31 2014-01-28 Suvolta, Inc. Semiconductor structure with reduced junction leakage and method of fabrication thereof
US9112057B1 (en) 2012-09-18 2015-08-18 Mie Fujitsu Semiconductor Limited Semiconductor devices with dopant migration suppression and method of fabrication thereof
US9041126B2 (en) 2012-09-21 2015-05-26 Mie Fujitsu Semiconductor Limited Deeply depleted MOS transistors having a screening layer and methods thereof
WO2014071049A2 (en) 2012-10-31 2014-05-08 Suvolta, Inc. Dram-type device with low variation transistor peripheral circuits, and related methods
US8816754B1 (en) 2012-11-02 2014-08-26 Suvolta, Inc. Body bias circuits and methods
US9093997B1 (en) 2012-11-15 2015-07-28 Mie Fujitsu Semiconductor Limited Slew based process and bias monitors and related methods
US9070477B1 (en) 2012-12-12 2015-06-30 Mie Fujitsu Semiconductor Limited Bit interleaved low voltage static random access memory (SRAM) and related methods
US9112484B1 (en) 2012-12-20 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit process and bias monitors and related methods
US9268885B1 (en) 2013-02-28 2016-02-23 Mie Fujitsu Semiconductor Limited Integrated circuit device methods and models with predicted device metric variations
US8994415B1 (en) 2013-03-01 2015-03-31 Suvolta, Inc. Multiple VDD clock buffer
US8988153B1 (en) 2013-03-09 2015-03-24 Suvolta, Inc. Ring oscillator with NMOS or PMOS variation insensitivity
US9299801B1 (en) 2013-03-14 2016-03-29 Mie Fujitsu Semiconductor Limited Method for fabricating a transistor device with a tuned dopant profile
US9449967B1 (en) 2013-03-15 2016-09-20 Fujitsu Semiconductor Limited Transistor array structure
US9112495B1 (en) 2013-03-15 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit device body bias circuits and methods
US9478571B1 (en) 2013-05-24 2016-10-25 Mie Fujitsu Semiconductor Limited Buried channel deeply depleted channel transistor
US9412842B2 (en) 2013-07-03 2016-08-09 Samsung Electronics Co., Ltd. Method for fabricating semiconductor device
US9263455B2 (en) 2013-07-23 2016-02-16 Micron Technology, Inc. Methods of forming an array of conductive lines and methods of forming an array of recessed access gate lines
US8976575B1 (en) 2013-08-29 2015-03-10 Suvolta, Inc. SRAM performance monitor
US9543410B2 (en) * 2014-02-14 2017-01-10 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and formation thereof
US9112032B1 (en) * 2014-06-16 2015-08-18 Globalfoundries Inc. Methods of forming replacement gate structures on semiconductor devices
US9710006B2 (en) 2014-07-25 2017-07-18 Mie Fujitsu Semiconductor Limited Power up body bias circuits and methods
US9319013B2 (en) 2014-08-19 2016-04-19 Mie Fujitsu Semiconductor Limited Operational amplifier input offset correction with transistor threshold voltage adjustment
JP6631950B2 (en) * 2014-12-11 2020-01-15 パナソニックIpマネジメント株式会社 Nitride semiconductor device and method of manufacturing nitride semiconductor device
US9496338B2 (en) 2015-03-17 2016-11-15 International Business Machines Corporation Wire-last gate-all-around nanowire FET
TWI695513B (en) * 2015-03-27 2020-06-01 日商半導體能源研究所股份有限公司 Semiconductor device and electronic device
KR102290685B1 (en) 2015-06-04 2021-08-17 삼성전자주식회사 Semiconductor device
JP6903446B2 (en) * 2016-03-07 2021-07-14 芝浦メカトロニクス株式会社 Substrate processing equipment and substrate processing method
US9972513B2 (en) * 2016-03-07 2018-05-15 Shibaura Mechatronics Corporation Device and method for treating a substrate with hydrofluoric and nitric acid
US9768278B1 (en) * 2016-09-06 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of Fin loss in the formation of FinFETS
US11127590B2 (en) * 2016-12-05 2021-09-21 The Regents Of The University Of California Method for ALD deposition on inert surfaces via Al2O3 nanoparticles
US10714598B2 (en) * 2017-06-30 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor device
DE102017126544B4 (en) 2017-06-30 2023-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. PROCESSES FOR MANUFACTURING SEMICONDUCTOR DEVICES
CN108231594B (en) * 2017-12-21 2020-10-02 上海集成电路研发中心有限公司 Manufacturing method of FinFET device
JP2021192396A (en) * 2018-09-14 2021-12-16 キオクシア株式会社 Integrated circuit device and manufacturing method for integrated circuit device
US11165032B2 (en) * 2019-09-05 2021-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor using carbon nanotubes
CN110767804B (en) * 2019-11-19 2020-11-06 北京元芯碳基集成电路研究院 Carbon nanotube device and manufacturing method thereof
WO2023140840A1 (en) 2022-01-20 2023-07-27 Applied Materials, Inc. Methods for near surface work function engineering

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5929526A (en) * 1997-06-05 1999-07-27 Micron Technology, Inc. Removal of metal cusp for improved contact fill
US6232233B1 (en) * 1997-09-30 2001-05-15 Siemens Aktiengesellschaft Methods for performing planarization and recess etches and apparatus therefor
US6683000B2 (en) * 2001-10-31 2004-01-27 Mitsubishi Denki Kabushiki Kaisha Semiconductor-device fabrication method
US20060046495A1 (en) * 2004-08-31 2006-03-02 Kai Frohberg Technique for enhancing the fill capabilities in an electrochemical deposition process by edge rounding of trenches
US7056794B2 (en) * 2004-01-09 2006-06-06 International Business Machines Corporation FET gate structure with metal gate electrode and silicide contact
US20060289931A1 (en) * 2004-09-26 2006-12-28 Samsung Electronics Co., Ltd. Recessed gate structures including blocking members, methods of forming the same, semiconductor devices having the recessed gate structures and methods of forming the semiconductor devices
US20070145416A1 (en) * 2005-12-28 2007-06-28 Kabushiki Kaisha Toshiba Semiconductor device

Family Cites Families (287)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4198250A (en) * 1979-02-05 1980-04-15 Intel Corporation Shadow masking process for forming source and drain regions for field-effect transistors and like regions
JPS58201363A (en) * 1982-05-20 1983-11-24 Sanyo Electric Co Ltd Formation of gate electrode
GB2156149A (en) 1984-03-14 1985-10-02 Philips Electronic Associated Dielectrically-isolated integrated circuit manufacture
US4487652A (en) 1984-03-30 1984-12-11 Motorola, Inc. Slope etch of polyimide
US5514885A (en) * 1986-10-09 1996-05-07 Myrick; James J. SOI methods and apparatus
US4818715A (en) * 1987-07-09 1989-04-04 Industrial Technology Research Institute Method of fabricating a LDDFET with self-aligned silicide
US4907048A (en) * 1987-11-23 1990-03-06 Xerox Corporation Double implanted LDD transistor self-aligned with gate
US4905063A (en) * 1988-06-21 1990-02-27 American Telephone And Telegraph Company, At&T Bell Laboratories Floating gate memories
JPH0214578A (en) * 1988-07-01 1990-01-18 Fujitsu Ltd Semiconductor device
US4994873A (en) * 1988-10-17 1991-02-19 Motorola, Inc. Local interconnect for stacked polysilicon device
US5346834A (en) 1988-11-21 1994-09-13 Hitachi, Ltd. Method for manufacturing a semiconductor device and a semiconductor memory device
US4906589A (en) * 1989-02-06 1990-03-06 Industrial Technology Research Institute Inverse-T LDDFET with self-aligned silicide
KR930003790B1 (en) * 1990-07-02 1993-05-10 삼성전자 주식회사 Dielectric meterial
US5278102A (en) * 1990-08-18 1994-01-11 Fujitsu Limited SOI device and a fabrication process thereof
JP3061406B2 (en) * 1990-09-28 2000-07-10 株式会社東芝 Semiconductor device
JP3202223B2 (en) 1990-11-27 2001-08-27 日本電気株式会社 Method for manufacturing transistor
US5521859A (en) * 1991-03-20 1996-05-28 Fujitsu Limited Semiconductor memory device having thin film transistor and method of producing the same
JPH05152293A (en) * 1991-04-30 1993-06-18 Sgs Thomson Microelectron Inc Stepped wall interconnector and manufacture of gate
US5292670A (en) 1991-06-10 1994-03-08 Texas Instruments Incorporated Sidewall doping technique for SOI transistors
US5179037A (en) * 1991-12-24 1993-01-12 Texas Instruments Incorporated Integration of lateral and vertical quantum well transistors in the same epitaxial stack
US5391506A (en) * 1992-01-31 1995-02-21 Kawasaki Steel Corporation Manufacturing method for semiconductor devices with source/drain formed in substrate projection.
JPH05243572A (en) * 1992-02-27 1993-09-21 Fujitsu Ltd Semiconductor device
US5405454A (en) 1992-03-19 1995-04-11 Matsushita Electric Industrial Co., Ltd. Electrically insulated silicon structure and producing method therefor
JP2572003B2 (en) 1992-03-30 1997-01-16 三星電子株式会社 Method of manufacturing thin film transistor having three-dimensional multi-channel structure
JPH0793441B2 (en) 1992-04-24 1995-10-09 ヒュンダイ エレクトロニクス インダストリーズ カンパニー リミテッド Thin film transistor and manufacturing method thereof
KR960002088B1 (en) * 1993-02-17 1996-02-10 삼성전자주식회사 Making method of semiconductor device with soi structure
JPH06310547A (en) * 1993-02-25 1994-11-04 Mitsubishi Electric Corp Semiconductor device and manufacture thereof
EP0623963A1 (en) 1993-05-06 1994-11-09 Siemens Aktiengesellschaft MOSFET on SOI substrate
US5739544A (en) * 1993-05-26 1998-04-14 Matsushita Electric Industrial Co., Ltd. Quantization functional device utilizing a resonance tunneling effect and method for producing the same
US6730549B1 (en) * 1993-06-25 2004-05-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for its preparation
JPH0750410A (en) * 1993-08-06 1995-02-21 Hitachi Ltd Semiconductor crystal laminated body and forming method thereof as well as semiconductor device
JP3460863B2 (en) 1993-09-17 2003-10-27 三菱電機株式会社 Method for manufacturing semiconductor device
US5883564A (en) * 1994-04-18 1999-03-16 General Motors Corporation Magnetic field sensor having high mobility thin indium antimonide active layer on thin aluminum indium antimonide buffer layer
JP3317582B2 (en) * 1994-06-01 2002-08-26 菱電セミコンダクタシステムエンジニアリング株式会社 Method of forming fine pattern
JP3361922B2 (en) 1994-09-13 2003-01-07 株式会社東芝 Semiconductor device
JP3378414B2 (en) 1994-09-14 2003-02-17 株式会社東芝 Semiconductor device
US5602049A (en) 1994-10-04 1997-02-11 United Microelectronics Corporation Method of fabricating a buried structure SRAM cell
JPH08125152A (en) * 1994-10-28 1996-05-17 Canon Inc Semiconductor device, correlation operating unit empolying it, ad converter, da converter, and signal processing system
US5728594A (en) * 1994-11-02 1998-03-17 Texas Instruments Incorporated Method of making a multiple transistor integrated circuit with thick copper interconnect
GB2295488B (en) 1994-11-24 1996-11-20 Toshiba Cambridge Res Center Semiconductor device
US5716879A (en) * 1994-12-15 1998-02-10 Goldstar Electron Company, Ltd. Method of making a thin film transistor
US5710450A (en) * 1994-12-23 1998-01-20 Intel Corporation Transistor with ultra shallow tip and method of fabrication
JPH08204191A (en) * 1995-01-20 1996-08-09 Sony Corp Field-effect transistor and its manufacture
JP3303601B2 (en) 1995-05-19 2002-07-22 日産自動車株式会社 Groove type semiconductor device
KR0165398B1 (en) * 1995-05-26 1998-12-15 윤종용 Vertical transistor manufacturing method
US5627097A (en) * 1995-07-03 1997-05-06 Motorola, Inc. Method for making CMOS device having reduced parasitic capacitance
US5658806A (en) 1995-10-26 1997-08-19 National Science Council Method for fabricating thin-film transistor with bottom-gate or dual-gate configuration
US5814895A (en) 1995-12-22 1998-09-29 Sony Corporation Static random access memory having transistor elements formed on side walls of a trench in a semiconductor substrate
KR100205442B1 (en) 1995-12-26 1999-07-01 구본준 Thin film transistor and method of fabricating the same
US5595919A (en) * 1996-02-20 1997-01-21 Chartered Semiconductor Manufacturing Pte Ltd. Method of making self-aligned halo process for reducing junction capacitance
JPH09293793A (en) * 1996-04-26 1997-11-11 Mitsubishi Electric Corp Semiconductor device provided with thin film transistor and manufacture thereof
JP3710880B2 (en) * 1996-06-28 2005-10-26 株式会社東芝 Nonvolatile semiconductor memory device
TW548686B (en) * 1996-07-11 2003-08-21 Semiconductor Energy Lab CMOS semiconductor device and apparatus using the same
US5817560A (en) * 1996-09-12 1998-10-06 Advanced Micro Devices, Inc. Ultra short trench transistors and process for making same
US6399970B2 (en) * 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
US6163053A (en) 1996-11-06 2000-12-19 Ricoh Company, Ltd. Semiconductor device having opposite-polarity region under channel
US5827769A (en) 1996-11-20 1998-10-27 Intel Corporation Method for fabricating a transistor with increased hot carrier resistance by nitridizing and annealing the sidewall oxide of the gate electrode
JPH10150185A (en) * 1996-11-20 1998-06-02 Mitsubishi Electric Corp Semiconductor device and its manufacture
US5908313A (en) * 1996-12-31 1999-06-01 Intel Corporation Method of forming a transistor
JP4086926B2 (en) 1997-01-29 2008-05-14 富士通株式会社 Semiconductor device and manufacturing method thereof
JPH118390A (en) 1997-06-18 1999-01-12 Mitsubishi Electric Corp Semiconductor device and its manufacture
US6054355A (en) * 1997-06-30 2000-04-25 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device which includes forming a dummy gate
US6251763B1 (en) * 1997-06-30 2001-06-26 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing same
JPH1140811A (en) * 1997-07-22 1999-02-12 Hitachi Ltd Semiconductor device and manufacture thereof
US5952701A (en) 1997-08-18 1999-09-14 National Semiconductor Corporation Design and fabrication of semiconductor structure having complementary channel-junction insulated-gate field-effect transistors whose gate electrodes have work functions close to mid-gap semiconductor value
US6066869A (en) * 1997-10-06 2000-05-23 Micron Technology, Inc. Circuit and method for a folded bit line memory cell with vertical transistor and trench capacitor
US5976767A (en) 1997-10-09 1999-11-02 Micron Technology, Inc. Ammonium hydroxide etch of photoresist masked silicon
US5856225A (en) * 1997-11-24 1999-01-05 Chartered Semiconductor Manufacturing Ltd Creation of a self-aligned, ion implanted channel region, after source and drain formation
US6120846A (en) 1997-12-23 2000-09-19 Advanced Technology Materials, Inc. Method for the selective deposition of bismuth based ferroelectric thin films by chemical vapor deposition
US5888309A (en) * 1997-12-29 1999-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Lateral etch inhibited multiple for forming a via through a microelectronics layer susceptible to etching within a fluorine containing plasma followed by an oxygen containing plasma
US6117741A (en) 1998-01-09 2000-09-12 Texas Instruments Incorporated Method of forming a transistor having an improved sidewall gate structure
US6294416B1 (en) 1998-01-23 2001-09-25 Texas Instruments-Acer Incorporated Method of fabricating CMOS transistors with self-aligned planarization twin-well by using fewer mask counts
US6097065A (en) * 1998-03-30 2000-08-01 Micron Technology, Inc. Circuits and methods for dual-gated transistors
US6087208A (en) 1998-03-31 2000-07-11 Advanced Micro Devices, Inc. Method for increasing gate capacitance by using both high and low dielectric gate material
US6215190B1 (en) 1998-05-12 2001-04-10 International Business Machines Corporation Borderless contact to diffusion with respect to gate conductor and methods for fabricating
US6232641B1 (en) * 1998-05-29 2001-05-15 Kabushiki Kaisha Toshiba Semiconductor apparatus having elevated source and drain structure and manufacturing method therefor
US6317444B1 (en) 1998-06-12 2001-11-13 Agere System Optoelectronics Guardian Corp. Optical device including carbon-doped contact layers
US6165880A (en) 1998-06-15 2000-12-26 Taiwan Semiconductor Manufacturing Company Double spacer technology for making self-aligned contacts (SAC) on semiconductor integrated circuits
US6696366B1 (en) * 1998-08-17 2004-02-24 Lam Research Corporation Technique for etching a low capacitance dielectric layer
US6153485A (en) 1998-11-09 2000-11-28 Chartered Semiconductor Manufacturing Ltd. Salicide formation on narrow poly lines by pulling back of spacer
US6200865B1 (en) * 1998-12-04 2001-03-13 Advanced Micro Devices, Inc. Use of sacrificial dielectric structure to form semiconductor device with a self-aligned threshold adjust and overlying low-resistance gate
US6362111B1 (en) * 1998-12-09 2002-03-26 Texas Instruments Incorporated Tunable gate linewidth reduction process
TW449919B (en) * 1998-12-18 2001-08-11 Koninkl Philips Electronics Nv A method of manufacturing a semiconductor device
US6380558B1 (en) 1998-12-29 2002-04-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating the same
US6174820B1 (en) * 1999-02-16 2001-01-16 Sandia Corporation Use of silicon oxynitride as a sacrificial material for microelectromechanical devices
US6093621A (en) 1999-04-05 2000-07-25 Vanguard International Semiconductor Corp. Method of forming shallow trench isolation
US7045468B2 (en) * 1999-04-09 2006-05-16 Intel Corporation Isolated junction structure and method of manufacture
US6459123B1 (en) 1999-04-30 2002-10-01 Infineon Technologies Richmond, Lp Double gated transistor
DE60001601T2 (en) * 1999-06-18 2003-12-18 Lucent Technologies Inc Manufacturing process for manufacturing a CMOS integrated circuit with vertical transistors
JP2001015704A (en) 1999-06-29 2001-01-19 Hitachi Ltd Semiconductor integrated circuit
US6218309B1 (en) * 1999-06-30 2001-04-17 Lam Research Corporation Method of achieving top rounding and uniform etch depths while etching shallow trench isolation features
US6171910B1 (en) 1999-07-21 2001-01-09 Motorola Inc. Method for forming a semiconductor device
TW432594B (en) 1999-07-31 2001-05-01 Taiwan Semiconductor Mfg Manufacturing method for shallow trench isolation
FR2799305B1 (en) 1999-10-05 2004-06-18 St Microelectronics Sa METHOD FOR MANUFACTURING A SEMICONDUCTOR DEVICE WITH ENVELOPING GRID AND DEVICE OBTAINED
US6252284B1 (en) * 1999-12-09 2001-06-26 International Business Machines Corporation Planarized silicon fin device
US6303479B1 (en) * 1999-12-16 2001-10-16 Spinnaker Semiconductor, Inc. Method of manufacturing a short-channel FET with Schottky-barrier source and drain contacts
JP4194237B2 (en) 1999-12-28 2008-12-10 株式会社リコー Voltage generation circuit and reference voltage source circuit using field effect transistor
US6214679B1 (en) * 1999-12-30 2001-04-10 Intel Corporation Cobalt salicidation method on a silicon germanium film
JP3846706B2 (en) * 2000-02-23 2006-11-15 信越半導体株式会社 Polishing method and polishing apparatus for wafer outer peripheral chamfer
US6483156B1 (en) 2000-03-16 2002-11-19 International Business Machines Corporation Double planar gated SOI MOSFET structure
FR2806832B1 (en) 2000-03-22 2002-10-25 Commissariat Energie Atomique METAL SOURCE AND DRAIN MOS TRANSISTOR, AND METHOD FOR MANUFACTURING SUCH A TRANSISTOR
FR2810161B1 (en) * 2000-06-09 2005-03-11 Commissariat Energie Atomique ELECTRONIC MEMORY WITH DAMASCENE ARCHITECTURE AND METHOD OF MAKING SAID MEMORY
US6526996B1 (en) * 2000-06-12 2003-03-04 Promos Technologies, Inc. Dry clean method instead of traditional wet clean after metal etch
US6391782B1 (en) * 2000-06-20 2002-05-21 Advanced Micro Devices, Inc. Process for forming multiple active lines and gate-all-around MOSFET
KR100545706B1 (en) 2000-06-28 2006-01-24 주식회사 하이닉스반도체 Semiconductor device manufacturing method
JP4112358B2 (en) 2000-07-04 2008-07-02 インフィネオン テクノロジーズ アクチエンゲゼルシャフト Field effect transistor
JP2002047034A (en) * 2000-07-31 2002-02-12 Shinetsu Quartz Prod Co Ltd Quarts glass jig for process device utilizing plasma
US20020011612A1 (en) * 2000-07-31 2002-01-31 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US6403981B1 (en) * 2000-08-07 2002-06-11 Advanced Micro Devices, Inc. Double gate transistor having a silicon/germanium channel region
US6358800B1 (en) * 2000-09-18 2002-03-19 Vanguard International Semiconductor Corporation Method of forming a MOSFET with a recessed-gate having a channel length beyond photolithography limit
JP2002100762A (en) * 2000-09-22 2002-04-05 Mitsubishi Electric Corp Semiconductor device and manufacturing method therefor
JP4044276B2 (en) * 2000-09-28 2008-02-06 株式会社東芝 Semiconductor device and manufacturing method thereof
US6562665B1 (en) * 2000-10-16 2003-05-13 Advanced Micro Devices, Inc. Fabrication of a field effect transistor with a recess in a semiconductor pillar in SOI technology
US7163864B1 (en) 2000-10-18 2007-01-16 International Business Machines Corporation Method of fabricating semiconductor side wall fin
US6413802B1 (en) 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US6716684B1 (en) * 2000-11-13 2004-04-06 Advanced Micro Devices, Inc. Method of making a self-aligned triple gate silicon-on-insulator device
US6396108B1 (en) * 2000-11-13 2002-05-28 Advanced Micro Devices, Inc. Self-aligned double gate silicon-on-insulator (SOI) device
US6472258B1 (en) 2000-11-13 2002-10-29 International Business Machines Corporation Double gate trench transistor
AU2001267880A1 (en) 2000-11-22 2002-06-03 Hitachi Ltd. Semiconductor device and method for fabricating the same
US6552401B1 (en) 2000-11-27 2003-04-22 Micron Technology Use of gate electrode workfunction to improve DRAM refresh
US6413877B1 (en) 2000-12-22 2002-07-02 Lam Research Corporation Method of preventing damage to organo-silicate-glass materials during resist stripping
JP2002198368A (en) 2000-12-26 2002-07-12 Nec Corp Method for fabricating semiconductor device
US6537901B2 (en) * 2000-12-29 2003-03-25 Hynix Semiconductor Inc. Method of manufacturing a transistor in a semiconductor device
US6359311B1 (en) * 2001-01-17 2002-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Quasi-surrounding gate and a method of fabricating a silicon-on-insulator semiconductor device with the same
US6524920B1 (en) * 2001-02-09 2003-02-25 Advanced Micro Devices, Inc. Low temperature process for a transistor with elevated source and drain
US6475890B1 (en) 2001-02-12 2002-11-05 Advanced Micro Devices, Inc. Fabrication of a field effect transistor with an upside down T-shaped semiconductor pillar in SOI technology
US6475869B1 (en) 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region
US6630388B2 (en) 2001-03-13 2003-10-07 National Institute Of Advanced Industrial Science And Technology Double-gate field-effect transistor, integrated circuit using the transistor and method of manufacturing the same
US6444513B1 (en) * 2001-03-19 2002-09-03 Advanced Micro Devices, Inc. Metal gate stack with etch stop layer having implanted metal species
US6787402B1 (en) 2001-04-27 2004-09-07 Advanced Micro Devices, Inc. Double-gate vertical MOSFET transistor and fabrication method
US6902947B2 (en) * 2001-05-07 2005-06-07 Applied Materials, Inc. Integrated method for release and passivation of MEMS structures
SG112804A1 (en) 2001-05-10 2005-07-28 Inst Of Microelectronics Sloped trench etching process
KR100363332B1 (en) * 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US6635923B2 (en) * 2001-05-24 2003-10-21 International Business Machines Corporation Damascene double-gate MOSFET with vertical channel regions
US6506692B2 (en) * 2001-05-30 2003-01-14 Intel Corporation Method of making a semiconductor device using a silicon carbide hard mask
US6952040B2 (en) * 2001-06-29 2005-10-04 Intel Corporation Transistor structure and method of fabrication
JP2003017508A (en) 2001-07-05 2003-01-17 Nec Corp Field effect transistor
US6534807B2 (en) * 2001-08-13 2003-03-18 International Business Machines Corporation Local interconnect junction on insulator (JOI) structure
US6764965B2 (en) * 2001-08-17 2004-07-20 United Microelectronics Corp. Method for improving the coating capability of low-k dielectric layer
US6689650B2 (en) * 2001-09-27 2004-02-10 International Business Machines Corporation Fin field effect transistor with self-aligned gate
US6492212B1 (en) * 2001-10-05 2002-12-10 International Business Machines Corporation Variable threshold voltage double gated transistors and method of fabrication
US20030085194A1 (en) * 2001-11-07 2003-05-08 Hopkins Dean A. Method for fabricating close spaced mirror arrays
US6509282B1 (en) * 2001-11-26 2003-01-21 Advanced Micro Devices, Inc. Silicon-starved PECVD method for metal gate electrode dielectric spacer
US7385262B2 (en) * 2001-11-27 2008-06-10 The Board Of Trustees Of The Leland Stanford Junior University Band-structure modulation of nano-structures in an electric field
US6657259B2 (en) 2001-12-04 2003-12-02 International Business Machines Corporation Multiple-plane FinFET CMOS
US6967351B2 (en) 2001-12-04 2005-11-22 International Business Machines Corporation Finfet SRAM cell using low mobility plane for cell stability and method for forming
US6610576B2 (en) 2001-12-13 2003-08-26 International Business Machines Corporation Method for forming asymmetric dual gate transistor
US6583469B1 (en) 2002-01-28 2003-06-24 International Business Machines Corporation Self-aligned dog-bone structure for FinFET applications and methods to fabricate the same
KR100442089B1 (en) 2002-01-29 2004-07-27 삼성전자주식회사 Method of forming mos transistor having notched gate
KR100458288B1 (en) 2002-01-30 2004-11-26 한국과학기술원 Double-Gate FinFET
US20030151077A1 (en) 2002-02-13 2003-08-14 Leo Mathew Method of forming a vertical double gate semiconductor device and structure thereof
JP3782021B2 (en) 2002-02-22 2006-06-07 株式会社東芝 Semiconductor device, semiconductor device manufacturing method, and semiconductor substrate manufacturing method
US6635909B2 (en) * 2002-03-19 2003-10-21 International Business Machines Corporation Strained fin FETs structure and method
US6605498B1 (en) 2002-03-29 2003-08-12 Intel Corporation Semiconductor transistor having a backfilled channel material
FR2838238B1 (en) 2002-04-08 2005-04-15 St Microelectronics Sa SEMICONDUCTOR DEVICE WITH ENVELOPING GRID ENCAPSULATED IN AN INSULATING MEDIUM
US6713396B2 (en) * 2002-04-29 2004-03-30 Hewlett-Packard Development Company, L.P. Method of fabricating high density sub-lithographic features on a substrate
US6537885B1 (en) * 2002-05-09 2003-03-25 Infineon Technologies Ag Transistor and method of manufacturing a transistor having a shallow junction formation using a two step EPI layer
KR100410574B1 (en) * 2002-05-18 2003-12-18 주식회사 하이닉스반도체 Method of fabricating semiconductor device with ultra-shallow super-steep-retrograde epi-channel by decaborane doping
US6642090B1 (en) 2002-06-03 2003-11-04 International Business Machines Corporation Fin FET devices from bulk semiconductor and method for forming
US7074623B2 (en) 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
US7078284B2 (en) * 2002-06-20 2006-07-18 Micron Technology, Inc. Method for forming a notched gate
US6680240B1 (en) * 2002-06-25 2004-01-20 Advanced Micro Devices, Inc. Silicon-on-insulator device with strained device film and method for making the same with partial replacement of isolation oxide
US7105891B2 (en) 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US6974729B2 (en) * 2002-07-16 2005-12-13 Interuniversitair Microelektronica Centrum (Imec) Integrated semiconductor fin device and a method for manufacturing such device
US6705571B2 (en) * 2002-07-22 2004-03-16 Northrop Grumman Corporation System and method for loading stores on an aircraft
KR100477543B1 (en) * 2002-07-26 2005-03-18 동부아남반도체 주식회사 Method for forming short-channel transistor
US6919238B2 (en) 2002-07-29 2005-07-19 Intel Corporation Silicon on insulator (SOI) transistor and methods of fabrication
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
EP1387395B1 (en) * 2002-07-31 2016-11-23 Micron Technology, Inc. Method for manufacturing semiconductor integrated circuit structures
US6777761B2 (en) * 2002-08-06 2004-08-17 International Business Machines Corporation Semiconductor chip using both polysilicon and metal gate devices
JP2004071996A (en) * 2002-08-09 2004-03-04 Hitachi Ltd Manufacturing method for semiconductor integrated circuit device
US6833556B2 (en) 2002-08-12 2004-12-21 Acorn Technologies, Inc. Insulated gate field effect transistor having passivated schottky barriers to the channel
US6984585B2 (en) * 2002-08-12 2006-01-10 Applied Materials Inc Method for removal of residue from a magneto-resistive random access memory (MRAM) film stack using a sacrificial mask layer
US7358121B2 (en) * 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US7163851B2 (en) * 2002-08-26 2007-01-16 International Business Machines Corporation Concurrent Fin-FET and thick-body device fabrication
US6812527B2 (en) * 2002-09-05 2004-11-02 International Business Machines Corporation Method to control device threshold of SOI MOSFET's
US6770516B2 (en) 2002-09-05 2004-08-03 Taiwan Semiconductor Manufacturing Company Method of forming an N channel and P channel FINFET device on the same semiconductor substrate
US6794313B1 (en) 2002-09-20 2004-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Oxidation process to improve polysilicon sidewall roughness
CN1189923C (en) 2002-09-27 2005-02-16 上海华虹(集团)有限公司 Structure of grid medium with high dielectric and its preparation method
JP3556651B2 (en) * 2002-09-27 2004-08-18 沖電気工業株式会社 Method for manufacturing semiconductor device
US6800910B2 (en) 2002-09-30 2004-10-05 Advanced Micro Devices, Inc. FinFET device incorporating strained silicon in the channel region
KR100481209B1 (en) * 2002-10-01 2005-04-08 삼성전자주식회사 MOS Transistor having multiple channels and method of manufacturing the same
US6833588B2 (en) 2002-10-22 2004-12-21 Advanced Micro Devices, Inc. Semiconductor device having a U-shaped gate structure
US6706571B1 (en) * 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US6706581B1 (en) * 2002-10-29 2004-03-16 Taiwan Semiconductor Manufacturing Company Dual gate dielectric scheme: SiON for high performance devices and high k for low power devices
DE10250902B4 (en) * 2002-10-31 2009-06-18 Advanced Micro Devices, Inc., Sunnyvale A method of removing structural elements using an improved ablation process in the manufacture of a semiconductor device
US6611029B1 (en) 2002-11-08 2003-08-26 Advanced Micro Devices, Inc. Double gate semiconductor device having separate gates
US6787439B2 (en) 2002-11-08 2004-09-07 Advanced Micro Devices, Inc. Method using planarizing gate material to improve gate critical dimension in semiconductor devices
US6855990B2 (en) * 2002-11-26 2005-02-15 Taiwan Semiconductor Manufacturing Co., Ltd Strained-channel multiple-gate transistor
US6709982B1 (en) * 2002-11-26 2004-03-23 Advanced Micro Devices, Inc. Double spacer FinFET formation
US6821834B2 (en) 2002-12-04 2004-11-23 Yoshiyuki Ando Ion implantation methods and transistor cell layout for fin type transistors
KR100487922B1 (en) * 2002-12-06 2005-05-06 주식회사 하이닉스반도체 A transistor of a semiconductor device and a method for forming the same
US6686231B1 (en) * 2002-12-06 2004-02-03 Advanced Micro Devices, Inc. Damascene gate process with sacrificial oxide in semiconductor devices
US6645797B1 (en) 2002-12-06 2003-11-11 Advanced Micro Devices, Inc. Method for forming fins in a FinFET device using sacrificial carbon layer
US6869868B2 (en) 2002-12-13 2005-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a MOSFET device with metal containing gate structures
US6794718B2 (en) 2002-12-19 2004-09-21 International Business Machines Corporation High mobility crystalline planes in double-gate CMOS technology
JP4418760B2 (en) 2002-12-20 2010-02-24 インターナショナル・ビジネス・マシーンズ・コーポレーション Integrated antifuse structure for fin-type FET and CMOS devices
KR100486609B1 (en) * 2002-12-30 2005-05-03 주식회사 하이닉스반도체 Method for fabricating pMOSFET having Ultra Shallow Super-Steep-Retrograde epi-channel formed by Multiple channel doping
US6780694B2 (en) 2003-01-08 2004-08-24 International Business Machines Corporation MOS transistor
US6803631B2 (en) * 2003-01-23 2004-10-12 Advanced Micro Devices, Inc. Strained channel finfet
US6762483B1 (en) 2003-01-23 2004-07-13 Advanced Micro Devices, Inc. Narrow fin FinFET
US6885055B2 (en) * 2003-02-04 2005-04-26 Lee Jong-Ho Double-gate FinFET device and fabricating method thereof
WO2004073044A2 (en) 2003-02-13 2004-08-26 Massachusetts Institute Of Technology Finfet device and method to make same
US6746900B1 (en) * 2003-02-19 2004-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a semiconductor device having high-K gate dielectric material
US6855606B2 (en) * 2003-02-20 2005-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-rod devices
US6787854B1 (en) 2003-03-12 2004-09-07 Advanced Micro Devices, Inc. Method for forming a fin in a finFET device
US6716690B1 (en) * 2003-03-12 2004-04-06 Advanced Micro Devices, Inc. Uniformly doped source/drain junction in a double-gate MOSFET
US6800885B1 (en) 2003-03-12 2004-10-05 Advance Micro Devices, Inc. Asymmetrical double gate or all-around gate MOSFET devices and methods for making same
JP4563652B2 (en) * 2003-03-13 2010-10-13 シャープ株式会社 MEMORY FUNCTIONAL BODY, PARTICLE FORMING METHOD, MEMORY ELEMENT, SEMICONDUCTOR DEVICE, AND ELECTRONIC DEVICE
US6844238B2 (en) * 2003-03-26 2005-01-18 Taiwan Semiconductor Manufacturing Co., Ltd Multiple-gate transistors with improved gate control
US20040191980A1 (en) 2003-03-27 2004-09-30 Rafael Rios Multi-corner FET for better immunity from short channel effects
US6790733B1 (en) 2003-03-28 2004-09-14 International Business Machines Corporation Preserving TEOS hard mask using COR for raised source-drain including removable/disposable spacer
US6764884B1 (en) 2003-04-03 2004-07-20 Advanced Micro Devices, Inc. Method for forming a gate in a FinFET device and thinning a fin in a channel region of the FinFET device
TWI231994B (en) 2003-04-04 2005-05-01 Univ Nat Taiwan Strained Si FinFET
US7442415B2 (en) 2003-04-11 2008-10-28 Sharp Laboratories Of America, Inc. Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
US6888179B2 (en) * 2003-04-17 2005-05-03 Bae Systems Information And Electronic Systems Integration Inc GaAs substrate with Sb buffering for high in devices
TW200506093A (en) 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
US20070108514A1 (en) * 2003-04-28 2007-05-17 Akira Inoue Semiconductor device and method of fabricating the same
US7074656B2 (en) 2003-04-29 2006-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Doping of semiconductor fin devices
US6867433B2 (en) * 2003-04-30 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
JP3976703B2 (en) 2003-04-30 2007-09-19 エルピーダメモリ株式会社 Manufacturing method of semiconductor device
US6838322B2 (en) * 2003-05-01 2005-01-04 Freescale Semiconductor, Inc. Method for forming a double-gated semiconductor device
US6909147B2 (en) 2003-05-05 2005-06-21 International Business Machines Corporation Multi-height FinFETS
US7045401B2 (en) * 2003-06-23 2006-05-16 Sharp Laboratories Of America, Inc. Strained silicon finFET device
US20040262683A1 (en) 2003-06-27 2004-12-30 Bohr Mark T. PMOS transistor strain optimization with raised junction regions
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US6960517B2 (en) 2003-06-30 2005-11-01 Intel Corporation N-gate transistor
US6921982B2 (en) 2003-07-21 2005-07-26 International Business Machines Corporation FET channel having a strained lattice structure along multiple surfaces
KR100487566B1 (en) * 2003-07-23 2005-05-03 삼성전자주식회사 Fin field effect transistors and methods of formiing the same
KR100487567B1 (en) 2003-07-24 2005-05-03 삼성전자주식회사 Method for fabricating a finfet in a semiconductor device
EP1519420A2 (en) * 2003-09-25 2005-03-30 Interuniversitaire Microelectronica Centrum vzw ( IMEC) Multiple gate semiconductor device and method for forming same
US7301206B2 (en) * 2003-08-01 2007-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator SRAM configured using partially-depleted and fully-depleted transistors
US6835618B1 (en) 2003-08-05 2004-12-28 Advanced Micro Devices, Inc. Epitaxially grown fin for FinFET
US6787406B1 (en) 2003-08-12 2004-09-07 Advanced Micro Devices, Inc. Systems and methods for forming dense n-channel and p-channel fins using shadow implanting
US7172943B2 (en) * 2003-08-13 2007-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-gate transistors formed on bulk substrates
KR100496891B1 (en) 2003-08-14 2005-06-23 삼성전자주식회사 Silicon fin for finfet and method for fabricating the same
US7355253B2 (en) * 2003-08-22 2008-04-08 International Business Machines Corporation Strained-channel Fin field effect transistor (FET) with a uniform channel thickness and separate gates
US6998301B1 (en) * 2003-09-03 2006-02-14 Advanced Micro Devices, Inc. Method for forming a tri-gate MOSFET
US7170126B2 (en) * 2003-09-16 2007-01-30 International Business Machines Corporation Structure of vertical strained silicon devices
US7242041B2 (en) * 2003-09-22 2007-07-10 Lucent Technologies Inc. Field-effect transistors with weakly coupled layered inorganic semiconductors
US6970373B2 (en) 2003-10-02 2005-11-29 Intel Corporation Method and apparatus for improving stability of a 6T CMOS SRAM cell
US20050139860A1 (en) * 2003-10-22 2005-06-30 Snyder John P. Dynamic schottky barrier MOSFET device and method of manufacture
US7060576B2 (en) * 2003-10-24 2006-06-13 Intel Corporation Epitaxially deposited source/drain
US7138320B2 (en) 2003-10-31 2006-11-21 Advanced Micro Devices, Inc. Advanced technique for forming a transistor having raised drain and source regions
US6867460B1 (en) * 2003-11-05 2005-03-15 International Business Machines Corporation FinFET SRAM cell with chevron FinFET logic
US6831310B1 (en) 2003-11-10 2004-12-14 Freescale Semiconductor, Inc. Integrated circuit having multiple memory types and method of formation
US6885072B1 (en) 2003-11-18 2005-04-26 Applied Intellectual Properties Co., Ltd. Nonvolatile memory with undercut trapping structure
US7183137B2 (en) * 2003-12-01 2007-02-27 Taiwan Semiconductor Manufacturing Company Method for dicing semiconductor wafers
US7075150B2 (en) 2003-12-02 2006-07-11 International Business Machines Corporation Ultra-thin Si channel MOSFET using a self-aligned oxygen implant and damascene technique
US7018551B2 (en) * 2003-12-09 2006-03-28 International Business Machines Corporation Pull-back method of forming fins in FinFets
US7388258B2 (en) * 2003-12-10 2008-06-17 International Business Machines Corporation Sectional field effect devices
US7247578B2 (en) 2003-12-30 2007-07-24 Intel Corporation Method of varying etch selectivities of a film
US7105390B2 (en) * 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US7705345B2 (en) 2004-01-07 2010-04-27 International Business Machines Corporation High performance strained silicon FinFETs device and method for forming same
US7385247B2 (en) 2004-01-17 2008-06-10 Samsung Electronics Co., Ltd. At least penta-sided-channel type of FinFET transistor
JP2005209782A (en) 2004-01-21 2005-08-04 Toshiba Corp Semiconductor device
US7250645B1 (en) 2004-01-22 2007-07-31 Advanced Micro Devices, Inc. Reversed T-shaped FinFET
EP1566844A3 (en) 2004-02-20 2006-04-05 Samsung Electronics Co., Ltd. Multi-gate transistor and method for manufacturing the same
US7060539B2 (en) 2004-03-01 2006-06-13 International Business Machines Corporation Method of manufacture of FinFET devices with T-shaped fins and devices manufactured thereby
US6921691B1 (en) 2004-03-18 2005-07-26 Infineon Technologies Ag Transistor with dopant-bearing metal in source and drain
US7141480B2 (en) 2004-03-26 2006-11-28 Texas Instruments Incorporated Tri-gate low power device and method for manufacturing the same
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US8450806B2 (en) 2004-03-31 2013-05-28 International Business Machines Corporation Method for fabricating strained silicon-on-insulator structures and strained silicon-on insulator structures formed thereby
US20050224797A1 (en) 2004-04-01 2005-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS fabricated on different crystallographic orientation substrates
US20050230763A1 (en) 2004-04-15 2005-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a microelectronic device with electrode perturbing sill
US6864540B1 (en) * 2004-05-21 2005-03-08 International Business Machines Corp. High performance FET with elevated source/drain region
KR100634372B1 (en) 2004-06-04 2006-10-16 삼성전자주식회사 Semiconductor devices and methods for forming the same
US7132360B2 (en) 2004-06-10 2006-11-07 Freescale Semiconductor, Inc. Method for treating a semiconductor surface to form a metal-containing layer
US7291886B2 (en) 2004-06-21 2007-11-06 International Business Machines Corporation Hybrid substrate technology for high-mobility planar and multiple-gate MOSFETs
US8669145B2 (en) * 2004-06-30 2014-03-11 International Business Machines Corporation Method and structure for strained FinFET devices
US7084025B2 (en) * 2004-07-07 2006-08-01 Chartered Semiconductor Manufacturing Ltd Selective oxide trimming to improve metal T-gate transistor
US20060040054A1 (en) * 2004-08-18 2006-02-23 Pearlstein Ronald M Passivating ALD reactor chamber internal surfaces to prevent residue buildup
US7250367B2 (en) 2004-09-01 2007-07-31 Micron Technology, Inc. Deposition methods using heteroleptic precursors
US7071064B2 (en) 2004-09-23 2006-07-04 Intel Corporation U-gate transistors and methods of fabrication
US20060086977A1 (en) * 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US7875547B2 (en) 2005-01-12 2011-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Contact hole structures and contact structures and fabrication methods thereof
US7071047B1 (en) * 2005-01-28 2006-07-04 International Business Machines Corporation Method of forming buried isolation regions in semiconductor substrates and semiconductor devices with buried isolation regions
US7238564B2 (en) 2005-03-10 2007-07-03 Taiwan Semiconductor Manufacturing Company Method of forming a shallow trench isolation structure
US7858481B2 (en) * 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7279375B2 (en) * 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US20070023795A1 (en) * 2005-07-15 2007-02-01 Kabushiki Kaisha Toshiba Semiconductor device and method of fabricating the same
US7348642B2 (en) * 2005-08-03 2008-03-25 International Business Machines Corporation Fin-type field effect transistor
US7479421B2 (en) * 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US8513066B2 (en) * 2005-10-25 2013-08-20 Freescale Semiconductor, Inc. Method of making an inverted-T channel transistor
KR100718159B1 (en) * 2006-05-18 2007-05-14 삼성전자주식회사 Wire-type semiconductor device and method of fabricating the same
US20080017890A1 (en) * 2006-06-30 2008-01-24 Sandisk 3D Llc Highly dense monolithic three dimensional memory array and method for forming
US7655989B2 (en) * 2006-11-30 2010-02-02 International Business Machines Corporation Triple gate and double gate finFETs with different vertical dimension fins

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5929526A (en) * 1997-06-05 1999-07-27 Micron Technology, Inc. Removal of metal cusp for improved contact fill
US6232233B1 (en) * 1997-09-30 2001-05-15 Siemens Aktiengesellschaft Methods for performing planarization and recess etches and apparatus therefor
US6683000B2 (en) * 2001-10-31 2004-01-27 Mitsubishi Denki Kabushiki Kaisha Semiconductor-device fabrication method
US7056794B2 (en) * 2004-01-09 2006-06-06 International Business Machines Corporation FET gate structure with metal gate electrode and silicide contact
US20060046495A1 (en) * 2004-08-31 2006-03-02 Kai Frohberg Technique for enhancing the fill capabilities in an electrochemical deposition process by edge rounding of trenches
US20060289931A1 (en) * 2004-09-26 2006-12-28 Samsung Electronics Co., Ltd. Recessed gate structures including blocking members, methods of forming the same, semiconductor devices having the recessed gate structures and methods of forming the semiconductor devices
US20070145416A1 (en) * 2005-12-28 2007-06-28 Kabushiki Kaisha Toshiba Semiconductor device

Also Published As

Publication number Publication date
US20060068591A1 (en) 2006-03-30
CN103560150B (en) 2017-01-11
US7915167B2 (en) 2011-03-29
US20060068590A1 (en) 2006-03-30
US7332439B2 (en) 2008-02-19
CN103560150A (en) 2014-02-05
US8344452B2 (en) 2013-01-01
KR100867781B1 (en) 2008-11-10
WO2006039597A3 (en) 2006-07-13
TWI272681B (en) 2007-02-01
TW200618125A (en) 2006-06-01
WO2006039597A2 (en) 2006-04-13
DE112005002302T5 (en) 2007-09-27
US20110156145A1 (en) 2011-06-30
KR20070052329A (en) 2007-05-21
DE112005002302B4 (en) 2009-07-23
US20080142840A1 (en) 2008-06-19
CN101027763A (en) 2007-08-29

Similar Documents

Publication Publication Date Title
US20160308014A1 (en) Fabrication of channel wraparound gate structure for field-effect transistor
US9929269B2 (en) FinFET having an oxide region in the source/drain region
USRE45944E1 (en) Structure for a multiple-gate FET device and a method for its fabrication
US7915682B2 (en) Semiconductor FinFET structures with encapsulated gate electrodes and methods for forming such semiconductor FinFET structures
US9041009B2 (en) Method and structure for forming high-K/metal gate extremely thin semiconductor on insulator device
US7618853B2 (en) Field effect transistors with dielectric source drain halo regions and reduced miller capacitance
US7176092B2 (en) Gate electrode for a semiconductor fin device
US7321155B2 (en) Offset spacer formation for strained channel CMOS transistor
US10134864B2 (en) Nanowire semiconductor device including lateral-etch barrier region
US20070063277A1 (en) Multiple low and high k gate oxides on single gate for lower miller capacitance and improved drive current
US20130260519A1 (en) Strained structure of semiconductor device
CN110797262B (en) Semiconductor device and method of forming the same
US20090256207A1 (en) Finfet devices from bulk semiconductor and methods for manufacturing the same
JP4081006B2 (en) A method for manufacturing a semiconductor device.
US11776963B2 (en) Semiconductor structure and method of manufacturing the same
US20220344491A1 (en) Multi-layer dielectric refill for profile control in semiconductor devices
JP2007519217A (en) Semiconductor device and manufacturing method thereof
US20230131688A1 (en) Nanosheet channel formation method and structure
US20230369428A1 (en) Under epitaxy isolation structure
KR20070101435A (en) Semiconductor device and method for manufacturing the same
TW202410163A (en) Nanostructure field-effect transistor and manufacturing method thereof

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTEL CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:RADOSAVLJEVIC, MARKO;MAJUMDAR, AMLAN;DATTA, SUMAN;AND OTHERS;SIGNING DATES FROM 20160727 TO 20161201;REEL/FRAME:040582/0882

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: TAHOE RESEARCH, LTD., IRELAND

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTEL CORPORATION;REEL/FRAME:061827/0686

Effective date: 20220718