US20150228669A1 - METHOD TO FORM GROUP III-V AND Si/Ge FINFET ON INSULATOR - Google Patents

METHOD TO FORM GROUP III-V AND Si/Ge FINFET ON INSULATOR Download PDF

Info

Publication number
US20150228669A1
US20150228669A1 US14/177,447 US201414177447A US2015228669A1 US 20150228669 A1 US20150228669 A1 US 20150228669A1 US 201414177447 A US201414177447 A US 201414177447A US 2015228669 A1 US2015228669 A1 US 2015228669A1
Authority
US
United States
Prior art keywords
electrically insulating
layer
semiconductor layer
semiconductor
insulating layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US14/177,447
Other versions
US9123585B1 (en
Inventor
Lukas Czornomaz
Jean Fompeyrine
Effendi Leobandung
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US14/177,447 priority Critical patent/US9123585B1/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CZORNOMAZ, LUKAS, FOMPEYRINE, JEAN, LEOBANDUNG, EFFENDI
Priority to US14/711,019 priority patent/US9252157B2/en
Publication of US20150228669A1 publication Critical patent/US20150228669A1/en
Application granted granted Critical
Publication of US9123585B1 publication Critical patent/US9123585B1/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Definitions

  • the exemplary embodiments of this invention relate generally to semiconductor devices and fabrication techniques and, more specifically, relate to the fabrication of semiconductor transistor devices known as FINFETs, such as those used in logic circuitry, using a semiconductor on insulator substrate, where the semiconductor in one area is comprised of a Group III-V compound material and in another area is comprised of, for example, a Silicon-Germanium (SiGe) compound material.
  • FINFETs semiconductor transistor devices known as FINFETs, such as those used in logic circuitry
  • SiGe Silicon-Germanium
  • a structure that includes a substrate, a first electrically insulating layer overlying a surface of the substrate, a first semiconductor layer comprised of a first semiconductor material overlying the first electrically insulating layer, a second electrically insulating layer overlying the first semiconductor layer in a first portion of the structure, and a second semiconductor layer comprised of a second semiconductor material different from the first semiconductor material overlying the second electrically insulating layer in the first portion.
  • the structure also includes additional first semiconductor material on the first semiconductor layer in a second portion of the structure; a plurality of substantially parallel fins formed in the additional first semiconductor layer and in the second semiconductor layer; a plurality of substantially parallel gate structures disposed orthogonal to the plurality of fins; and a void disposed in the first portion of the structure between the first electrically insulating layer and the second electrically insulating layer.
  • a structure that includes a substrate, a first electrically insulating layer overlying a surface of the substrate, a first semiconductor layer comprised of a first semiconductor material overlying the first electrically insulating layer, a second electrically insulating layer overlying the first semiconductor layer in a first portion of the structure, and a second semiconductor layer comprised of a second semiconductor material different from the first semiconductor material overlying the second electrically insulating layer in the first portion.
  • the structure also includes additional first semiconductor material on the first semiconductor layer in a second portion of the structure; a plurality of substantially parallel fins formed in the additional first semiconductor layer and in the second semiconductor layer; a plurality of substantially parallel gate structures disposed orthogonal to the plurality of fins; spacers formed on sidewalls of the plurality of gate structures, the spacers comprised of third electrically insulating material; and a layer of the third electrically insulating material disposed only in the first portion of the structure between the first electrically insulating layer and the second electrically insulating layer.
  • FIGS. 1-7 illustrate process steps in accordance with an embodiment of this invention, where FIG. 1 shows a wafer bonding operation
  • FIG. 2 shows a result of a selective removal of a portion of a Group III-V layer and a dielectric layer in what will be a PFET area
  • FIG. 3 shows a result of the growth of additional SiGe material to form a thicker, merged SiGe layer in the PFET area
  • FIGS. 4 and 4A show a top view and a cross-sectional view, respectively, of the structure after fins are defined;
  • FIGS. 5 , 5 A, 5 B and 5 C show a top view and three cross-sectional views of the structure after gates are defined orthogonally to the fins, where the view of FIG. 5A is taken along the section line ‘A’ shown in FIG. 5 , the view of FIG. 5B is taken along the section line ‘B’ shown in FIG. 5 , and the view of FIG. 5C is taken along the section line ‘C’ shown in FIG. 5 ;
  • FIGS. 6 , 6 A, 6 B and 6 C show a top view and three cross-sectional views of the structure after the SiGe layer in an NFET area is removed, thereby also forming a void 30 in the NFET area between a BOX layer and an overlying dielectric layer, where the view of FIG. 6A is taken along the section line ‘A’ shown in FIG. 6 , the view of FIG. 6B is taken along the section line ‘B’ shown in FIG. 6 , and the view of FIG. 6C is taken along the section line ‘C’ shown in FIG. 6 ; and
  • FIGS. 8 , 9 and 10 each are a cross-sectional view taken though a fin in the NFET area and illustrate different alternative embodiments of this invention.
  • the semiconductor layer 14 will be assumed to be a SiGe layer although the invention is not limited for use with only SiGe.
  • a second structure 16 comprised of a thin (e.g., less than about 3 nm) dielectric layer 18 , such as a layer of Al 2 O 3 ,SiO 2 or SiN, and an overlying layer 20 of a selected Group III-V material such as a layer of GaAs, GaSb, InGaAs, or InGaSb.
  • a quaternary Group HI-V material could be used.
  • the Group III-V layer 20 could have a thickness in a range of, for example, about 10 nm to about 50 nm. In some embodiments of interest the Group III-V layer 20 could have a thickness of about 30 nm.
  • Wafer bonding is a well-known technique and can be achieved, for example, by placing the two structures to be bonded in contact and then applying pressure and heat to join the two structures along their respective contact surfaces.
  • FIG. 2 shows a result of the selective removal of a portion of the Group III-V layer 20 and the dielectric layer 18 , e.g., the layer of Al 2 O 3 , in what will be a PFET area.
  • the selective removal exposes the upper surface of the SiGe layer 14 .
  • the remaining portion of the Group III-V layer 20 and the underlying dielectric layer 18 define what will be an NFET area. This is desirable for the NFET area at least due to the high electron mobility of the Group III-V material as compared to SiGe material.
  • the exposed portion of the SiGe layer 14 may be considered to be a seed layer upon which is grown, as shown in FIG. 3 , additional SiGe material to form a thicker, merged SiGe layer 22 in the PFET area.
  • the SiGe material is desirable for the PFET area due its characteristic high hole mobility (as compared to most Group III-V materials).
  • Other materials that exhibit a relatively high hole mobility include, for example, GaSb and InGaSb.
  • the SiGe layer 22 can have a total thickness of, for example, of about 10 nm to about 15 nm.
  • the SiGe layer 22 can be grown using any conventional and suitable epitaxial growth process.
  • the NFET area is preferably masked prior to the growth of the SiGe layer 22 .
  • the portion of the initial SiGe layer 14 in the NFET area remains between the dielectric layer 18 and the BOX layer 12 .
  • the presence of this portion of the initial thin semiconductor (e.g., SiGe) layer 14 is undesirable in that it can form a short circuit to a subsequently fabricated NFET.
  • the presence of this portion of the initial thin semiconductor (e.g., SiGe) layer 14 can increase a parasitic capacitance that will be associated with the subsequently fabricated NFET.
  • an aspect of this invention is a procedure that removes all or at least a portion of the initial SiGe layer 14 in the NFET area.
  • FIGS. 4 and 4A show a top view and a cross-sectional view, respectively, of the structure after fins are defined.
  • the view of FIG. 4A is taken along the section line ‘A’ shown in FIG. 4 .
  • the first set includes fins 20 A formed in the Group III-V layer 20 and the second set includes fins 22 A formed in the SiGe layer 22 .
  • the fins 20 A and 22 A can each have a height that corresponds to the thickness of the respective layer from which they are formed or, in this example, about 30 nm and about 10-15 nm, respectively.
  • FIGS. 5 , 5 A, 5 B and 5 C show a top view and three cross-sectional views of the structure after gates 24 are defined orthogonally to the fins 20 A and 20 B.
  • the view of FIG. 5A is taken along the section line ‘A’ shown in FIG. 5 (through a gate 24 )
  • the view of FIG. 5B is taken along the section line ‘B’ shown in FIG. 5 (through a fin 22 A)
  • the view of FIG. 5C is taken along the section line ‘C’ shown in FIG. 5 (through a fin 20 A).
  • the gates 24 can be ‘final’ metal gates or they can be gate electrode placeholder or gate precursors or ‘dummy’ gates that are subsequently removed during a replacement gate process. Where a gate 24 intersects a fin 20 A or 22 A it covers the fin on the top and opposing side surfaces as shown in FIG. 5A .
  • the gate electrode precursor can be comprised of polycrystalline silicon or amorphous silicon and can be removed for example with a dry etch process comprising SF 6 .
  • the gate electrode precursor can be comprised of polycrystalline silicon or amorphous silicon and can be removed, for example, with a wet etch process comprising aqueous NH 4 OH or tetramethylammonium hydroxide.
  • the gate electrode precursor can be comprised of silicon dioxide and can be removed, by example, with a wet etch comprising aqueous hydrofluoric acid, ammonium fluoride or both.
  • the gate electrode precursor can be comprised of silicon nitride and can be removed, for example, with a wet etch comprising aqueous phosphoric acid.
  • Exemplary high-k dielectric materials include HfO 2 , ZrO 2 , La 2 O 3 , Al 2 O 3 , TiO 2 , SrTiO 3 , LaAlO 3 , Y 2 O 3 , HfO x N y , ZrO x N y , La 2 O x N y , Al 2 ON y , TiO x N y , SrTiO x N y , LaAlO x N y , Y 2 O x N y , a silicate thereof, and an alloy thereof.
  • Each value of x is independently from 0.5 to 3 and each value of y is independently from 0 to 2.
  • the thickness of the high-k dielectric layer may be from 1 nm to 10 nm, and more preferably from about 1.5 nm to about 3 nm.
  • the high-k dielectric layer can have an effective oxide thickness (EOT) on the order of, or less than, about 1 nm.
  • EOT effective oxide thickness
  • the gate metal can be deposited directly on the top surface of the high-k dielectric layer by, for example, chemical vapor deposition (CVD), physical vapor deposition (PVD), or atomic layer deposition (ALD).
  • the gate metal can include a metal system selected from one or more of TiN, TiC, TaN, TaC, TaSiN, HfN, W, Al and Ru, and may be selected at least in part based on the desired work function (WF) of the device (NFET or PFET).
  • WF work function
  • Processing can then continue in a conventional fashion, for example, by growing epitaxial extension/source/drains on the NFET and the PFET and depositing a contact inter-layer dielectric (ILD) layer followed by planarization.
  • ILD contact inter-layer dielectric
  • the precursor or dummy gate structures are first replaced with metal gates over a high-k dielectric layer (as was mentioned above). Apertures are opened in the ILD layer and suitable contact metallization is then applied to the source, drain and the gate (stack/structure) of each of the FINFETs thus formed.
  • FIGS. 8 , 9 and 10 are each a cross-sectional view taken through the NFET area (corresponding to the views of FIG. 7C ), where each of these figures represents an alternative embodiment.
  • FIGS. 8 , 9 and 10 show more clearly an active island or mesa 40 disposed on the BOX layer 12 containing the fins, gates and related structures discussed above.
  • This embodiment (as well as the embodiments of FIGS. 9 and 10 ) can be useful since typically the likelihood is greater that a short circuit will develop in the SiGe layer 14 at or near the edges of the active island or mesa 40 .

Abstract

A method includes providing a structure having a substrate, a first electrically insulating layer overlying the substrate, a first semiconductor layer comprised of a first semiconductor material overlying the first electrically insulating layer, a second electrically insulating layer overlying the first semiconductor layer in a first portion of the structure and a second semiconductor layer comprised of a second, different semiconductor material overlying the second electrically insulating layer in the first portion. The method further includes growing additional first semiconductor material on the first semiconductor layer in a second portion of the structure to form a regrown semiconductor layer; forming fins; forming gate structures orthogonal to the fins and removing at least a portion of the first semiconductor layer in the first portion of the structure to form a void and filling the void with insulating material. Structures formed by the method are also disclosed.

Description

    TECHNICAL FIELD
  • The exemplary embodiments of this invention relate generally to semiconductor devices and fabrication techniques and, more specifically, relate to the fabrication of semiconductor transistor devices known as FINFETs, such as those used in logic circuitry, using a semiconductor on insulator substrate, where the semiconductor in one area is comprised of a Group III-V compound material and in another area is comprised of, for example, a Silicon-Germanium (SiGe) compound material.
  • BACKGROUND
  • One approach to achieving sub-10 nm geometry devices would co-integrate Si/Ge with a Group III-V compound semiconductor material. The Group III-V material could be a binary material such, as for example, Gallium Arsenide (GaAs) or Gallium Antimonide (GaSb). The Group III-V material could also be a tertiary material such as, for example, Indium Gallium Arsenide (InGaAs) or Indium Gallium Antimonide (InGaSb).
  • Wafer bonding could be used to achieve the co-integration of the Si/Ge with the Group III-V material. However, bonding two such dissimilar materials on Silicon is difficult to perform in a reliable and repeatable manner
  • SUMMARY
  • In a first aspect of the embodiments of this invention a method is disclosed that comprises providing a structure comprised of a substrate, a first electrically insulating layer overlying a surface of the substrate, a first semiconductor layer comprised of a first semiconductor material overlying the first electrically insulating layer, a second electrically insulating layer overlying the first semiconductor layer in a first portion of the structure, and a second semiconductor layer comprised of a second semiconductor material different from the first semiconductor material overlying the second electrically insulating layer in the first portion. The method further includes growing additional first semiconductor material on the first semiconductor layer in a second portion of the structure to form a regrown semiconductor layer; forming fins in the regrown semiconductor layer and in the second semiconductor layer; forming gate structures orthogonal to the fins; removing at least a portion of the first semiconductor layer in the first portion of the structure between the first electrically insulating layer and the second electrically insulating layer to form a void and filling the void with an electrically insulating material.
  • In accordance with another aspect of the embodiments of this invention there is described a structure that includes a substrate, a first electrically insulating layer overlying a surface of the substrate, a first semiconductor layer comprised of a first semiconductor material overlying the first electrically insulating layer, a second electrically insulating layer overlying the first semiconductor layer in a first portion of the structure, and a second semiconductor layer comprised of a second semiconductor material different from the first semiconductor material overlying the second electrically insulating layer in the first portion. The structure also includes additional first semiconductor material on the first semiconductor layer in a second portion of the structure; a plurality of substantially parallel fins formed in the additional first semiconductor layer and in the second semiconductor layer; a plurality of substantially parallel gate structures disposed orthogonal to the plurality of fins; and a void disposed in the first portion of the structure between the first electrically insulating layer and the second electrically insulating layer.
  • In accordance with yet another aspect of the embodiments of this invention there is described a structure that includes a substrate, a first electrically insulating layer overlying a surface of the substrate, a first semiconductor layer comprised of a first semiconductor material overlying the first electrically insulating layer, a second electrically insulating layer overlying the first semiconductor layer in a first portion of the structure, and a second semiconductor layer comprised of a second semiconductor material different from the first semiconductor material overlying the second electrically insulating layer in the first portion. The structure also includes additional first semiconductor material on the first semiconductor layer in a second portion of the structure; a plurality of substantially parallel fins formed in the additional first semiconductor layer and in the second semiconductor layer; a plurality of substantially parallel gate structures disposed orthogonal to the plurality of fins; spacers formed on sidewalls of the plurality of gate structures, the spacers comprised of third electrically insulating material; and a layer of the third electrically insulating material disposed only in the first portion of the structure between the first electrically insulating layer and the second electrically insulating layer.
  • BRIEF DESCRIPTION OF THE SEVERAL VIEWS OF THE DRAWINGS
  • FIGS. 1-7 illustrate process steps in accordance with an embodiment of this invention, where FIG. 1 shows a wafer bonding operation;
  • FIG. 2 shows a result of a selective removal of a portion of a Group III-V layer and a dielectric layer in what will be a PFET area;
  • FIG. 3 shows a result of the growth of additional SiGe material to form a thicker, merged SiGe layer in the PFET area;
  • FIGS. 4 and 4A show a top view and a cross-sectional view, respectively, of the structure after fins are defined;
  • FIGS. 5, 5A, 5B and 5C show a top view and three cross-sectional views of the structure after gates are defined orthogonally to the fins, where the view of FIG. 5A is taken along the section line ‘A’ shown in FIG. 5, the view of FIG. 5B is taken along the section line ‘B’ shown in FIG. 5, and the view of FIG. 5C is taken along the section line ‘C’ shown in FIG. 5;
  • FIGS. 6, 6A, 6B and 6C show a top view and three cross-sectional views of the structure after the SiGe layer in an NFET area is removed, thereby also forming a void 30 in the NFET area between a BOX layer and an overlying dielectric layer, where the view of FIG. 6A is taken along the section line ‘A’ shown in FIG. 6, the view of FIG. 6B is taken along the section line ‘B’ shown in FIG. 6, and the view of FIG. 6C is taken along the section line ‘C’ shown in FIG. 6; and
  • FIGS. 7, 7A, 7B and 7C show a top view and three cross-sectional views of the structure after the formation of dielectric spacers on the gates, thereby also filling the void with the dielectric spacer material to form an insulating dielectric layer that is interposed between the BOX layer and the overlying dielectric layer, where the view of FIG. 7A is taken along the section line ‘A’ shown in FIG. 7, the view of FIG. 7B is taken along the section line ‘B’ shown in FIG. 7, and the view of FIG. 7C is taken along the section line ‘C’ shown in FIG. 7.
  • FIGS. 8, 9 and 10 each are a cross-sectional view taken though a fin in the NFET area and illustrate different alternative embodiments of this invention.
  • DETAILED DESCRIPTION
  • Reference is made to FIGS. 1-7 for showing an embodiment of this invention. FIGS. 1-7 generally show enlarged cross-section and top views of structures that can be considered as initial and intermediate structures formed during the fabrication of transistor devices such as FINFETs. In FIGS. 1-7 the various layer thicknesses and other dimensions may not be drawn to scale.
  • FIG. 1 shows a wafer bonding operation. An initial structure 1 includes a substrate 10, such as a (bulk) Si substrate, having an overlying dielectric layer (e.g., SiO2) that can be referred to as a buried oxide (BOX) layer 12. The thicknesses of the Si substrate 10 and the BOX layer 12 can be any suitable thicknesses. In that the substrate 10 is not an active portion of subsequently fabricated transistor devices it can be composed of any suitable supportive structural material. Over the BOX layer 12 is a thin layer 14 (e.g., less than about 3 nm) of a semiconductor that can be, for example, Si, Ge, or SiGe (more specifically, SixGe1−x where x=0 to 1.). In the ensuing description the semiconductor layer 14 will be assumed to be a SiGe layer although the invention is not limited for use with only SiGe. To a top surface of the SiGe layer 14 is bonded a second structure 16 comprised of a thin (e.g., less than about 3 nm) dielectric layer 18, such as a layer of Al2O3,SiO2 or SiN, and an overlying layer 20 of a selected Group III-V material such as a layer of GaAs, GaSb, InGaAs, or InGaSb. In other embodiments a quaternary Group HI-V material could be used. The Group III-V layer 20 could have a thickness in a range of, for example, about 10 nm to about 50 nm. In some embodiments of interest the Group III-V layer 20 could have a thickness of about 30 nm.
  • Wafer bonding is a well-known technique and can be achieved, for example, by placing the two structures to be bonded in contact and then applying pressure and heat to join the two structures along their respective contact surfaces.
  • FIG. 2 shows a result of the selective removal of a portion of the Group III-V layer 20 and the dielectric layer 18, e.g., the layer of Al2O3, in what will be a PFET area. The selective removal exposes the upper surface of the SiGe layer 14. The remaining portion of the Group III-V layer 20 and the underlying dielectric layer 18 define what will be an NFET area. This is desirable for the NFET area at least due to the high electron mobility of the Group III-V material as compared to SiGe material.
  • The exposed portion of the SiGe layer 14 may be considered to be a seed layer upon which is grown, as shown in FIG. 3, additional SiGe material to form a thicker, merged SiGe layer 22 in the PFET area. The SiGe material is desirable for the PFET area due its characteristic high hole mobility (as compared to most Group III-V materials). Other materials that exhibit a relatively high hole mobility include, for example, GaSb and InGaSb. The SiGe layer 22 can have a total thickness of, for example, of about 10 nm to about 15 nm. The SiGe layer 22 can be grown using any conventional and suitable epitaxial growth process. For example the SiGe layer 22 can be formed by the epitaxial growth of SiGe using a mixture of silane, dichlorosilane and germane gases by a chemical vapor deposition (CVD) process, such as a (high vacuum) CVD process with Si2H6 and GeH4 gas species.
  • The NFET area is preferably masked prior to the growth of the SiGe layer 22.
  • In embodiments where the layer 14 is instead composed of Si or Ge then a thicker Si or Ge layer can be epitaxially grown using any suitable growth process and chemistry.
  • At this point it can be noticed in FIG. 3 that the portion of the initial SiGe layer 14 in the NFET area remains between the dielectric layer 18 and the BOX layer 12. The presence of this portion of the initial thin semiconductor (e.g., SiGe) layer 14 (shown with cross-hatching) is undesirable in that it can form a short circuit to a subsequently fabricated NFET. Also, the presence of this portion of the initial thin semiconductor (e.g., SiGe) layer 14 can increase a parasitic capacitance that will be associated with the subsequently fabricated NFET.
  • As will be described below an aspect of this invention is a procedure that removes all or at least a portion of the initial SiGe layer 14 in the NFET area.
  • Continuing now with description of this invention, FIGS. 4 and 4A show a top view and a cross-sectional view, respectively, of the structure after fins are defined. The view of FIG. 4A is taken along the section line ‘A’ shown in FIG. 4. In this example there are two sets of fins, the first set includes fins 20A formed in the Group III-V layer 20 and the second set includes fins 22A formed in the SiGe layer 22. The fins 20A and 22A can each have a height that corresponds to the thickness of the respective layer from which they are formed or, in this example, about 30 nm and about 10-15 nm, respectively. The fin widths can be in a range of, by example, about 5 nm to about 10 nm and they can have any desired length. Although each set of fins 20A and 22A is shown to include three members more or less than three fins can be formed in each set. Fin formation can use any conventional technique, such as selectively masking and etching (e.g., a reactive ion etch (RIE)) with a chemistry selective to the material from which the fins are formed.
  • Note in FIG. 4A that the portion of the initial SiGe layer 14 in the NFET area still remains between the dielectric layer 18 and the BOX layer 12.
  • FIGS. 5, 5A, 5B and 5C show a top view and three cross-sectional views of the structure after gates 24 are defined orthogonally to the fins 20A and 20B. The view of FIG. 5A is taken along the section line ‘A’ shown in FIG. 5 (through a gate 24), the view of FIG. 5B is taken along the section line ‘B’ shown in FIG. 5 (through a fin 22A), and the view of FIG. 5C is taken along the section line ‘C’ shown in FIG. 5 (through a fin 20A). The gates 24 can be ‘final’ metal gates or they can be gate electrode placeholder or gate precursors or ‘dummy’ gates that are subsequently removed during a replacement gate process. Where a gate 24 intersects a fin 20A or 22A it covers the fin on the top and opposing side surfaces as shown in FIG. 5A.
  • When the gates 24 are placeholder or precursor or ‘dummy’ gates they can be subsequently removed by a dry etch or wet etch process. In one embodiment the gate electrode precursor can be comprised of polycrystalline silicon or amorphous silicon and can be removed for example with a dry etch process comprising SF6. In another embodiment the gate electrode precursor can be comprised of polycrystalline silicon or amorphous silicon and can be removed, for example, with a wet etch process comprising aqueous NH4OH or tetramethylammonium hydroxide. In an embodiment the gate electrode precursor can be comprised of silicon dioxide and can be removed, by example, with a wet etch comprising aqueous hydrofluoric acid, ammonium fluoride or both. In one embodiment the gate electrode precursor can be comprised of silicon nitride and can be removed, for example, with a wet etch comprising aqueous phosphoric acid.
  • When the gates 24 are ‘final’, metal gates they could be formed by gate dielectric deposition followed by gate metal deposition. For example, the gate dielectric can be formed as a layer of high dielectric constant (high-k) material comprising a dielectric metal oxide and having a dielectric constant that is greater than the dielectric constant of silicon nitride of 7.5. The high-k dielectric layer may be formed by methods well known in the art including, for example, chemical vapor deposition (CVD), atomic layer deposition (ALD), molecular beam deposition (MBD), pulsed laser deposition (PLD), liquid source misted chemical deposition (LSMCD), etc. The dielectric metal oxide comprises a metal and oxygen, and optionally nitrogen and/or silicon. Exemplary high-k dielectric materials include HfO2, ZrO2, La2O3, Al2O3, TiO2, SrTiO3, LaAlO3, Y2O3, HfOxNy, ZrOxNy, La2OxNy, Al2ONy, TiOxNy, SrTiOxNy, LaAlOxNy, Y2OxNy, a silicate thereof, and an alloy thereof. Each value of x is independently from 0.5 to 3 and each value of y is independently from 0 to 2. The thickness of the high-k dielectric layer may be from 1 nm to 10 nm, and more preferably from about 1.5 nm to about 3 nm. The high-k dielectric layer can have an effective oxide thickness (EOT) on the order of, or less than, about 1 nm. The gate metal can be deposited directly on the top surface of the high-k dielectric layer by, for example, chemical vapor deposition (CVD), physical vapor deposition (PVD), or atomic layer deposition (ALD). As non-limiting examples the gate metal can include a metal system selected from one or more of TiN, TiC, TaN, TaC, TaSiN, HfN, W, Al and Ru, and may be selected at least in part based on the desired work function (WF) of the device (NFET or PFET).
  • Note in FIGS. 5A and 5C that the portion of the initial SiGe layer 14 in the NFET area still remains between the dielectric layer 18 and the BOX layer 12.
  • In accordance with an aspect of this invention FIGS. 6, 6A, 6B and 6C show a top view and three cross-sectional views of the structure after the SiGe layer 14 in the NFET area is removed. The view of FIG. 6A is taken along the section line ‘A’ shown in FIG. 6 (through a gate 24), the view of FIG. 6B is taken along the section line ‘B’ shown in FIG. 6 (through a fin 22A), and the view of FIG. 6C is taken along the section line ‘C’ shown in FIG. 6 (through a fin 20A). The resulting intermediate structure thus has a void 30 in the NFET area between the BOX layer 12 and the overlying dielectric layer 18.
  • In this embodiment the PFET area is masked, e.g., with resist, and the SiGe layer 14 is entirely or almost entirely removed using an etching process that is selective to only the SiGe layer 14. Suitable etchants for SiGe include, but are not limited to, NH4OH, H2O2, HCl and HBr. If the layer 14 is comprised of, e.g., Ge then one suitable and non-limiting etching process uses XeF2 that is performed at about room temperature for a period of about 1 second to about 5 minutes.
  • FIGS. 7, 7A, 7B and 7C show a top view and three cross-sectional views of the structure after the formation of dielectric spacers 26 on the gates (gate structures) 24. As before, the view of FIG. 7A is taken along the section line ‘A’ shown in FIG. 7, the view of FIG. 7B is taken along the section line ‘B’ shown in FIG. 7, and the view of FIG. 7C is taken along the section line ‘C’ shown in FIG. 7. The spacers 26 can be formed by, for example, a conformal deposition process such as the chemical vapor deposition (CVD) or the molecular layer deposition (MLD) of silicon oxide or more preferably silicon nitride on the gates 24. The spacers 26 are formed generally along the sidewalls of the gate structures 24. Further in accordance with an aspect of this invention the conformal deposition of the dielectric spacer material also results in the void 30 being filled with the selected spacer material so as to form an insulating dielectric layer 32 (as opposed to the semiconductor layer 14) that is interposed between the BOX layer 12 and the overlying dielectric layer 18.
  • The formation of the insulating dielectric layer 32 beneficially reduces the probability of an electrical short circuit forming beneath the NFET while also reducing parasitic capacitance that may be associated with the NFET.
  • Processing can then continue in a conventional fashion, for example, by growing epitaxial extension/source/drains on the NFET and the PFET and depositing a contact inter-layer dielectric (ILD) layer followed by planarization. For the replacement gate process the precursor or dummy gate structures are first replaced with metal gates over a high-k dielectric layer (as was mentioned above). Apertures are opened in the ILD layer and suitable contact metallization is then applied to the source, drain and the gate (stack/structure) of each of the FINFETs thus formed.
  • FIGS. 8, 9 and 10 are each a cross-sectional view taken through the NFET area (corresponding to the views of FIG. 7C), where each of these figures represents an alternative embodiment. These FIGURES show more clearly an active island or mesa 40 disposed on the BOX layer 12 containing the fins, gates and related structures discussed above.
  • The embodiment of FIG. 8 shows that the SiGe layer 14 is only partially removed from between the BOX layer 12 and the overlying dielectric layer 18. The removal occurs at the edges of the island 40 and the lateral extent of the removed material (void) can be controlled by controlling the duration of the etch time (reducing the etch time). In this embodiment the step of depositing the spacers 26 also then conformally fills the voids formed at edges of the active island 40 while the remaining material of the SiGe layer 14 remains in place.
  • This embodiment (as well as the embodiments of FIGS. 9 and 10) can be useful since typically the likelihood is greater that a short circuit will develop in the SiGe layer 14 at or near the edges of the active island or mesa 40.
  • The embodiment of FIG. 9 illustrates that the SiGe layer 14 is not removed from between the between the BOX layer 12 and the overlying dielectric layer 18. In this embodiment the step of depositing the spacers 26, or a separate spacer deposition step, forms spacers 34 at the edges of the active island 40 thereby reducing the likelihood of a short circuit developing at the edges of the active island or mesa 40.
  • The embodiment of FIG. 10 illustrates a hybrid approach to the embodiments of FIGS. 8 and 9, where the SiGe layer 14 is only partially removed from between the BOX layer 12 and the overlying dielectric layer 18, where the resulting void at the edges of the active island 40 is filled with the spacer material 32, and where the spacers 34 of FIG. 9 are grown at the edges of the active island 40.
  • It is to be understood that the exemplary embodiments discussed above with reference to FIGS. 1-10 can be used on common variants of FET devices including, e.g., FET devices with multi-fingered FIN and/or gate structures as well as FET devices of varying gate width and length.
  • Integrated circuit dies can be fabricated with various devices such as a field-effect transistors, bipolar transistors, metal-oxide-semiconductor transistors, diodes, resistors, capacitors, inductors, etc. An integrated circuit in accordance with the present invention can be employed in applications, hardware, and/or electronic systems. Suitable hardware and systems in which such integrated circuits can be incorporated include, but are not limited to, personal computers, communication networks, electronic commerce systems, portable communications devices (e.g., cell phones), solid-state media storage devices, functional circuitry, etc. Systems and hardware incorporating such integrated circuits are considered part of this invention. Given the teachings of the invention provided herein, one of ordinary skill in the art will be able to contemplate other implementations and applications of the techniques of the invention.
  • The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of the invention. As used herein, the singular forms “a”, “an” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms “comprises” and/or “comprising,” when used in this specification, specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, and/or groups thereof.
  • The corresponding structures, materials, acts, and equivalents of all means or step plus function elements in the claims below are intended to include any structure, material, or act for performing the function in combination with other claimed elements as specifically claimed. The description of the present invention has been presented for purposes of illustration and description, but is not intended to be exhaustive or limited to the invention in the form disclosed. Many modifications and variations will be apparent to those of ordinary skill in the art without departing from the scope and spirit of the invention. The embodiment was chosen and described in order to best explain the principles of the invention and the practical application, and to enable others of ordinary skill in the art to understand the invention for various embodiments with various modifications as are suited to the particular use contemplated.
  • As such, various modifications and adaptations may become apparent to those skilled in the relevant arts in view of the foregoing description, when read in conjunction with the accompanying drawings and the appended claims. As but some examples, the use of other similar or equivalent semiconductor fabrication processes, including deposition processes and etching processes, may be used by those skilled in the art. Further, the exemplary embodiments are not intended to be limited to only those semiconductor materials, metals, insulators, layer thicknesses and the like that were specifically disclosed above. Any and all such and similar modifications of the teachings of this invention will still fall within the scope of this invention.

Claims (13)

1. A method, comprising:
providing a structure comprised of a substrate, a first electrically insulating layer overlying a surface of the substrate, a first semiconductor layer comprised of a first semiconductor material overlying the first electrically insulating layer, a second electrically insulating layer overlying the first semiconductor layer in a first portion of the structure, and a second semiconductor layer comprised of a second semiconductor material different from the first semiconductor material overlying the second electrically insulating layer in the first portion;
growing additional first semiconductor material on the first semiconductor layer in a second portion of the structure to form a regrown semiconductor layer;
forming fins in the regrown semiconductor layer and in the second semiconductor layer;
forming gate structures orthogonal to the fins;
removing at least a portion of the first semiconductor layer in the first portion of the structure between the first electrically insulating layer and the second electrically insulating layer to form a void, where the step of removing is performed subsequent to the step of forming the gate structures; and
filling the void with an electrically insulating material.
2. The method of claim 1, where providing the structure comprises bonding a first structure comprised of the substrate, the first electrically insulating layer and the first semiconductor layer to a second structure comprising the second electrically insulating layer and the second semiconductor layer.
3. The method of claim 2, where providing the structure further comprises removing the second electrically insulating layer and the second semiconductor layer in the second portion to expose the first semiconductor layer.
4. The method of claim 1, where forming gate structures comprises forming metal gate structures.
5. The method of claim 1, where forming gate structures comprises forming precursor gate structures.
6. The method of claim 1, where removing at least a portion of the first semiconductor layer removes substantially all of the first semiconductor material.
7. A method, comprising:
providing a structure comprised of a substrate, a first electrically insulating layer overlying a surface of the substrate, a first semiconductor layer comprised of a first semiconductor material overlying the first electrically insulating layer, a second electrically insulating layer overlying the first semiconductor layer in a first portion of the structure, and a second semiconductor layer comprised of a second semiconductor material different from the first semiconductor material overlying the second electrically insulating layer in the first portion;
growing additional first semiconductor material on the first semiconductor layer in a second portion of the structure to form a regrown semiconductor layer;
forming fins in the regrown semiconductor layer and in the second semiconductor layer;
forming gate structures orthogonal to the fins;
removing at least a portion of the first semiconductor layer in the first portion of the structure between the first electrically insulating layer and the second electrically insulating layer to form a void; and
filling the void with an electrically insulating material;
where removing at least a portion of the first semiconductor layer removes the first semiconductor material around edges of an active island to form the void under edges of the active island, and where filling the void with an electrically insulating material fills the void around the edges of the active island.
8. A method, comprising:
providing a structure comprised of a substrate, a first electrically insulating layer overlying a surface of the substrate, a first semiconductor layer comprised of a first semiconductor material overlying the first electrically insulating layer, a second electrically insulating layer overlying the first semiconductor layer in a first portion of the structure, and a second semiconductor layer comprised of a second semiconductor material different from the first semiconductor material overlying the second electrically insulating layer in the first portion;
growing additional first semiconductor material on the first semiconductor layer in a second portion of the structure to form a regrown semiconductor layer; forming fins in the regrown semiconductor layer and in the second semiconductor layer;
forming gate structures orthogonal to the fins;
removing at least a portion of the first semiconductor layer in the first portion of the structure between the first electrically insulating layer and the second electrically insulating layer to form a void; and
filling the void with an electrically insulating material;
where the step of filling the void is performed simultaneously with depositing spacers on sidewalls of the gate structures, where the spacers are comprised of the electrically insulating material.
9. The method of claim 1, further comprising forming a spacer around edges of an active island to cover edges of the electrically insulating material that fills the void.
10. The method of claim 7, further comprising forming a spacer around the edges of the active island to cover edges of the electrically insulating material that fills the void.
11. The method of claim 1, where the first semiconductor material is comprised of SixGe1−x where x=0 to 1, and where the second semiconductor material is comprised of a Group III-V semiconductor material.
12. The method of claim 1, where the first semiconductor material is one selected to exhibit a higher mobility for holes relative to the second semiconductor material, where the second semiconductor material is one selected to exhibit a higher mobility for electrons relative to the first semiconductor material, and where the first portion is a portion in which an NFET is to be formed, and where the second portion is a portion of the structure in which a PFET is to be formed.
13-20. (canceled)
US14/177,447 2014-02-11 2014-02-11 Method to form group III-V and Si/Ge FINFET on insulator Expired - Fee Related US9123585B1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US14/177,447 US9123585B1 (en) 2014-02-11 2014-02-11 Method to form group III-V and Si/Ge FINFET on insulator
US14/711,019 US9252157B2 (en) 2014-02-11 2015-05-13 Method to form group III-V and Si/Ge FINFET on insulator and integrated circuit fabricated using the method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/177,447 US9123585B1 (en) 2014-02-11 2014-02-11 Method to form group III-V and Si/Ge FINFET on insulator

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US14/711,019 Division US9252157B2 (en) 2014-02-11 2015-05-13 Method to form group III-V and Si/Ge FINFET on insulator and integrated circuit fabricated using the method

Publications (2)

Publication Number Publication Date
US20150228669A1 true US20150228669A1 (en) 2015-08-13
US9123585B1 US9123585B1 (en) 2015-09-01

Family

ID=53775632

Family Applications (2)

Application Number Title Priority Date Filing Date
US14/177,447 Expired - Fee Related US9123585B1 (en) 2014-02-11 2014-02-11 Method to form group III-V and Si/Ge FINFET on insulator
US14/711,019 Active US9252157B2 (en) 2014-02-11 2015-05-13 Method to form group III-V and Si/Ge FINFET on insulator and integrated circuit fabricated using the method

Family Applications After (1)

Application Number Title Priority Date Filing Date
US14/711,019 Active US9252157B2 (en) 2014-02-11 2015-05-13 Method to form group III-V and Si/Ge FINFET on insulator and integrated circuit fabricated using the method

Country Status (1)

Country Link
US (2) US9123585B1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150371889A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. Methods for shallow trench isolation formation in a silicon germanium layer
WO2017039542A1 (en) * 2015-09-04 2017-03-09 Nanyang Technological University Method of encapsulating a substrate

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9299787B1 (en) 2014-09-29 2016-03-29 International Business Machines Corporation Forming IV fins and III-V fins on insulator
KR102466356B1 (en) 2017-08-30 2022-11-15 삼성전자주식회사 Semiconductor devices and method of fabricating the same

Citations (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040108559A1 (en) * 2002-10-02 2004-06-10 Renesas Technology Corp. Insulated-gate field-effect transistor, method of fabricating same, and semiconductor device employing same
US20040217434A1 (en) * 2003-05-02 2004-11-04 Lee Sung-Young Integrated circuit devices having an epitaxial pattern with a void region formed therein and methods of forming the same
US20040227187A1 (en) * 2003-02-13 2004-11-18 Zhiyuan Cheng Integrated semiconductor device and method to make same
US20050118783A1 (en) * 2003-11-27 2005-06-02 Chang-Woo Oh Methods of fabricating semiconductor-on-insulator (SOI) substrates and semiconductor devices using sacrificial layers and void spaces, and SOI substrates and devices fabricated thereby
US20050130358A1 (en) * 2003-12-12 2005-06-16 Dureseti Chidambarrao Strained finFETs and method of manufacture
US20050224875A1 (en) * 2004-03-31 2005-10-13 International Business Machines Corporation High mobility plane cmos soi
US20050239242A1 (en) * 2004-04-23 2005-10-27 International Business Machines Corporation structure and method of manufacturing a finFet device having stacked fins
US20060049460A1 (en) * 2004-05-28 2006-03-09 Hung-Wei Chen CMOS logic gate fabricated on hybrid crystal orientations and method of forming thereof
US20060113603A1 (en) * 2004-12-01 2006-06-01 Amberwave Systems Corporation Hybrid semiconductor-on-insulator structures and related methods
US20060170045A1 (en) * 2005-02-01 2006-08-03 Jiang Yan Semiconductor method and device with mixed orientation substrate
US20060292770A1 (en) * 2005-06-23 2006-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS on SOI substrates with hybrid crystal orientations
US20070281446A1 (en) * 2006-05-31 2007-12-06 Winstead Brian A Dual surface SOI by lateral epitaxial overgrowth
US20080227241A1 (en) * 2007-03-12 2008-09-18 Yukio Nakabayashi Method of fabricating semiconductor device
US20090159972A1 (en) * 2007-12-19 2009-06-25 Interuniversitair Microelektronica Centrum Vzw (Imec) Method of fabricating multi-gate semiconductor devices with improved carrier mobility
US7754560B2 (en) * 2006-01-10 2010-07-13 Freescale Semiconductor, Inc. Integrated circuit using FinFETs and having a static random access memory (SRAM)
US20130075818A1 (en) * 2011-09-23 2013-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. 3D Semiconductor Device and Method of Manufacturing Same
US8466012B1 (en) * 2012-02-01 2013-06-18 International Business Machines Corporation Bulk FinFET and SOI FinFET hybrid technology
US20130175618A1 (en) * 2012-01-05 2013-07-11 International Business Machines Corporation Finfet device
US20130320294A1 (en) * 2011-12-23 2013-12-05 Annalisa Cappellani Common-substrate semiconductor devices having nanowires or semiconductor bodies with differing material orientation or composition
US20130337637A1 (en) * 2012-06-18 2013-12-19 International Business Machines Corporation Strained silicon and strained silicon germanium on insulator metal oxide semiconductor field effect transistors (mosfets)
US20140008730A1 (en) * 2012-07-03 2014-01-09 Imec Complementary Metal-Oxide-Semiconductor Device Comprising Silicon and Germanium and Method for Manufacturing Thereof
US20140070322A1 (en) * 2012-09-13 2014-03-13 Globalfoundries Inc. Methods of forming different finfet devices with different threshold voltages and integrated circuit products containing such devices
US20140091392A1 (en) * 2011-06-10 2014-04-03 Sumitomo Chemical Company, Limited Semiconductor device, semiconductor wafer, method for producing semiconductor wafer, and method for producing semiconductor device
US20140217467A1 (en) * 2013-02-04 2014-08-07 Globalfoundries Inc. Methods of forming substrates comprised of different semiconductor materials and the resulting device
US20140339643A1 (en) * 2013-05-17 2014-11-20 International Busienss Machines Corporation Finfet structures having silicon germanium and silicon fins
US20150021699A1 (en) * 2013-07-18 2015-01-22 International Business Machines Corporation FIN Field Effect Transistors Having Multiple Threshold Voltages

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6855582B1 (en) 2003-06-12 2005-02-15 Advanced Micro Devices, Inc. FinFET gate formation using reverse trim and oxide polish
US6835618B1 (en) 2003-08-05 2004-12-28 Advanced Micro Devices, Inc. Epitaxially grown fin for FinFET
US7291886B2 (en) 2004-06-21 2007-11-06 International Business Machines Corporation Hybrid substrate technology for high-mobility planar and multiple-gate MOSFETs
US6949768B1 (en) 2004-10-18 2005-09-27 International Business Machines Corporation Planar substrate devices integrated with finfets and method of manufacture
US7393733B2 (en) * 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
US7535089B2 (en) 2005-11-01 2009-05-19 Massachusetts Institute Of Technology Monolithically integrated light emitting devices
US7932123B2 (en) 2006-09-20 2011-04-26 The Board Of Trustees Of The University Of Illinois Release strategies for making transferable semiconductor structures, devices and device components
KR101263648B1 (en) 2007-08-31 2013-05-21 삼성전자주식회사 Fin field effect transistor and method of manufacturing the same
US7736965B2 (en) 2007-12-06 2010-06-15 International Business Machines Corporation Method of making a FinFET device structure having dual metal and high-k gates
KR20110114577A (en) 2008-12-17 2011-10-19 알타 디바이씨즈, 인크. Tape-based epitaxial lift off apparatuses and methods
EP2401768A4 (en) 2009-02-27 2013-07-17 Alta Devices Inc Tiled substrates for deposition and epitaxial lift off processes
US7993999B2 (en) * 2009-11-09 2011-08-09 International Business Machines Corporation High-K/metal gate CMOS finFET with improved pFET threshold voltage
US8492234B2 (en) * 2010-06-29 2013-07-23 International Business Machines Corporation Field effect transistor device
US8525228B2 (en) 2010-07-02 2013-09-03 The Regents Of The University Of California Semiconductor on insulator (XOI) for high performance field effect transistors
US8610172B2 (en) * 2011-12-15 2013-12-17 International Business Machines Corporation FETs with hybrid channel materials
EP2834850B1 (en) 2012-04-04 2020-10-14 Massachusetts Institute of Technology Monolithic integration of cmos and non-silicon devices
CN103021927B (en) 2012-12-26 2015-03-18 中国科学院上海微系统与信息技术研究所 Hybrid coplanar SOI (silicon-on-insulator) substrate structure and preparation method thereof
CN103021815B (en) 2012-12-26 2015-06-24 中国科学院上海微系统与信息技术研究所 Hybrid coplanar substrate structure and preparation method thereof
US9330908B2 (en) * 2013-06-25 2016-05-03 Globalfoundries Inc. Semiconductor structure with aspect ratio trapping capabilities
US9396931B2 (en) * 2013-08-01 2016-07-19 Qualcomm Incorporated Method of forming fins from different materials on a substrate

Patent Citations (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040108559A1 (en) * 2002-10-02 2004-06-10 Renesas Technology Corp. Insulated-gate field-effect transistor, method of fabricating same, and semiconductor device employing same
US20040227187A1 (en) * 2003-02-13 2004-11-18 Zhiyuan Cheng Integrated semiconductor device and method to make same
US20040217434A1 (en) * 2003-05-02 2004-11-04 Lee Sung-Young Integrated circuit devices having an epitaxial pattern with a void region formed therein and methods of forming the same
US20050118783A1 (en) * 2003-11-27 2005-06-02 Chang-Woo Oh Methods of fabricating semiconductor-on-insulator (SOI) substrates and semiconductor devices using sacrificial layers and void spaces, and SOI substrates and devices fabricated thereby
US20050130358A1 (en) * 2003-12-12 2005-06-16 Dureseti Chidambarrao Strained finFETs and method of manufacture
US20050224875A1 (en) * 2004-03-31 2005-10-13 International Business Machines Corporation High mobility plane cmos soi
US20050239242A1 (en) * 2004-04-23 2005-10-27 International Business Machines Corporation structure and method of manufacturing a finFet device having stacked fins
US20060049460A1 (en) * 2004-05-28 2006-03-09 Hung-Wei Chen CMOS logic gate fabricated on hybrid crystal orientations and method of forming thereof
US20060113603A1 (en) * 2004-12-01 2006-06-01 Amberwave Systems Corporation Hybrid semiconductor-on-insulator structures and related methods
US20060170045A1 (en) * 2005-02-01 2006-08-03 Jiang Yan Semiconductor method and device with mixed orientation substrate
US20060292770A1 (en) * 2005-06-23 2006-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS on SOI substrates with hybrid crystal orientations
US7754560B2 (en) * 2006-01-10 2010-07-13 Freescale Semiconductor, Inc. Integrated circuit using FinFETs and having a static random access memory (SRAM)
US20070281446A1 (en) * 2006-05-31 2007-12-06 Winstead Brian A Dual surface SOI by lateral epitaxial overgrowth
US20080227241A1 (en) * 2007-03-12 2008-09-18 Yukio Nakabayashi Method of fabricating semiconductor device
US20090159972A1 (en) * 2007-12-19 2009-06-25 Interuniversitair Microelektronica Centrum Vzw (Imec) Method of fabricating multi-gate semiconductor devices with improved carrier mobility
US20140091392A1 (en) * 2011-06-10 2014-04-03 Sumitomo Chemical Company, Limited Semiconductor device, semiconductor wafer, method for producing semiconductor wafer, and method for producing semiconductor device
US20130075818A1 (en) * 2011-09-23 2013-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. 3D Semiconductor Device and Method of Manufacturing Same
US20130320294A1 (en) * 2011-12-23 2013-12-05 Annalisa Cappellani Common-substrate semiconductor devices having nanowires or semiconductor bodies with differing material orientation or composition
US20130175618A1 (en) * 2012-01-05 2013-07-11 International Business Machines Corporation Finfet device
US8466012B1 (en) * 2012-02-01 2013-06-18 International Business Machines Corporation Bulk FinFET and SOI FinFET hybrid technology
US20130337637A1 (en) * 2012-06-18 2013-12-19 International Business Machines Corporation Strained silicon and strained silicon germanium on insulator metal oxide semiconductor field effect transistors (mosfets)
US20140008730A1 (en) * 2012-07-03 2014-01-09 Imec Complementary Metal-Oxide-Semiconductor Device Comprising Silicon and Germanium and Method for Manufacturing Thereof
US20140070322A1 (en) * 2012-09-13 2014-03-13 Globalfoundries Inc. Methods of forming different finfet devices with different threshold voltages and integrated circuit products containing such devices
US20140217467A1 (en) * 2013-02-04 2014-08-07 Globalfoundries Inc. Methods of forming substrates comprised of different semiconductor materials and the resulting device
US20140339643A1 (en) * 2013-05-17 2014-11-20 International Busienss Machines Corporation Finfet structures having silicon germanium and silicon fins
US20150021699A1 (en) * 2013-07-18 2015-01-22 International Business Machines Corporation FIN Field Effect Transistors Having Multiple Threshold Voltages

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150371889A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. Methods for shallow trench isolation formation in a silicon germanium layer
WO2017039542A1 (en) * 2015-09-04 2017-03-09 Nanyang Technological University Method of encapsulating a substrate
US10510560B2 (en) 2015-09-04 2019-12-17 Nanyang Technological University Method of encapsulating a substrate
TWI719047B (en) * 2015-09-04 2021-02-21 南洋理工大學 Method of encapsulating a substrate

Also Published As

Publication number Publication date
US9123585B1 (en) 2015-09-01
US20150249100A1 (en) 2015-09-03
US9252157B2 (en) 2016-02-02

Similar Documents

Publication Publication Date Title
US9515090B2 (en) Method to form dual channel group III-V and Si/Ge FINFET CMOS and integrated circuit fabricated using the method
US9978870B2 (en) FinFET with buried insulator layer and method for forming
US9779995B2 (en) Highly scaled tunnel FET with tight pitch and method to fabricate same
US11217450B2 (en) Device with pure silicon oxide layer on silicon-germanium layer
US9240478B2 (en) 3D UTB transistor using 2D material channels
US10790393B2 (en) Utilizing multilayer gate spacer to reduce erosion of semiconductor Fin during spacer patterning
US9472651B2 (en) Spacerless fin device with reduced parasitic resistance and capacitance and method to fabricate same
US9418899B1 (en) Method of multi-WF for multi-Vt and thin sidewall deposition by implantation for gate-last planar CMOS and FinFET technology
US9490332B1 (en) Atomic layer doping and spacer engineering for reduced external resistance in finFETs
US10903365B2 (en) Transistors with uniform source/drain epitaxy
US9252157B2 (en) Method to form group III-V and Si/Ge FINFET on insulator and integrated circuit fabricated using the method
US11031239B2 (en) Germanium nanosheets and methods of forming the same
US9704866B2 (en) Integrated circuit having dual material CMOS integration and method to fabricate same
US9362177B1 (en) Nanowire semiconductor device
US10128238B2 (en) Integrated circuit having oxidized gate cut region and method to fabricate same
WO2023040424A1 (en) Semiconductor structure having bottom isolation and enhanced carrier mobility
KR20230032967A (en) Source drain formation in gate all around transistor

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CZORNOMAZ, LUKAS;FOMPEYRINE, JEAN;LEOBANDUNG, EFFENDI;SIGNING DATES FROM 20140210 TO 20140211;REEL/FRAME:032193/0026

STCF Information on status: patent grant

Free format text: PATENTED CASE

FEPP Fee payment procedure

Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

LAPS Lapse for failure to pay maintenance fees

Free format text: PATENT EXPIRED FOR FAILURE TO PAY MAINTENANCE FEES (ORIGINAL EVENT CODE: EXP.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Expired due to failure to pay maintenance fee

Effective date: 20190901