US20140327065A1 - Conductive layers for hafnium silicon oxynitride films - Google Patents

Conductive layers for hafnium silicon oxynitride films Download PDF

Info

Publication number
US20140327065A1
US20140327065A1 US14/335,453 US201414335453A US2014327065A1 US 20140327065 A1 US20140327065 A1 US 20140327065A1 US 201414335453 A US201414335453 A US 201414335453A US 2014327065 A1 US2014327065 A1 US 2014327065A1
Authority
US
United States
Prior art keywords
layer
dielectric
hafnium
precursor
silicon oxynitride
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/335,453
Inventor
Kie Y. Ahn
Leonard Forbes
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Priority to US14/335,453 priority Critical patent/US20140327065A1/en
Publication of US20140327065A1 publication Critical patent/US20140327065A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/512Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being parallel to the channel plane
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02148Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing hafnium, e.g. HfSiOx or HfSiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • H01L29/7881Programmable transistors with only two possible levels of programmation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • This application relates generally to semiconductor devices and device fabrication.
  • the semiconductor device industry has a market driven need to reduce the size of devices used in products such as processor chips, mobile telephones, and memory devices such as dynamic random access memories (DRAMs).
  • DRAMs dynamic random access memories
  • This device scaling includes scaling dielectric layers in devices such as, for example, capacitors and silicon based metal oxide semiconductor field effect transistors (MOSFETs), which have primarily been fabricated using silicon dioxide.
  • MOSFETs metal oxide semiconductor field effect transistors
  • a thermally grown amorphous SiO 2 layer provides an electrically and thermodynamically stable material, where the interface of the SiO 2 layer with underlying silicon provides a high quality interface as well as superior electrical isolation properties.
  • increased scaling and other requirements in microelectronic devices have created the need to use other materials as dielectric regions in a variety of electronic structures.
  • FIG. 1 illustrates a block diagram of an embodiment of an electronic apparatus having two devices on a substrate, where one device has a tantalum layer contacting a hafnium silicon oxynitride film and the other device has a titanium nitride layer contacting a hafnium silicon oxynitride film.
  • FIG. 2 shows a simplified view of an embodiment of a complementary metal oxide semiconductor transistor having a gate containing a tantalum layer contacting a gate insulator containing a hafnium silicon oxynitride film and a gate containing a titanium nitride layer contacting a gate insulator containing a hafnium silicon oxynitride film.
  • FIG. 3 shows an embodiment of a transistor having a dielectric layer containing a hafnium silicon oxynitride film and having a gate containing a tantalum layer, a titanium nitride layer, or a combination of a tantalum layer and a titanium nitride layer.
  • FIG. 4 shows an embodiment of a floating gate transistor having a dielectric layer containing a hafnium silicon oxynitride film and having a gate containing a tantalum layer, a titanium nitride layer, or a combination of a tantalum layer and a titanium nitride layer.
  • FIG. 5 shows an embodiment of a capacitor having a dielectric layer containing a hafnium silicon oxynitride film and having an electrode containing a tantalum layer, a titanium nitride layer, or a combination of a tantalum layer and a titanium nitride layer.
  • FIG. 6 depicts an embodiment of a dielectric layer having multiple layers including a hafnium silicon oxynitride layer and having a contact containing a tantalum layer, a titanium nitride layer, or a combination of a tantalum layer and a titanium nitride layer.
  • FIG. 7 is a simplified diagram for an embodiment of a controller coupled to an electronic device having a dielectric layer containing a hafnium silicon oxynitride film and having a contact to the dielectric layer, where the contact contains a tantalum layer, a titanium nitride layer, or a combination of a tantalum layer and a titanium nitride layer.
  • FIG. 8 illustrates a diagram for an embodiment of an electronic system having devices with a dielectric film containing a hafnium silicon oxynitride film and having a contact to the dielectric layer, where the contact contains a tantalum layer, a titanium nitride layer, or a combination of a tantalum layer and a titanium nitride layer.
  • wafer and substrate used in the following description include any structure having an exposed surface with which to form an integrated circuit (IC) structure.
  • substrate is understood to include a semiconductor wafer.
  • substrate is also used to refer to semiconductor structures during processing, and may include other layers that have been fabricated thereupon. Both wafer and substrate include doped and undoped semiconductors, epitaxial semiconductor layers supported by a base semiconductor or insulator, as well as other semiconductor structures well known to one skilled in the art.
  • conductor is understood to generally include n-type and p-type semiconductors and the term insulator or dielectric is defined to include any material that is less electrically conductive than the materials referred to as conductors. The following detailed description is, therefore, not to be taken in a limiting sense.
  • the dielectric region typically should have a reduced equivalent oxide thickness (t eq ).
  • the equivalent oxide thickness quantifies the electrical properties, such as capacitance, of the dielectric in terms of a representative physical thickness.
  • t eq is defined as the thickness of a theoretical SiO 2 layer that would be required to have the same capacitance density as a given dielectric, ignoring leakage current and reliability considerations.
  • a SiO 2 layer of thickness, t, deposited on a Si surface will have a t eq larger than its thickness, t.
  • This t eq results from the capacitance in the surface on which the SiO 2 is deposited due to the formation of a depletion/inversion region.
  • This depletion/inversion region can result in t eq being from 3 to 6 Angstroms ( ⁇ ) larger than the SiO 2 thickness, t.
  • the physical thickness requirement for a SiO 2 layer used for a gate dielectric may need to be approximately 4 to 7 ⁇ . Additional requirements on a SiO 2 layer would depend on the electrode used in conjunction with the SiO 2 dielectric.
  • Using a conventional polysilicon electrode may result in an additional increase in t eq for the SiO 2 layer.
  • This additional thickness may be eliminated by using a metal electrode, though such metal electrodes are not universally used for all devices.
  • future devices would be designed towards a physical SiO 2 dielectric layer of about 5 ⁇ or less.
  • Such a small thickness requirement for a SiO 2 oxide layer creates additional problems.
  • Silicon dioxide is used as a dielectric layer in devices, in part, due to its electrical isolation properties in a SiO 2 -Si based structure. This electrical isolation is due to the relatively large band gap of SiO 2 (8.9 eV), making it a good insulator from electrical conduction. Significant reductions in its band gap may eliminate it as a material for a dielectric region in an electronic device. As the thickness of a SiO 2 layer decreases, the number of atomic layers, or monolayers of the material decreases. At a certain thickness, the number of monolayers will be sufficiently small that the SiO 2 layer will not have a complete arrangement of atoms as in a larger or bulk layer.
  • a thin SiO 2 layer of only one or two monolayers may not form a full band gap.
  • the lack of a full band gap in a SiO 2 dielectric may cause an effective short between an underlying Si electrode and an overlying polysilicon electrode.
  • This undesirable property sets a limit on the physical thickness to which a SiO 2 layer can be scaled.
  • the minimum thickness due to this monolayer effect is thought to be about 7-8 ⁇ . Therefore, for future devices to have a t eq less than about 10 ⁇ , other dielectrics than SiO 2 need to be considered for use as a dielectric region in such future devices.
  • materials with a dielectric constant greater than that of SiO 2 will have a physical thickness that can be considerably larger than a desired t eq , while providing the desired equivalent oxide thickness.
  • an alternate dielectric material with a dielectric constant of 10 could have a thickness of about 25.6 ⁇ to provide a t eq of 10 ⁇ , not including any depletion/inversion layer effects.
  • a reduced equivalent oxide thickness for transistors can be realized by using dielectric materials with higher dielectric constants than SiO 2 .
  • the thinner equivalent oxide thickness required for lower device operating voltages and smaller device dimensions may be realized by a significant number of materials, but additional fabricating requirements make determining a suitable replacement for SiO 2 difficult.
  • the current view for the microelectronics industry is still for Si based devices. This may require that the dielectric material employed be grown on a silicon substrate or a silicon layer, which places significant constraints on the substitute dielectric material.
  • the dielectric on the silicon layer there exists the possibility that a small layer of SiO 2 could be formed in addition to the desired dielectric.
  • the result would effectively be a dielectric layer consisting of two sublayers in parallel with each other and the silicon layer on which the dielectric is formed. In such a case, the resulting capacitance would be that of two dielectrics in series.
  • the t eq of the dielectric layer would be the sum of the SiO 2 thickness and a multiplicative factor of the thickness, t, of the dielectric being formed, written as
  • the t eq is again limited by a SiO 2 layer.
  • the t eq would be limited by the layer with the lowest dielectric constant.
  • the layer interfacing with the silicon layer should provide a high quality interface.
  • SiO 2 as a dielectric layer in a device
  • the formation of the SiO 2 layer results in an amorphous dielectric.
  • Having an amorphous structure for a dielectric may reduce problems of leakage current associated with grain boundaries in polycrystalline dielectrics that provide high leakage paths.
  • grain size and orientation changes throughout a polycrystalline dielectric can cause variations in the film's dielectric constant, along with uniformity and surface topography problems.
  • materials having a high dielectric constant relative to SiO 2 also have a crystalline form, at least in a bulk configuration.
  • the best candidates for replacing SiO 2 as a dielectric in a device are those that can be fabricated as a thin layer with an amorphous form and that have high dielectric constants.
  • An embodiment of a method may include forming a hafnium silicon oxynitride film using atomic layer deposition and forming, on the hafnium silicon oxynitride film, a titanium nitride layer or a tantalum layer by atomic layer deposition.
  • Embodiments include structures and methods to form such structures for capacitors, transistors, memory devices, and electronic systems with dielectric layers containing a hafnium silicon oxynitride oxide layer structured as one or more monolayers with a conductive tantalum layer or a conductive titanium nitride layer structured as one or more monolayers.
  • a hafnium silicon oxynitride dielectric film coupled to a titanium nitride layer, a tantalum layer, or a combination of a titanium nitride layer and a tantalum layer may be formed using atomic layer deposition (ALD) including forming the titanium nitride layer and the tantalum layer a by atomic layer deposition.
  • ALD atomic layer deposition
  • Various approaches for forming a hafnium silicon oxynitride dielectric film by atomic layer deposition are discussed in application Ser. No. 10/229,903, entitled “ATOMIC LAYER DEPOSITED HfSiON DIELECTRIC FILMS,” filed on 28 Aug. 2002, which application is incorporated herein by reference.
  • atomic layer deposited hafnium silicon oxynitride dielectric films can have an engineered transition with a substrate surface and with atomic layer deposited conductive layers contacting the hafnium silicon oxynitride dielectric films.
  • ALD also known as atomic layer epitaxy (ALE)
  • ALE atomic layer epitaxy
  • CVD chemical vapor deposition
  • ALD alternatively pulsed-CVD
  • gaseous precursors are introduced one at a time to the substrate surface mounted within a reaction chamber (or reactor). This introduction of the gaseous precursors takes the form of pulses of each gaseous precursor.
  • the precursor gas is made to flow into a specific area or region for a short period of time.
  • the reaction chamber may be purged with a gas, where the purging gas may be an inert gas.
  • the reaction chamber may be evacuated. Between the pulses, the reaction chamber may be purged with a gas and evacuated.
  • CS-ALD chemisorption-saturated ALD
  • the second pulsing phase introduces another precursor on the substrate where the growth reaction of the desired film takes place. Subsequent to the film growth reaction, reaction byproducts and precursor excess are purged from the reaction chamber.
  • precursor pulse times range from about 0.5 sec to about 2 to 3 seconds. Pulse times for purging gases may be significantly longer, for example, pulse times of about 5 to about 30 seconds.
  • ALD ALD
  • the saturation of all the reaction and purging phases makes the growth self-limiting.
  • This self-limiting growth results in large area uniformity and conformality, which has important applications for such cases as planar substrates, deep trenches, and in the processing of porous silicon and high surface area silica and alumina powders.
  • Atomic layer deposition provides control of film thickness in a straightforward manner by controlling the number of growth cycles.
  • the precursors used in an ALD process may be gaseous, liquid or solid. However, liquid or solid precursors should be volatile. The vapor pressure should be high enough for effective mass transportation. Also, solid and some liquid precursors may need to be heated inside the reaction chamber and introduced through heated tubes to the substrates. The necessary vapor pressure should be reached at a temperature below the substrate temperature to avoid the condensation of the precursors on the substrate. Due to the self-limiting growth mechanisms of ALD, relatively low vapor pressure solid precursors can be used, though evaporation rates may vary somewhat during the process because of changes in their surface area.
  • precursors used in ALD there are several other characteristics for precursors used in ALD.
  • the precursors should be thermally stable at the substrate temperature, because their decomposition may destroy the surface control and accordingly the advantages of the ALD method that relies on the reaction of the precursor at the substrate surface. A slight decomposition, if slow compared to the ALD growth, may be tolerated.
  • the precursors should chemisorb on or react with the surface, though the interaction between the precursor and the surface as well as the mechanism for the adsorption is different for different precursors.
  • the molecules at the substrate surface should react aggressively with the second precursor to form the desired solid film. Additionally, precursors should not react with the film to cause etching, and precursors should not dissolve in the film. Using highly reactive precursors in ALD contrasts with the selection of precursors for conventional CVD.
  • the by-products in the reaction should be gaseous in order to allow their easy removal from the reaction chamber. Further, the by-products should not react or adsorb on the surface.
  • RS-ALD reaction sequence ALD
  • the self-limiting process sequence involves sequential surface chemical reactions.
  • RS-ALD relies on chemistry between a reactive surface and a reactive molecular precursor.
  • molecular precursors are pulsed into the ALD reaction chamber separately.
  • a metal precursor reaction at the substrate is typically followed by an inert gas pulse to remove excess precursor and by-products from the reaction chamber prior to pulsing the next precursor of the fabrication sequence.
  • RS-ALD films can be layered in equal metered sequences that may all be identical in chemical kinetics, deposition per cycle, composition, and thickness.
  • RS-ALD sequences generally deposit less than a full layer per cycle. Typically, a deposition or growth rate of about 0.25 to about 2.00 ⁇ per RS-ALD cycle may be realized.
  • RS-ALD Processing by RS-ALD provides continuity at an interface avoiding poorly defined nucleating regions that are typical for chemical vapor deposition ( ⁇ 20 ⁇ ) and physical vapor deposition ( ⁇ 50 ⁇ ), conformality over a variety of substrate topologies due to its layer-by-layer deposition technique, use of low temperature and mildly oxidizing processes, lack of dependence on the reaction chamber, growth thickness dependent solely on the number of cycles performed, and ability to engineer multilayer laminate films with a resolution of one to two monolayers.
  • RS-ALD processes allow for deposition control on the order of monolayers and the ability to deposit monolayers of amorphous films.
  • a sequence refers to the ALD material formation based on an ALD reaction of a precursor with its reactant precursor.
  • forming titanium nitride from a TiCl 4 precursor and NH 3 , as its reactant precursor forms an embodiment of a titanium/nitrogen sequence.
  • a reactant precursor that contains nitrogen is used to supply nitrogen.
  • a precursor that contains nitrogen and that supplies nitrogen to be incorporated in the ALD compound formed which may be used in an ALD process with precursors supplying the other elements in the ALD compound, is referred to as a nitrogen reactant precursor.
  • NH 3 is a nitrogen reactant precursor.
  • an ALD sequence for a binary metal oxide may be referenced with respect to the metal and oxygen.
  • an ALD sequence for hafnium oxide may also be referred to as a hafnium/oxygen sequence.
  • a reactant precursor that contains oxygen is used to supply the oxygen.
  • a precursor that contains oxygen and that supplies oxygen to be incorporated in the ALD compound formed which may be used in an ALD process with precursors supplying the other elements in the ALD compound, is referred to as an oxygen reactant precursor.
  • water vapor is an oxygen reactant precursor.
  • An ALD cycle may include pulsing a precursor, pulsing a purging gas for the precursor, pulsing a reactant precursor, and pulsing the reactant precursor's purging gas.
  • An ALD cycle may include pulsing a precursor, evacuating the reactant chamber, pulsing a reactant precursor, and evacuating the reactant chamber.
  • An ALD cycle may include pulsing a precursor, pulsing a purging gas for the precursor and evacuating the reactant chamber, pulsing a reactant precursor, and pulsing the reactant precursor's purging gas evacuating the reactant chamber.
  • an ALD sequence may deal with pulsing a reactant precursor to the substrate surface on which a metal-containing species has been adsorbed such that the reactant precursor reacts with the metal-containing species resulting in the metal and a gaseous by-product that can be removed during the subsequent purging/evacuating process.
  • an ALD sequence may deal with reacting a precursor containing the metal species with a substrate surface.
  • a cycle for such a metal forming sequence may include pulsing a purging gas after pulsing the precursor containing the metal species to deposit the metal.
  • deposition of a semiconductor material may be realized in a manner similar to forming a layer of a metal, given the appropriate precursors for the semiconductor material.
  • a cycle may include a number of sequences to provide the elements of the compound.
  • a cycle for an ALD formation of an ABO x compound may include sequentially pulsing a first precursor/a purging gas for the first precursor/a first reactant precursor/the first reactant precursor's purging gas/a second precursor/a purging gas for the second precursor/a second reactant precursor/the second reactant precursor's purging gas, which may be viewed as a cycle having two sequences.
  • a cycle may include a number of sequences for element A and a different number of sequences for element B.
  • ALD formation of an ABO x compound uses one precursor that contains the elements A and B, such that pulsing the AB containing precursor followed by its reactant precursor onto a substrate may include a reaction that forms ABO x on the substrate to provide an AB/oxygen sequence.
  • a cycle of an AB/oxygen sequence may include pulsing a precursor containing A and B, pulsing a purging gas for the precursor, pulsing an oxygen reactant precursor to the A/B precursor, and pulsing a purging gas for the reactant precursor.
  • a cycle may be repeated a number of times to provide a desired thickness of the compound.
  • a cycle for an ALD formation of the quaternary compound, hafnium silicon oxynitride may include sequentially pulsing a first precursor/a purging gas for the first precursor/a first reactant precursor/the first reactant precursor's purging gas/a second precursor/a purging gas for the second precursor/a second reactant precursor/the second reactant precursor's purging gas/a third precursor/a purging gas for the third precursor/a third reactant precursor/the third reactant precursor's purging gas, which may be viewed as a cycle having three sequences.
  • a layer substantially of a hafnium silicon oxygen nitrogen compound is formed on a substrate mounted in a reaction chamber using ALD in repetitive hafnium/oxygen and silicon/nitrogen sequences using precursor gases individually pulsed into the reaction chamber.
  • an ALD tantalum sequence may be conducted to form a tantalum layer on the hafnium silicon oxygen nitrogen layer.
  • an ALD titanium/nitrogen sequence may be conducted to form a titanium nitride layer on the hafnium silicon oxygen nitrogen layer.
  • Solid or liquid precursors can be used in an appropriately designed reaction chamber.
  • a hafnium silicon oxynitride layer may be structured as one or more monolayers.
  • a film of hafnium silicon oxynitride, structured as one or more monolayers, may have a thickness that ranges from a monolayer to thousands of angstroms or more.
  • the film may be processed using atomic layer deposition.
  • Embodiments of an atomic layer deposited hafnium silicon oxynitride layer have a larger dielectric constant than silicon dioxide.
  • Such dielectric layers provide a significantly thinner equivalent oxide thickness compared with a silicon oxide layer having the same physical thickness.
  • such dielectric layers provide a significantly thicker physical thickness than a silicon oxide layer having the same equivalent oxide thickness. This increased physical thickness aids in reducing leakage current.
  • a tantalum layer may be structured as one or more monolayers.
  • a layer of tantalum, structured as one or more monolayers may have a thickness that ranges from a monolayer to thousands of angstroms or more.
  • the tantalum film may be processed using atomic layer deposition.
  • a titanium nitride layer may be structured as one or more monolayers.
  • a layer of titanium nitride, structured as one or more monolayers may have a thickness that ranges from a monolayer to thousands of angstroms or more.
  • the titanium nitride film may be processed using atomic layer deposition.
  • hafnium silicon oxynitride is used herein with respect to a compound that essentially consists of hafnium, silicon, oxygen, and nitrogen in a form that may be stoichiometric, non-stoichiometric, or a combination of stoichiometric and non-stoichiometric.
  • a hafnium silicon oxynitride film may also be referred to as a hafnium silicon oxygen nitrogen film.
  • hafnium silicon oxynitride may be formed substantially as stoichiometric hafnium silicon oxynitride.
  • hafnium silicon oxynitride may be formed substantially as a non-stoichiometric hafnium silicon oxynitride. In an embodiment, hafnium silicon oxynitride may be formed substantially as a combination of non-stoichiometric hafnium silicon oxynitride and stoichiometric hafnium silicon oxynitride.
  • a hafnium silicon oxynitride compound may be expressed as HfSiON, HfSiON x , Hf x Si y O z N r , or other equivalent form.
  • HfSiON or its equivalent forms may be used to include a stoichiometric hafnium silicon oxynitride.
  • the expression HfSiON or its equivalent forms may be used to include a non-stoichiometric hafnium silicon oxynitride.
  • the expression HfSiON or its equivalent forms may be used to include a combination of a stoichiometric hafnium silicon oxynitride and a non-stoichiometric hafnium silicon oxynitride.
  • the expression HfO x may be used to include a stoichiometric hafnium oxide.
  • the expression HfO x may be used to include a non-stoichiometric hafnium oxide.
  • the expression HfO x may be used to include a combination of a stoichiometric hafnium oxide and a non-stoichiometric hafnium oxide.
  • Expressions SiO x , SiN r , HfN t , and TiN s may be used in the same manner as HfO x .
  • a hafnium silicon oxynitride film may be doped with elements or compounds other than hafnium, silicon, oxygen, and nitrogen.
  • a HfSiON x film may be structured as one or more monolayers.
  • the HfSiON x film may be constructed using atomic layer deposition.
  • the surface on which the HfSiON x film is to be deposited may undergo a preparation stage.
  • the surface may be the surface of a substrate for an integrated circuit.
  • the substrate used for forming a transistor may include a silicon or silicon containing material.
  • germanium, gallium arsenide, silicon-on-sapphire substrates, or other suitable substrates may be used.
  • a preparation process may include cleaning the substrate and forming layers and regions of the substrate, such as drains and sources, prior to forming a gate dielectric in the formation of a metal oxide semiconductor (MOS) transistor. Alternatively, active regions may be formed after forming the dielectric layer, depending on the over-all fabrication process implemented.
  • the substrate is cleaned to provide an initial substrate depleted of its native oxide.
  • the initial substrate is cleaned also to provide a hydrogen-terminated surface.
  • a silicon substrate undergoes a final hydrofluoric (HF) rinse prior to ALD processing to provide the silicon substrate with a hydrogen-terminated surface without a native silicon oxide layer.
  • HF final hydrofluoric
  • Cleaning immediately preceding atomic layer deposition aids in reducing an occurrence of silicon oxide as an interface between a silicon based substrate and a hafnium silicon oxynitride dielectric formed using the atomic layer deposition process.
  • the material composition of an interface layer and its properties are typically dependent on process conditions and the condition of the substrate before forming the dielectric layer. Though the existence of an interface layer may effectively reduce the dielectric constant associated with the dielectric layer and its substrate interface layer, a SiO 2 interface layer or other composition interface layer may improve the interface density, fixed charge density, and channel mobility of a device having this interface layer.
  • the sequencing of the formation of the regions of an electronic device, such as a transistor, being processed may follow typical sequencing that is generally performed in the fabrication of such devices as is well known to those skilled in the art. Included in the processing prior to forming a dielectric may be the masking of substrate regions to be protected during the dielectric formation, as is typically performed in semiconductor fabrication. In an embodiment, the unmasked region includes a body region of a transistor; however, one skilled in the art will recognize that other semiconductor device structures may utilize this process.
  • a purging gas may be pulsed into the ALD reaction chamber.
  • the ALD reactor chamber may be evacuated using vacuum techniques as is known by those skilled in the art.
  • a purging gas may be pulsed into the ALD reaction chamber and the ALD reactor chamber may be evacuated.
  • alternate layers of hafnium oxide and silicon nitride may be formed by atomic layer deposition.
  • the alternating hafnium oxide and silicon nitride layers may be annealed to form hafnium silicon oxynitride.
  • a hafnium-containing precursor is pulsed onto a substrate in an ALD reaction chamber.
  • a number of precursors containing hafnium may be used to provide the hafnium to a substrate for an integrated circuit.
  • a precursor containing hafnium may include anhydrous hafnium nitride, Hf(NO 3 ) 4 .
  • the substrate temperature may be maintained at a temperature ranging from about 160° C. to about 180° C.
  • a hafnium precursor may include HfCl 4 .
  • the substrate temperature may be maintained at a temperature ranging from about 180° C. to about 600° C. In an embodiment using a HfCl 4 precursor, the substrate temperature may be maintained at a temperature ranging from about 300° C. to about 940° C. In an embodiment, a hafnium precursor may be HfI 4 . In an embodiment using a HfI 4 precursor, the substrate temperature may be maintained at a temperature of about 300° C.
  • Hafnium oxide may be grown by ALD using a Hf[N(CH 3 )(C 2 H 5 )] 4 , which may be known as a homoleptic tetrakis(dialkylamino) hafnium(IV) compound, and water as an oxygen reactant.
  • Hf[N(CH 3 )(C 2 H 5 )] 4 may be known as a homoleptic tetrakis(dialkylamino) hafnium(IV) compound, and water as an oxygen reactant.
  • Other types of tetrakis(dialkylamino) hafnium compounds may also be used, such as hafnium tetrakis dimethylamine, Hf[N(CH 3 ) 2 ] 4 , or hafnium tetrakis diethylamine, Hf[N(C 2 H 5 ) 2 ] 4 , as a hafnium-containing precursor.
  • a reactant precursor may be pulsed into the reaction chamber.
  • the reactant precursor may be an oxygen reactant precursor including, but not limited to, one or more of water vapor, atomic oxygen, molecular oxygen, ozone, hydrogen peroxide, a water-hydrogen peroxide mixture, alcohol, or nitrous oxide.
  • use of the individual hafnium-containing precursors is not limited to the temperature ranges of the above example embodiments. Further, forming hafnium oxide by atomic layer deposition is not limited to the abovementioned precursors.
  • the pulsing of the hafnium precursor may use a pulsing period that provides uniform coverage of a monolayer on the surface or may use a pulsing period that provides partial coverage of a monolayer on the surface during a hafnium sequence.
  • a silicon-containing precursor is pulsed onto a substrate in an ALD reaction chamber.
  • a silicon halide such as SiCl 4
  • Other silicon halides such as SiI 4
  • the substrate may be maintained at a temperature ranging from about 340 EC to about 375 EC at a gas pressure in reaction chamber at about 170 Torr.
  • the substrate may be maintained at a temperature less than 550° C.
  • NH 3 may be used as the nitrogen-containing precursor for a silicon/nitrogen sequence.
  • use of the individual silicon-containing precursors is not limited to the temperature ranges of the above example embodiments. Further, forming silicon nitride by atomic layer deposition is not limited to the abovementioned precursors.
  • the pulsing of the silicon precursor may use a pulsing period that provides uniform coverage of a monolayer on the surface or may use a pulsing period that provides partial coverage of a monolayer on the surface during a silicon sequence.
  • nitrogen may be used as a purging gas and a carrier gas for one or more of the sequences.
  • hydrogen, argon gas, or other inert gases may be used as the purging gas.
  • Excess precursor gas and reaction by-products may be removed by the purge gas.
  • Excess precursor gas and reaction by-products may be removed by evacuation of the reaction chamber using various vacuum techniques.
  • Excess precursor gas and reaction by-products may be removed by the purge gas and by evacuation of the reaction chamber.
  • alternate layers of hafnium nitride and silicon oxide may be formed by atomic layer deposition.
  • the alternating hafnium nitride and silicon oxide layers may be annealed to form hafnium silicon oxynitride.
  • a hafnium-containing precursor is pulsed onto a substrate in an ALD reaction chamber.
  • a number of precursors containing hafnium may be used to provide the hafnium to a substrate for an integrated circuit.
  • the hafnium-containing precursor may be a hafnium halide precursor.
  • a hafnium precursor may include HfCl 4 .
  • the substrate temperature may be maintained at a temperature ranging from about 180° C. to about 600° C.
  • the substrate temperature may be maintained at a temperature ranging from about 300° C. to about 940° C.
  • a hafnium precursor used may be Hfl 4 .
  • the substrate temperature may be maintained at a temperature of about 300° C.
  • the hafnium nitride may be grown by ALD using a Hf[N(CH 3 )(C 2 H 5 )] 4 and ammonia, NH 3 .
  • the substrate may be held at a temperature ranging from about 150° C. to about 300° C.
  • tetrakis(dialkylamino) metal compounds may also be used, such as hafnium tetrakis dimethylamine, Hf[N(CH 3 ) 2 ] 4 , or hafnium tetrakis diethylamine, Hf[N(C 2 H 5 ) 2 ] 4 , as a hafnium-containing precursor with ammonia as a nitrogen reactant precursor.
  • a reactant precursor may be pulsed into the reaction chamber.
  • the reactant precursor may be a nitrogen reactant precursor including, but not limited to, ammonia.
  • use of the individual hafnium-containing precursors is not limited to the temperature ranges of the above embodiments. Further, forming hafnium nitride by atomic layer deposition is not limited to the abovementioned precursors.
  • the pulsing of the hafnium precursor may use a pulsing period that provides uniform coverage of a monolayer on the surface or may use a pulsing period that provides partial coverage of a monolayer on the surface during a hafnium sequence.
  • a silicon-containing precursor is pulsed onto a substrate in an ALD reaction chamber.
  • a silicon halide precursor may be used as the silicon-containing precursor.
  • SiCl 4 is used as the silicon-containing precursor.
  • Other silicon halides, such as SiI 4 may be used.
  • the substrate may be held between about 340° C. and about 375° C.
  • oxygen in the form of O 2 or O 3 , may be used as the precursor acting as an oxidizing reactant to interact at the substrate.
  • the substrate may be raised to a temperature significantly higher than the temperature used during the pulsing of the precursor containing silicon.
  • the temperature for the substrate may be at about 550° C. during the oxygen pulsing.
  • use of the individual silicon-containing precursors is not limited to the temperature ranges of the above embodiments.
  • forming silicon oxide by atomic layer deposition is not limited to the abovementioned precursors.
  • the pulsing of the silicon precursor may use a pulsing period that provides uniform coverage of a monolayer on the surface or may use a pulsing period that provides partial coverage of a monolayer on the surface during a silicon sequence.
  • forming a silicon oxide by atomic layer deposition is conducted after an initial hafnium nitride layer is formed on a silicon-based substrate to limit the size or occurrence of a silicon oxide interface between a HfSiON layer and the silicon-based substrate.
  • Atomic layer deposition of the individual components or layers of HfO x , SiN r , SiO z , and/or HfN t allows for individual control of each precursor pulsed into the reaction chamber.
  • each precursor is pulsed into the reaction chamber for a predetermined period, where the predetermined period can be set separately for each precursor.
  • each precursor may be pulsed into the reaction chamber under separate environmental conditions.
  • the substrate may be maintained at a selected temperature and the reaction chamber maintained at a selected pressure independently for pulsing each precursor. Appropriate temperatures and pressures may be maintained, whether the precursor is a single precursor or a mixture of precursors.
  • the layers of hafnium oxide and silicon nitride and/or layers of hafnium nitride and silicon oxide may be annealed to form hafnium silicon oxynitride.
  • a laminated stack of alternating layers of HfO 2 and Si 2 N 3 are formed prior to annealing.
  • a laminated stack of alternating layers of Hf 3 N 4 and SiO 2 are formed prior to annealing.
  • the order of forming HfO x and SiN r layers may be permutated.
  • the order of forming SiO z and HfN t layers may be permutated.
  • alternating layers of HfO x and SiN r are formed with alternating layers of SiO x and HfN t to form a dielectric stack, which is annealed to form hafnium silicon oxynitride.
  • the dielectric stack may be formed as a layer of hafnium silicon oxynitride.
  • the annealing may be conducted in a nitrogen ambient. In an embodiment, annealing may be conducted in a nitrogen ambient having a small amount of oxygen. However, annealing is not limited to these ambient conditions.
  • a layer of hafnium oxide and a layer of silicon nitride are each grown by atomic layer deposition to a thickness such that annealing these layers at appropriate temperatures essentially converts these layers to a layer of hafnium silicon oxynitride.
  • each layer of HfO x and SiN r may be formed to a thickness of 10 ⁇ or less.
  • the thickness of a hafnium silicon oxynitride film is related to the number of ALD cycles performed and the growth rate associated with forming each layer of HfO x and SiN r .
  • a layer of hafnium nitride and a layer of silicon oxygen are each grown by atomic layer deposition to a thickness such that annealing these layers at appropriate temperatures essentially converts these layers to a layer of hafnium silicon oxynitride.
  • each layer of SiO z and HfN t may be formed to a thickness of 10 ⁇ or less.
  • the thickness of a hafnium silicon oxynitride film is related to the number of ALD cycles performed and the growth rate associated with forming each layer of SiO x and HfN t .
  • a HfSiON film may be grown to a desired thickness by repetition of a process including atomic layer deposition of layers of HfO x and SiN r and/or layers of SiO z and HfN t followed by annealing.
  • a base thickness may be formed according to various embodiments such that forming a predetermined thickness of a HfSiON film may be conducted by forming a number of layers having the base thickness. As can be understood by one skilled in the art, determining the base thickness depends on the application and can be determined during initial processing without undue experimentation.
  • Relative amounts of hafnium, silicon, oxygen, and nitrogen in a HfSiON film may be controlled by regulating the relative thicknesses of the individual layers of oxides and nitrides formed.
  • relative amounts of hafnium, silicon, oxygen, and nitrogen in a HfSiON film may be controlled by forming a layer of HfSiON as multiple layers of different base thickness and by regulating the relative thicknesses of the individual layers of oxides and nitrides formed in each base layer prior to annealing.
  • an ALD cycle for forming HfSiON may include sequencing component-containing precursors in the order of hafnium, oxygen, silicon, and nitrogen with appropriate purging between the different component-containing precursors, in which partial coverage of a monolayer on a substrate surface is attained for pulsing of a metal-containing precursor.
  • An ALD cycle for forming HfSiON may include sequencing the component-containing precursors in various permutations.
  • an ALD cycle to form hafnium silicon oxynitride may include a number, x, of hafnium/oxygen sequences and a number, y, of silicon/nitrogen sequences.
  • the number of sequences x and y may be selected to engineer the relative amounts of hafnium, silicon, oxygen, and nitrogen.
  • the number of sequences x and y may be selected to form a hafnium-rich hafnium silicon oxynitride.
  • the number of sequences x and y may be selected to form a silicon-rich hafnium silicon oxynitride.
  • an ALD cycle to form hafnium silicon oxynitride may include a number, z, of hafnium/nitrogen sequences and a number, r, of silicon/oxygen sequences. The number of sequences z and r may be selected to engineer the relative amounts of hafnium, silicon, oxygen, and nitrogen.
  • the number of sequences z and r may be selected to form a hafnium-rich hafnium silicon oxynitride.
  • the number of sequences z and r may be selected to form a silicon-rich hafnium silicon oxynitride.
  • the thickness of a hafnium silicon oxynitride layer formed by atomic layer deposition may be determined by a fixed growth rate for the pulsing periods and precursors used, set at a value such as N nm/cycle, and the number of cycles conducted.
  • the process may be conducted in an ALD window, which is a range of temperatures in which the growth rate is substantially constant.
  • the ALD process may be conducted at the same set of temperatures for each ALD sequence in the process.
  • t for a desired hafnium silicon oxynitride layer thickness, in an application, the ALD process is repeated for t/N total cycles. Once the t/N cycles have completed, no further ALD processing for the hafnium silicon oxynitride layer is required.
  • a hafnium silicon oxynitride layer processed at relatively low temperatures associated with atomic layer deposition may provide an amorphous layer.
  • a dielectric stack containing a HfSiON x film may include a silicon oxide layer.
  • the dielectric layer may be formed as a nanolaminate.
  • An embodiment of a nanolaminate may include a layer of a hafnium oxide and a HfSiON x film, a layer of silicon nitride and a HfSiON x film, a layer of silicon oxide and a HfSiON x film, layers of hafnium oxide, silicon nitride, and silicon oxide along with a HfSiON x film, or various other combinations.
  • a dielectric layer may be formed substantially as the hafnium silicon oxynitride film.
  • the structure of an interface between a dielectric layer and a substrate on which it is disposed is controlled to limit the inclusion of silicon oxide, since a silicon oxide layer would reduce the effective dielectric constant of the dielectric layer.
  • the material composition and properties for an interface layer may be dependent on process conditions and the condition of the substrate before forming the dielectric layer. Though the existence of an interface layer may effectively reduce the dielectric constant associated with the dielectric layer and its substrate, the interface layer, such as a silicon oxide interface layer or other composition interface layer, may improve the interface density, fixed charge density, and channel mobility of a device having this interface layer.
  • the hafnium silicon oxynitride layer may be doped with other elements.
  • the doping may be employed to enhance the leakage current characteristics of the dielectric layer containing the HfSiON x film by providing a disruption or perturbation of the hafnium silicon oxynitride structure.
  • Such doping may be realized by substituting a sequence of one of these elements for a hafnium sequence, a silicon sequence, or various combinations of sequences.
  • the choice for substitution may depend on the form of the hafnium silicon oxynitride structure with respect to the relative amounts of hafnium atoms and silicon atoms desired in the oxide.
  • the amount of dopants inserted into the oxynitride may be limited to a relatively small fraction of the total number of hafnium and silicon atoms.
  • a HfSiON x film may be engineered to have a dielectric constant, the value of which lies in the range from about 7 to about 14. As the hafnium content increases, the dielectric constant increases such that a value above 20 may be attained for a hafnium-rich HfSiON x film.
  • a dielectric layer containing a hafnium silicon oxynitride layer may have a t eq ranging from about 5 ⁇ to about 20 ⁇ . In an embodiment, a dielectric layer containing a hafnium silicon oxynitride layer may have a t eq of less than 5 ⁇ .
  • a hafnium silicon oxynitride film may be formed with a thickness ranging from a monolayer to thousands of angstroms or more. Further, dielectric films of hafnium silicon oxynitride formed by atomic layer deposition may provide not only thin t eq films, but also films with relatively low leakage current. Additionally, embodiments may be implemented to form transistors, capacitors, memory devices, and other electronic systems including information handling devices.
  • the composition of an HfSiON film relative to the amounts of component elements may be changed to change the characteristics of a HfSiON film including such properties as the work function, electron affinity, and other electrical characteristics.
  • a HfSiON film may be structured to match conductive layers that are formed on the HfSiON to provide electrical contact.
  • a HfSiON film may be formed as a high- ⁇ dielectric insulator with conductive layers selected to provide operating characteristics for the electronic device in which the HfSiON film is disposed.
  • conductive layers are formed to contact a HfSiON film to configure a transistor with an optimum threshold voltage.
  • a gate dielectric having a HfSiON film is coupled to a gate having a tantalum layer. Tantalum as a low work function metal may be used in n-channel MOS (NMOS) transistors with a HfSiON gate dielectric to provide a threshold voltage of around 0.2 or 0.3 Volts.
  • NMOS n-channel MOS
  • a gate dielectric having a HfSiON film may be coupled to a gate having a titanium nitride layer. Titanium nitride having a higher work function than tantalum may be used as a gate in p-channel MOS (PMOS) transistors with a HfSiON gate dielectric to provide a threshold voltage of around 0.2 or 0.3 Volts.
  • PMOS p-channel MOS
  • Titanium nitride may also be used as a gate in a NMOS transistor.
  • tantalum or titanium nitride may be used as capacitor plates in capacitor structures having a capacitor dielectric containing a HfSiON film.
  • the choice of tantalum or titanium nitride may depend on considerations such as reliability, ease of process, and other factors.
  • Embodiments having tunneling structures, such as flash and non-volatile read only memory (NROM) devices choice of tantalum or titanium nitride may depend on the desired tunnel barrier, using a large work function to provide a high barrier to reduce tunneling or using a lower work function to provide a low barrier.
  • a tantalum layer may be formed with a HfSiON x film by atomic layer deposition using a tantalum-containing precursor that is pulsed onto the HfSiON x film in an ALD reaction chamber.
  • a tantalum halide precursor such as TaF 5 or TaCl 5
  • a TaCl 5 precursor may be used with an atomic hydrogen reactant precursor.
  • the atomic hydrogen reactant precursor may be provided using a plasma.
  • the substrate temperature may be held at a temperature ranging from about 250° C. to about 400° C.
  • the hydrogen reactant precursor reacts at the substrate to remove the halogen, which forms the selected tantalum halide precursor, leaving tantalum on the substrate surface.
  • the reaction chamber may be purged of excess precursor and/or by-products.
  • use of the individual tantalum-containing precursors is not limited to the temperature ranges of the above example embodiments. Further, forming tantalum by atomic layer deposition is not limited to the abovementioned precursors.
  • the pulsing of the tantalum precursor may use a pulsing period that provides uniform coverage of a monolayer on the surface or may use a pulsing period that provides partial coverage of a monolayer on the surface.
  • the tantalum layer may be structured as one or more monolayers.
  • the tantalum layer may a thickness ranging from a monolayer to thousands of angstroms or more.
  • a titanium nitride layer may be formed with a HfSiON x film by atomic layer deposition using a titanium-containing precursor that is pulsed onto the HfSiON x film in an ALD reaction chamber.
  • a nitrogen-containing precursor may be used as the reactant precursor for the titanium-containing precursor.
  • the titanium-containing precursor and the nitrogen-containing precursor may be selected such that their use does not form a titanium oxide in the layer of titanium nitride being formed.
  • the titanium-containing precursor and the nitrogen-containing precursor may be selected such that these precursors do not include oxygen as an elemental component.
  • a titanium halide precursor such as TiCl 4 , TiI 4 , or TiF 4 , may be used with NH 3 as a reactant precursor.
  • a TiCl 4 precursor may be used with a NH 3 reactant precursor.
  • the substrate temperature may be held at a temperature ranging from about 380° C. to about 500° C. In an embodiment, the substrate temperature may be held at a temperature less than 600° C.
  • the reaction chamber may be purged of excess precursor and/or by-products.
  • use of the individual titanium-containing precursors is not limited to the temperature ranges of the above example embodiments. Further, forming titanium nitride by atomic layer deposition is not limited to the abovementioned precursors.
  • the pulsing of the titanium precursor may use a pulsing period that provides uniform coverage of a monolayer on the surface or may use a pulsing period that provides partial coverage of a monolayer on the surface.
  • the titanium nitride layer may be structured as one or more monolayers.
  • the titanium nitride layer may a thickness ranging from a monolayer to thousands of angstroms or more.
  • FIG. 1 illustrates a block diagram of an embodiment of an electronic apparatus 100 having two devices 102 , 103 on a substrate 105 , where device 102 has a tantalum layer 112 contacting a hafnium silicon oxynitride film 110 and device 103 has a titanium nitride layer 117 contacting a hafnium silicon oxynitride film 115 .
  • Device 102 may include a transistor with hafnium silicon oxynitride film 110 as a gate dielectric and tantalum layer 112 as part of the corresponding gate.
  • the transistor may be a NMOS transistor.
  • Device 102 may include a capacitor with hafnium silicon oxynitride film 110 as a capacitor dielectric and tantalum layer 112 as part of the capacitor electrode. Such a capacitor may be structured as a DRAM capacitor. Such a capacitor may be structured as a capacitor in analog circuit, a radio frequency (RF) circuit, a mixed signal circuit, or combinations of these circuits. Mixed signal integrated circuits are integrated circuits that may operate with digital and analog signals.
  • Device 103 may include a transistor with hafnium silicon oxynitride film 115 as a gate dielectric and titanium nitride layer 117 as part of the corresponding gate. The transistor may be a PMOS transistor. The transistor may be a NMOS transistor.
  • Device 103 may include a capacitor with hafnium silicon oxynitride film 115 as a capacitor dielectric and titanium nitride layer 117 as part of the capacitor electrode. Such a capacitor may be structured as a DRAM capacitor. Such a capacitor may be structured as a capacitor in analog circuit, a RF circuit, a mixed signal circuit, or combinations of these circuits. In an embodiment, device 103 may be a PMOS transistor and device 102 may be a NMOS transistor in an integrated circuit.
  • FIG. 2 shows a simplified view of an embodiment of a complementary metal oxide semiconductor (CMOS) transistor 201 having a PMOS transistor 203 including a titanium nitride layer and a hafnium silicon oxynitride film and a NMOS transistor 202 including a tantalum layer and a hafnium silicon oxynitride film.
  • CMOS transistor 202 includes source/drain regions 206 , 208 , a gate 212 containing a tantalum layer contacting a gate insulator 210 containing a hafnium silicon oxynitride film.
  • PMOS transistor 203 includes source/drain regions 211 , 213 , a gate 217 containing a titanium nitride layer contacting a gate insulator 215 containing a hafnium silicon oxynitride film.
  • a masking process may be employed such that one HfSiON layer is matched to a Ta gate and the second HfSiON layer is matched to a TiN gate.
  • Such masking processes are known to those skilled in the art.
  • Other arrangements may be used for a CMOS transistor using hafnium silicon oxynitride films with a titanium nitride gate and a tantalum gate.
  • a silicide layer may be selected for use as an electrode with various high-K gate dielectrics
  • work function tuning by selecting the appropriate silicide to control threshold voltage may be limited by Fermi-level pinning.
  • a CMOS structure using hafnium silicon oxynitride films with a titanium nitride gate and a tantalum gate provides a threshold voltage control technique that uses two different conductive layers with different work functions for the PMOS and NMOS transistors of the CMOS structure.
  • the use of two such different conductive layers as gates may be structured to provide substantially symmetrical threshold voltages.
  • a CMOS structure may have symmetrical threshold voltages in the range from about ⁇ 0.3V to about ⁇ 0.5V.
  • Atomic layer deposition may be used to structure the HfSiON layers, the TiN layers, and the Ta layers to provide a high quality high-K dielectric with TiN and Ta contacts and to reduce or minimize process damage in the formation of these layers.
  • TiN and Ta as electrodes for HfSiON films may address the problems associated with gate depletion that is inherent in conventional poly-Si gates and the problems associated with Fermi-level pinning with poly-Si gates on high- ⁇ gate dielectrics.
  • Various embodiments of device structures having ALD-deposited TiN or ALD-deposited Ta as electrodes for ALD-deposited HfSiON films may address problems associated with electron mobilities being significantly less for transistors having metal/high-K structures than for transistors having a poly-Si/SiO 2 structures. Such degradation of electron mobilities may be related to damage caused in typical transistor fabrication in addition to remote coulomb scattering due to a large number of residual charges in the metal electrodes to the high-K dielectric.
  • Fabrication problems in standard processes may include degrading the quality of the gate dielectric as a metal gate is formed on the gate dielectric by physical vapor deposition (PVD).
  • PVD physical vapor deposition
  • dielectric degradation may occur due to plasma radiation and mixing of elements at the metal/high- ⁇ dielectric interface.
  • CVD chemical vapor deposition
  • unwanted residual impurities may be incorporated the metal/high- ⁇ structures.
  • annealing after forming a metal gate in these typical processes may further degrade the high- ⁇ dielectric on which the metal gate is formed due to high temperatures associated with metal annealing, where elements of the high- ⁇ dielectric may diffuse into the metal.
  • Forming a HfSiON film with TiN or Ta electrodes in which all the components are formed by atomic layer deposition may avoid the problems accompanying other deposition techniques.
  • FIG. 3 shows an embodiment of a transistor 300 having a dielectric layer 340 containing a HfSiON x film.
  • Transistor 300 may include a source region 320 and a drain region 330 in a silicon-based substrate 310 where source and drain regions 320 , 330 are separated by a body region 332 .
  • Body region 332 defines a channel having a channel length 334 .
  • a gate dielectric 340 may be disposed on substrate 310 with gate dielectric 340 formed as a dielectric layer containing HfSiON x .
  • Gate dielectric 340 may be realized as a dielectric layer formed substantially of HfSiON x .
  • Gate dielectric 340 may be constructed as multiple dielectric layers, that is, as a dielectric stack, containing at least one HfSiON x film and one or more layers of insulating material other than a hafnium silicon oxynitride film.
  • the HfSiON x film may be structured as one or more monolayers.
  • An embodiment of a HfSiON x film may be formed using atomic layer deposition.
  • gate dielectric 340 may be realized as a gate insulator in a silicon-based CMOS transistor.
  • a gate 350 may be formed over and contact gate dielectric 340 .
  • Gate 350 may include a tantalum layer, a titanium nitride layer, or a combination of a tantalum layer and a titanium nitride layer.
  • Gate 350 may include a tantalum layer structured as one or more monolayers.
  • the tantalum layer may be an ALD tantalum layer.
  • the thickness of the tantalum layer may range from a monolayer to thousands of angstroms or more.
  • Gate 350 may be configured substantially as a tantalum layer.
  • Gate 350 may include a titanium nitride layer structured as one or more monolayers.
  • the titanium nitride layer may be an ALD titanium nitride layer.
  • the thickness of the titanium nitride layer may range from a monolayer to thousands of angstroms or more.
  • Gate 350 may be configured substantially as a titanium nitride layer.
  • An interfacial layer may form between body region and gate dielectric 340 .
  • an interfacial layer may be limited to a relatively small thickness compared to gate dielectric 340 , or to a thickness significantly less than gate dielectric 340 as to be effectively eliminated.
  • Forming the substrate and the source and drain regions may be performed using standard processes known to those skilled in the art. Additionally, the sequencing of the various elements of the process for forming a transistor may be conducted with fabrication processes known to those skilled in the art.
  • Transistor 300 may be an NMOS transistor.
  • Transistor 300 may be a PMOS transistor. Transistor 300 is not limited to the arrangement illustrated in FIG. 3 .
  • Use of a gate dielectric containing hafnium silicon oxynitride is not limited to silicon based substrates, but may be used with a variety of semiconductor substrates.
  • FIG. 4 shows an embodiment of a floating gate transistor 400 having a dielectric layer containing a HfSiON x film.
  • the HfSiON x film may be structured as one or more monolayers.
  • the HfSiON x film may be formed using atomic layer deposition techniques.
  • Transistor 400 may include a silicon-based substrate 410 with a source 420 and a drain 430 separated by a body region 432 . Body region 432 between source 420 and drain 430 defines a channel region having a channel length 434 .
  • Located above body region 432 is a stack 455 including a gate dielectric 440 , a floating gate 452 , a floating gate dielectric 442 , and a control gate 450 .
  • An interfacial layer may form between body region 432 and gate dielectric 440 .
  • an interfacial layer may be limited to a relatively small thickness compared to gate dielectric 440 , or to a thickness significantly less than gate dielectric 440 as to be effectively eliminated.
  • gate dielectric 440 includes a dielectric containing an atomic layer deposited HfSiON x film formed in embodiments similar to those described herein. Gate dielectric 440 may be realized as a dielectric layer formed substantially of HfSiON x . Gate dielectric 440 may be a dielectric stack containing at least one HfSiON x film and one or more layers of other insulating materials.
  • floating gate 452 may be formed over and contact gate dielectric 440 .
  • Floating gate 452 may include a tantalum layer, a titanium nitride layer, or a combination of a tantalum layer and a titanium nitride layer.
  • Floating gate 452 may include a tantalum layer structured as one or more monolayers.
  • the tantalum layer may be an ALD tantalum layer.
  • the thickness of the tantalum layer may range from a monolayer to thousands of angstroms or more.
  • Floating gate 452 may be configured substantially as a tantalum layer.
  • Floating gate 452 may include a titanium nitride layer structured as one or more monolayers.
  • the titanium nitride layer may be an ALD titanium nitride layer.
  • the thickness of the titanium nitride layer may range from a monolayer to thousands of angstroms or more.
  • Floating gate 452 may be configured substantially as a titanium nitride layer.
  • floating gate dielectric 442 includes a dielectric containing a HfSiON x film.
  • the HfSiON x film may be structured as one or more monolayers.
  • the HfSiON x may be formed using atomic layer deposition techniques.
  • Floating gate dielectric 442 may be realized as a dielectric layer formed substantially of HfSiON x .
  • Floating gate dielectric 442 may be a dielectric stack containing at least one HfSiON x film and one or more layers of other insulating materials.
  • control gate 450 may be formed over and contact floating gate dielectric 442 .
  • Control gate 450 may include a tantalum layer, a titanium nitride layer, or a combination of a tantalum layer and a titanium nitride layer.
  • Control gate 450 may include a tantalum layer structured as one or more monolayers.
  • the tantalum layer may be an ALD tantalum layer.
  • the thickness of the tantalum layer may range from a monolayer to thousands of angstroms or more.
  • Control gate 450 may be configured substantially as a tantalum layer.
  • Control gate 450 may include a titanium nitride layer structured as one or more monolayers.
  • the titanium nitride layer may be an ALD titanium nitride layer.
  • the thickness of the titanium nitride layer may range from a monolayer to thousands of angstroms or more.
  • Control gate 450 may be configured substantially as a titanium nitride layer.
  • both gate dielectric 440 and floating gate dielectric 442 may be formed as dielectric layers containing a HfSiON x film structured as one or more monolayers.
  • Control gate 450 and floating gate 452 may be formed as conductive layers containing a Ta layer, a TiN s layer, or a combination of a Ta layer and a TiN s layer with each layer structured as one or more monolayers.
  • Gate dielectric 440 , floating gate dielectric 442 , control gate 450 , and floating gate 452 may be realized by embodiments similar to those described herein, with the remaining elements of the transistor 400 formed using processes known to those skilled in the art.
  • gate dielectric 440 forms a tunnel gate insulator and floating gate dielectric 442 forms an inter-gate insulator in flash memory devices, where gate dielectric 440 and floating gate dielectric 442 may include a hafnium silicon oxynitride film structured as one or more monolayers.
  • Floating gate transistor 400 is not limited to the arrangement illustrated in FIG. 4 . Such structures are not limited to silicon-based substrates, but may be used with a variety of semiconductor substrates.
  • Embodiments of a hafnium silicon oxynitride film structured as one or more monolayers having a Ta or a TiN s electrode structured as one or more monolayers may also be applied to capacitors in various integrated circuits, memory devices, and electronic systems.
  • a method includes forming a first conductive layer 510 , forming a dielectric layer 520 containing a hafnium silicon oxynitride film structured as one or more monolayers on first conductive layer 510 , and forming a second conductive layer 530 on dielectric layer 520 .
  • Second conductive layer 530 , first conductive layer 510 or both second and first conductive layers 530 , 510 may include a Ta layer, a TiN s layer, or combination of a Ta layer and a TiN s layer.
  • Dielectric layer 520 , containing a HfSiON x film, and conductive layers 510 , 520 may be formed using various embodiments described herein.
  • Dielectric layer 520 may be realized as a dielectric layer formed substantially of HfSiON x .
  • Dielectric layer 520 may be a dielectric stack containing at least one HfSiON x film and one or more layers of other insulating materials.
  • Embodiments for a hafnium silicon oxynitride film having a Ta conductive layer, a TiN s conductive layer, or a combination of a Ta conductive layer and a TiN s conductive layer structured as one or more monolayers may include, but are not limited to, a capacitor in a DRAM and capacitors in analog, radio frequency (RF), and mixed signal integrated circuits.
  • RF radio frequency
  • FIG. 6 depicts an embodiment of a dielectric structure 600 having multiple dielectric layers 605 - 1 , 605 - 2 , . . . 605 -N, in which at least one layer is a hafnium silicon oxynitride layer.
  • Layers 610 and 620 may provide means to contact dielectric layers 605 - 1 , 605 - 2 , . . . 605 -N.
  • Each layer 610 , 620 or both layers may be conductive layers containing a Ta layer, a TiN s layer, or a combination of a Ta layer and a TiN s layer.
  • Layers 610 and 620 may be electrodes forming a capacitor.
  • Layer 610 may be a body region of a transistor with layer 620 being a gate.
  • Layer 610 may be a floating gate electrode with layer 620 being a control gate.
  • dielectric structure 600 includes one or more layers 605 - 1 , 605 - 2 . . . 605 -N as dielectric layers other than a HfSiON layer, where at least one layer is a HfSiON layer.
  • Dielectric layers 605 - 1 , 605 - 2 . . . 605 -N may include a HfO x layer, a SiN r layer, a SiO z layer, a HfSiO layer, a SiON layer, or various combinations of these layers.
  • 605 -N may include an insulating metal oxide layer, whose metal is selected to be a metal different from hafnium.
  • Dielectric layers 605 - 1 , 605 - 2 , . . . 605 -N may include an insulating nitride layer.
  • Dielectric layers 605 - 1 , 605 - 2 , . . . 605 -N may include an insulating oxynitride layer.
  • Dielectric layers 605 - 1 , 605 - 2 , . . . 605 -N may include an insulating silicate layer.
  • a dielectric layer containing a hafnium silicon oxynitride film structured as one or more monolayers may provide for enhanced device performance by providing devices with reduced leakage current. Such improvements in leakage current characteristics may be attained by forming one or more layers of a hafnium silicon oxynitride in a nanolaminate structure with other metal oxides, non-metal-containing dielectrics, or combinations thereof. The transition from one layer of the nanolaminate to another layer of the nanolaminate provides disruption to a tendency for an ordered structure in the nanolaminate stack.
  • nanolaminate means a composite film of ultra thin layers of two or more materials in a layered stack.
  • each layer in a nanolaminate has a thickness of an order of magnitude in the nanometer range.
  • each individual material layer of the nanolaminate may have a thickness as low as a monolayer of the material or as high as 20 nanometers.
  • a HfO x /HfSiON nanolaminate contains alternating layers of a hafnium oxide and HfSiON.
  • a SiN r /HfSiON nanolaminate contains alternating layers of silicon nitride and HfSiON.
  • a SiO z /HfSiON nanolaminate contains alternating layers of silicon oxide and HfSiON.
  • a HfO x /SiN r /SiO z /HfSiON nanolaminate contains various permutations of hafnium oxide layers, silicon nitride layers, silicon oxide layers, and hafnium silicon oxynitride layers.
  • dielectric structure 600 may be structured as a nanolaminate structure 600 including a HfSiON x film structured as one or more monolayers.
  • Nanolaminate structure 600 includes a plurality of layers 605 - 1 , 605 - 2 to 605 -N, where at least one layer contains a HfSiON x film structured as one or more monolayers.
  • the other layers may be insulating nitrides, insulating oxynitrides, and other dielectric materials such as insulating metal oxides.
  • the sequencing of the layers depends on the application.
  • the effective dielectric constant associated with nanolaminate structure 600 is that attributable to N capacitors in series, where each capacitor has a thickness defined by the thickness and composition of the corresponding layer.
  • a nanolaminate structure can be engineered to have a predetermined dielectric constant.
  • Embodiments for structures such as nanolaminate structure 600 may be used as nanolaminate dielectrics in NROM flash memory devices as well as other integrated circuits.
  • a layer of the nanolaminate structure 600 is used to store charge in a NROM device.
  • the charge storage layer of a nanolaminate structure 600 in a NROM device may be a silicon oxide layer.
  • Transistors, capacitors, and other devices may include dielectric films containing a layer of a hafnium silicon oxynitride compound structured as one or more monolayers with a tantalum electrode, a titanium nitride electrode, or an electrode having a combination of a tantalum and a titanium nitride, the tantalum and titanium nitride structured as one or more monolayers.
  • the hafnium silicon oxynitride layer, tantalum, and the titanium nitride may be formed by atomic layer deposition.
  • Dielectric films containing a hafnium silicon oxynitride layer with a tantalum electrode, a titanium nitride electrode, or an electrode having a combination of a tantalum and a titanium nitride may be implemented into memory devices and electronic systems including information handling devices. Further, embodiments of electronic devices and electronic apparatus may be realized as integrated circuits. Embodiments of information handling devices may include wireless systems, telecommunication systems, and computers.
  • FIG. 7 illustrates a block diagram for an electronic system 700 having one or more devices having a dielectric structure including a HfSiON x film structured as one or more monolayers with a tantalum electrode, a titanium nitride electrode, or an electrode having a combination of a tantalum and a titanium nitride, the tantalum and titanium nitride structured as one or more monolayers.
  • Electronic system 700 includes a controller 705 , a bus 715 , and an electronic device 725 , where bus 715 provides electrical conductivity between controller 705 and electronic device 725 .
  • controller 705 may include an embodiment of a HfSiON x film with a tantalum electrode, a titanium nitride electrode, or an electrode having a combination of a tantalum and a titanium nitride.
  • electronic device 725 may include an embodiment of a HfSiON x film with a tantalum electrode, a titanium nitride electrode, or an electrode having a combination of a tantalum and a titanium nitride.
  • controller 705 and electronic device 725 may include embodiments of a HfSiON x film with a tantalum electrode, a titanium nitride electrode, or an electrode having a combination of a tantalum and a titanium nitride.
  • Electronic system 700 may include, but is not limited to, fiber optic systems, electro-optic systems, and information handling systems such as wireless systems, telecommunication systems, and computers.
  • FIG. 8 depicts a diagram of an embodiment of a system 800 having a controller 805 and a memory 825 .
  • Controller 805 may include a HfSiON x film structured as one or more monolayers with a tantalum electrode, a titanium nitride electrode, or an electrode having a combination of a tantalum and a titanium nitride, the tantalum and titanium nitride structured as one or more monolayers.
  • Memory 825 may include a HfSiON x film structured as one or more monolayers with a tantalum electrode, a titanium nitride electrode, or an electrode having a combination of a tantalum and a titanium nitride, the tantalum and titanium nitride structured as one or more monolayers.
  • Controller 805 and memory 825 may each include a HfSiON x film structured as one or more monolayers with a tantalum electrode, a titanium nitride electrode, or an electrode having a combination of a tantalum and a titanium nitride, the tantalum and titanium nitride structured as one or more monolayers.
  • System 800 also includes an electronic apparatus 835 and a bus 815 , where bus 815 provides electrical conductivity between controller 805 and electronic apparatus 835 , and between controller 805 and memory 825 .
  • Bus 815 may include an address bus, a data bus, and a control bus, each independently configured. Alternatively, bus 815 may use common conductive lines for providing one or more of address, data, or control, the use of which is regulated by controller 805 .
  • electronic apparatus 835 may be additional memory configured in a manner similar to memory 825 .
  • An embodiment may include an additional peripheral device or devices 845 coupled to bus 815 .
  • controller 805 is a processor.
  • controller 805 may include an embodiment of a dielectric layer having a HfSiON x film structured as one or more monolayers with a tantalum electrode, a titanium nitride electrode, or an electrode having a combination of a tantalum and a titanium nitride, the tantalum and titanium nitride structured as one or more monolayers.
  • System 800 may include, but is not limited to, information handling devices, telecommunication systems, and computers.
  • Peripheral devices 845 may include displays, additional storage memory, or other control devices that may operate in conjunction with controller 805 .
  • peripheral devices 845 may include displays, additional storage memory, or other control devices that may operate in conjunction with memory 825 , or controller 805 and memory 825 .
  • Memory 825 may be realized as a memory device containing a HfSiON x film structured as one or more monolayers with a tantalum electrode, a titanium nitride electrode, or an electrode having a combination of a tantalum and a titanium nitride, the tantalum and titanium nitride structured as one or more monolayers.
  • a HfSiON x structure with a Ta conductive layer, a TiN s conductive layer, or a combination of a Ta conductive layer and a TiN s conductive layer may be formed in a memory cell of a memory array. Such a structure may be formed in a capacitor in a memory cell of a memory array.
  • Such a structure may be formed in a transistor in a memory cell of a memory array. It will be understood that embodiments are equally applicable to any size and type of memory circuit and are not intended to be limited to a particular type of memory device.
  • Memory types include a DRAM, SRAM (Static Random Access Memory) or Flash memories. Additionally, the DRAM could be a synchronous DRAM commonly referred to as SGRAM (Synchronous Graphics Random Access Memory), SDRAM (Synchronous Dynamic Random Access Memory), SDRAM II, and DDR SDRAM (Double Data Rate SDRAM), as well as other emerging DRAM technologies.

Abstract

Electronic apparatus and methods of forming the electronic apparatus include HfSiON for use in a variety of electronic systems. In various embodiments, conductive material is coupled to a dielectric containing HfSiON, where such conductive material may include one or more monolayers of titanium nitride, tantalum, or combinations of titanium nitride and tantalum.

Description

    RELATED APPLICATIONS
  • This application is a divisional of U.S. application Ser. No. 13/305,338, filed Nov. 28, 2011, which is a continuation of U.S. application Ser. No. 12/772,473, filed May 3, 2010, now issued as U.S. Pat. No. 8,067,794, which is a divisional of U.S. application Ser. No. 11/355,490 filed Feb. 16, 2006, now issued as U.S. Pat. No. 7,709,402, all of which are incorporated herein by reference in their entirety.
  • This application is related to the commonly assigned application U.S. application Ser. No. 10/229,903, filed on 28 Aug. 2002, now issued as U.S. Pat. No. 7,199,023, which application is incorporated herein by reference.
  • TECHNICAL FIELD
  • This application relates generally to semiconductor devices and device fabrication.
  • BACKGROUND
  • The semiconductor device industry has a market driven need to reduce the size of devices used in products such as processor chips, mobile telephones, and memory devices such as dynamic random access memories (DRAMs). Currently, the semiconductor industry relies on the ability to reduce or scale the dimensions of its basic devices. This device scaling includes scaling dielectric layers in devices such as, for example, capacitors and silicon based metal oxide semiconductor field effect transistors (MOSFETs), which have primarily been fabricated using silicon dioxide. A thermally grown amorphous SiO2 layer provides an electrically and thermodynamically stable material, where the interface of the SiO2 layer with underlying silicon provides a high quality interface as well as superior electrical isolation properties. However, increased scaling and other requirements in microelectronic devices have created the need to use other materials as dielectric regions in a variety of electronic structures.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 illustrates a block diagram of an embodiment of an electronic apparatus having two devices on a substrate, where one device has a tantalum layer contacting a hafnium silicon oxynitride film and the other device has a titanium nitride layer contacting a hafnium silicon oxynitride film.
  • FIG. 2 shows a simplified view of an embodiment of a complementary metal oxide semiconductor transistor having a gate containing a tantalum layer contacting a gate insulator containing a hafnium silicon oxynitride film and a gate containing a titanium nitride layer contacting a gate insulator containing a hafnium silicon oxynitride film.
  • FIG. 3 shows an embodiment of a transistor having a dielectric layer containing a hafnium silicon oxynitride film and having a gate containing a tantalum layer, a titanium nitride layer, or a combination of a tantalum layer and a titanium nitride layer.
  • FIG. 4 shows an embodiment of a floating gate transistor having a dielectric layer containing a hafnium silicon oxynitride film and having a gate containing a tantalum layer, a titanium nitride layer, or a combination of a tantalum layer and a titanium nitride layer.
  • FIG. 5 shows an embodiment of a capacitor having a dielectric layer containing a hafnium silicon oxynitride film and having an electrode containing a tantalum layer, a titanium nitride layer, or a combination of a tantalum layer and a titanium nitride layer.
  • FIG. 6 depicts an embodiment of a dielectric layer having multiple layers including a hafnium silicon oxynitride layer and having a contact containing a tantalum layer, a titanium nitride layer, or a combination of a tantalum layer and a titanium nitride layer.
  • FIG. 7 is a simplified diagram for an embodiment of a controller coupled to an electronic device having a dielectric layer containing a hafnium silicon oxynitride film and having a contact to the dielectric layer, where the contact contains a tantalum layer, a titanium nitride layer, or a combination of a tantalum layer and a titanium nitride layer.
  • FIG. 8 illustrates a diagram for an embodiment of an electronic system having devices with a dielectric film containing a hafnium silicon oxynitride film and having a contact to the dielectric layer, where the contact contains a tantalum layer, a titanium nitride layer, or a combination of a tantalum layer and a titanium nitride layer.
  • DETAILED DESCRIPTION
  • The following detailed description refers to the accompanying drawings that show, by way of illustration, embodiments in which the present invention may be practiced. These embodiments are described in sufficient detail to enable those skilled in the art to practice the present invention. Other embodiments may be utilized and structural, logical, and electrical changes may be made without departing from the scope of the present invention. The various embodiments are not necessarily mutually exclusive, as some embodiments can be combined with one or more other embodiments to form new embodiments.
  • The terms wafer and substrate used in the following description include any structure having an exposed surface with which to form an integrated circuit (IC) structure. The term substrate is understood to include a semiconductor wafer. The term substrate is also used to refer to semiconductor structures during processing, and may include other layers that have been fabricated thereupon. Both wafer and substrate include doped and undoped semiconductors, epitaxial semiconductor layers supported by a base semiconductor or insulator, as well as other semiconductor structures well known to one skilled in the art. The term conductor is understood to generally include n-type and p-type semiconductors and the term insulator or dielectric is defined to include any material that is less electrically conductive than the materials referred to as conductors. The following detailed description is, therefore, not to be taken in a limiting sense.
  • To scale a dielectric region to minimize feature sizes to provide high density electronic devices, the dielectric region typically should have a reduced equivalent oxide thickness (teq). The equivalent oxide thickness quantifies the electrical properties, such as capacitance, of the dielectric in terms of a representative physical thickness. teq is defined as the thickness of a theoretical SiO2 layer that would be required to have the same capacitance density as a given dielectric, ignoring leakage current and reliability considerations.
  • A SiO2 layer of thickness, t, deposited on a Si surface will have a teq larger than its thickness, t. This teq results from the capacitance in the surface on which the SiO2 is deposited due to the formation of a depletion/inversion region. This depletion/inversion region can result in teq being from 3 to 6 Angstroms (Å) larger than the SiO2 thickness, t. Thus, with the semiconductor industry driving to someday scale a gate dielectric equivalent oxide thickness to less than 10 Å, the physical thickness requirement for a SiO2 layer used for a gate dielectric may need to be approximately 4 to 7 Å. Additional requirements on a SiO2 layer would depend on the electrode used in conjunction with the SiO2 dielectric. Using a conventional polysilicon electrode may result in an additional increase in teq for the SiO2 layer. This additional thickness may be eliminated by using a metal electrode, though such metal electrodes are not universally used for all devices. Thus, future devices would be designed towards a physical SiO2 dielectric layer of about 5 Å or less. Such a small thickness requirement for a SiO2 oxide layer creates additional problems.
  • Silicon dioxide is used as a dielectric layer in devices, in part, due to its electrical isolation properties in a SiO2-Si based structure. This electrical isolation is due to the relatively large band gap of SiO2 (8.9 eV), making it a good insulator from electrical conduction. Significant reductions in its band gap may eliminate it as a material for a dielectric region in an electronic device. As the thickness of a SiO2 layer decreases, the number of atomic layers, or monolayers of the material decreases. At a certain thickness, the number of monolayers will be sufficiently small that the SiO2 layer will not have a complete arrangement of atoms as in a larger or bulk layer. As a result of incomplete formation relative to a bulk structure, a thin SiO2 layer of only one or two monolayers may not form a full band gap. The lack of a full band gap in a SiO2 dielectric may cause an effective short between an underlying Si electrode and an overlying polysilicon electrode. This undesirable property sets a limit on the physical thickness to which a SiO2 layer can be scaled. The minimum thickness due to this monolayer effect is thought to be about 7-8 Å. Therefore, for future devices to have a teq less than about 10 Å, other dielectrics than SiO2 need to be considered for use as a dielectric region in such future devices.
  • In many cases, for a typical dielectric layer, the capacitance may be determined as a capacitance for a parallel plate capacitor: C=κε0A/t, where κ is the dielectric constant, ε0 is the permittivity of free space, A is the area of the capacitor, and t is the thickness of the dielectric. The thickness, t, of a material is related to its teq for a given capacitance, with SiO2 having a dielectric constant κox=3.9, as

  • t=(κ/κox)t eq=(κ/3.9)t eq.
  • Thus, materials with a dielectric constant greater than that of SiO2 will have a physical thickness that can be considerably larger than a desired teq, while providing the desired equivalent oxide thickness. For example, an alternate dielectric material with a dielectric constant of 10 could have a thickness of about 25.6 Å to provide a teq of 10 Å, not including any depletion/inversion layer effects. Thus, a reduced equivalent oxide thickness for transistors can be realized by using dielectric materials with higher dielectric constants than SiO2.
  • The thinner equivalent oxide thickness required for lower device operating voltages and smaller device dimensions may be realized by a significant number of materials, but additional fabricating requirements make determining a suitable replacement for SiO2 difficult. The current view for the microelectronics industry is still for Si based devices. This may require that the dielectric material employed be grown on a silicon substrate or a silicon layer, which places significant constraints on the substitute dielectric material. During the formation of the dielectric on the silicon layer, there exists the possibility that a small layer of SiO2 could be formed in addition to the desired dielectric. The result would effectively be a dielectric layer consisting of two sublayers in parallel with each other and the silicon layer on which the dielectric is formed. In such a case, the resulting capacitance would be that of two dielectrics in series. As a result, the teq of the dielectric layer would be the sum of the SiO2 thickness and a multiplicative factor of the thickness, t, of the dielectric being formed, written as

  • t eq =t SiO2+(κox/κ)t.
  • Thus, if a SiO2 layer is formed in the process, the teq is again limited by a SiO2 layer. In the event that a barrier layer is formed between the silicon layer and the desired dielectric in which the barrier layer prevents the formation of a SiO2 layer, the teq would be limited by the layer with the lowest dielectric constant. However, whether a single dielectric layer with a high dielectric constant or a barrier layer with a higher dielectric constant than SiO2 is employed, the layer interfacing with the silicon layer should provide a high quality interface.
  • One of the advantages of using SiO2 as a dielectric layer in a device has been that the formation of the SiO2 layer results in an amorphous dielectric. Having an amorphous structure for a dielectric may reduce problems of leakage current associated with grain boundaries in polycrystalline dielectrics that provide high leakage paths. Additionally, grain size and orientation changes throughout a polycrystalline dielectric can cause variations in the film's dielectric constant, along with uniformity and surface topography problems. Typically, materials having a high dielectric constant relative to SiO2 also have a crystalline form, at least in a bulk configuration. The best candidates for replacing SiO2 as a dielectric in a device are those that can be fabricated as a thin layer with an amorphous form and that have high dielectric constants.
  • An embodiment of a method may include forming a hafnium silicon oxynitride film using atomic layer deposition and forming, on the hafnium silicon oxynitride film, a titanium nitride layer or a tantalum layer by atomic layer deposition. Embodiments include structures and methods to form such structures for capacitors, transistors, memory devices, and electronic systems with dielectric layers containing a hafnium silicon oxynitride oxide layer structured as one or more monolayers with a conductive tantalum layer or a conductive titanium nitride layer structured as one or more monolayers.
  • In an embodiment, a hafnium silicon oxynitride dielectric film coupled to a titanium nitride layer, a tantalum layer, or a combination of a titanium nitride layer and a tantalum layer may be formed using atomic layer deposition (ALD) including forming the titanium nitride layer and the tantalum layer a by atomic layer deposition. Various approaches for forming a hafnium silicon oxynitride dielectric film by atomic layer deposition are discussed in application Ser. No. 10/229,903, entitled “ATOMIC LAYER DEPOSITED HfSiON DIELECTRIC FILMS,” filed on 28 Aug. 2002, which application is incorporated herein by reference. Forming such structures using atomic layer deposition may allow control of transitions between material layers. As a result of such control, atomic layer deposited hafnium silicon oxynitride dielectric films can have an engineered transition with a substrate surface and with atomic layer deposited conductive layers contacting the hafnium silicon oxynitride dielectric films.
  • ALD, also known as atomic layer epitaxy (ALE), is a modification of chemical vapor deposition (CVD) and is also called “alternatively pulsed-CVD.” In ALD, gaseous precursors are introduced one at a time to the substrate surface mounted within a reaction chamber (or reactor). This introduction of the gaseous precursors takes the form of pulses of each gaseous precursor. In a pulse of a precursor gas, the precursor gas is made to flow into a specific area or region for a short period of time. Between the pulses, the reaction chamber may be purged with a gas, where the purging gas may be an inert gas. Between the pulses, the reaction chamber may be evacuated. Between the pulses, the reaction chamber may be purged with a gas and evacuated.
  • In a chemisorption-saturated ALD (CS-ALD) process, during the first pulsing phase, reaction with the substrate occurs with the precursor saturatively chemisorbed at the substrate surface. Subsequent pulsing with a purging gas removes precursor excess from the reaction chamber.
  • The second pulsing phase introduces another precursor on the substrate where the growth reaction of the desired film takes place. Subsequent to the film growth reaction, reaction byproducts and precursor excess are purged from the reaction chamber. With favourable precursor chemistry where the precursors adsorb and react with each other aggressively on the substrate, one ALD cycle can be performed in less than one second in properly designed flow type reaction chambers. Typically, precursor pulse times range from about 0.5 sec to about 2 to 3 seconds. Pulse times for purging gases may be significantly longer, for example, pulse times of about 5 to about 30 seconds.
  • In ALD, the saturation of all the reaction and purging phases makes the growth self-limiting. This self-limiting growth results in large area uniformity and conformality, which has important applications for such cases as planar substrates, deep trenches, and in the processing of porous silicon and high surface area silica and alumina powders. Atomic layer deposition provides control of film thickness in a straightforward manner by controlling the number of growth cycles.
  • The precursors used in an ALD process may be gaseous, liquid or solid. However, liquid or solid precursors should be volatile. The vapor pressure should be high enough for effective mass transportation. Also, solid and some liquid precursors may need to be heated inside the reaction chamber and introduced through heated tubes to the substrates. The necessary vapor pressure should be reached at a temperature below the substrate temperature to avoid the condensation of the precursors on the substrate. Due to the self-limiting growth mechanisms of ALD, relatively low vapor pressure solid precursors can be used, though evaporation rates may vary somewhat during the process because of changes in their surface area.
  • There are several other characteristics for precursors used in ALD. The precursors should be thermally stable at the substrate temperature, because their decomposition may destroy the surface control and accordingly the advantages of the ALD method that relies on the reaction of the precursor at the substrate surface. A slight decomposition, if slow compared to the ALD growth, may be tolerated.
  • The precursors should chemisorb on or react with the surface, though the interaction between the precursor and the surface as well as the mechanism for the adsorption is different for different precursors. The molecules at the substrate surface should react aggressively with the second precursor to form the desired solid film. Additionally, precursors should not react with the film to cause etching, and precursors should not dissolve in the film. Using highly reactive precursors in ALD contrasts with the selection of precursors for conventional CVD.
  • The by-products in the reaction should be gaseous in order to allow their easy removal from the reaction chamber. Further, the by-products should not react or adsorb on the surface.
  • In a reaction sequence ALD (RS-ALD) process, the self-limiting process sequence involves sequential surface chemical reactions. RS-ALD relies on chemistry between a reactive surface and a reactive molecular precursor. In an RS-ALD process, molecular precursors are pulsed into the ALD reaction chamber separately. A metal precursor reaction at the substrate is typically followed by an inert gas pulse to remove excess precursor and by-products from the reaction chamber prior to pulsing the next precursor of the fabrication sequence.
  • By RS-ALD, films can be layered in equal metered sequences that may all be identical in chemical kinetics, deposition per cycle, composition, and thickness. RS-ALD sequences generally deposit less than a full layer per cycle. Typically, a deposition or growth rate of about 0.25 to about 2.00 Å per RS-ALD cycle may be realized.
  • Processing by RS-ALD provides continuity at an interface avoiding poorly defined nucleating regions that are typical for chemical vapor deposition (<20 Å) and physical vapor deposition (<50 Å), conformality over a variety of substrate topologies due to its layer-by-layer deposition technique, use of low temperature and mildly oxidizing processes, lack of dependence on the reaction chamber, growth thickness dependent solely on the number of cycles performed, and ability to engineer multilayer laminate films with a resolution of one to two monolayers. RS-ALD processes allow for deposition control on the order of monolayers and the ability to deposit monolayers of amorphous films.
  • Herein, a sequence refers to the ALD material formation based on an ALD reaction of a precursor with its reactant precursor. For example, forming titanium nitride from a TiCl4 precursor and NH3, as its reactant precursor, forms an embodiment of a titanium/nitrogen sequence. In various ALD processes that form a nitride or a compound that contains nitrogen, a reactant precursor that contains nitrogen is used to supply nitrogen. Herein, a precursor that contains nitrogen and that supplies nitrogen to be incorporated in the ALD compound formed, which may be used in an ALD process with precursors supplying the other elements in the ALD compound, is referred to as a nitrogen reactant precursor. In the above example, NH3 is a nitrogen reactant precursor. Similarly, an ALD sequence for a binary metal oxide may be referenced with respect to the metal and oxygen. For example, an ALD sequence for hafnium oxide may also be referred to as a hafnium/oxygen sequence. In various ALD processes that form an oxide or a compound that contains oxygen, a reactant precursor that contains oxygen is used to supply the oxygen. Herein, a precursor that contains oxygen and that supplies oxygen to be incorporated in the ALD compound formed, which may be used in an ALD process with precursors supplying the other elements in the ALD compound, is referred to as an oxygen reactant precursor. With an ALD process using HfCl4 and water vapor to form hafnium oxide, water vapor is an oxygen reactant precursor. An ALD cycle may include pulsing a precursor, pulsing a purging gas for the precursor, pulsing a reactant precursor, and pulsing the reactant precursor's purging gas. An ALD cycle may include pulsing a precursor, evacuating the reactant chamber, pulsing a reactant precursor, and evacuating the reactant chamber. An ALD cycle may include pulsing a precursor, pulsing a purging gas for the precursor and evacuating the reactant chamber, pulsing a reactant precursor, and pulsing the reactant precursor's purging gas evacuating the reactant chamber.
  • In forming a layer of a metal species, an ALD sequence may deal with pulsing a reactant precursor to the substrate surface on which a metal-containing species has been adsorbed such that the reactant precursor reacts with the metal-containing species resulting in the metal and a gaseous by-product that can be removed during the subsequent purging/evacuating process. Alternatively, in forming a layer of a metal species, an ALD sequence may deal with reacting a precursor containing the metal species with a substrate surface. A cycle for such a metal forming sequence may include pulsing a purging gas after pulsing the precursor containing the metal species to deposit the metal. Additionally, deposition of a semiconductor material may be realized in a manner similar to forming a layer of a metal, given the appropriate precursors for the semiconductor material.
  • In an ALD formation of a compound having more than two elements, a cycle may include a number of sequences to provide the elements of the compound. For example, a cycle for an ALD formation of an ABOx compound may include sequentially pulsing a first precursor/a purging gas for the first precursor/a first reactant precursor/the first reactant precursor's purging gas/a second precursor/a purging gas for the second precursor/a second reactant precursor/the second reactant precursor's purging gas, which may be viewed as a cycle having two sequences. In an embodiment, a cycle may include a number of sequences for element A and a different number of sequences for element B. There may be cases in which ALD formation of an ABOx compound uses one precursor that contains the elements A and B, such that pulsing the AB containing precursor followed by its reactant precursor onto a substrate may include a reaction that forms ABOx on the substrate to provide an AB/oxygen sequence. A cycle of an AB/oxygen sequence may include pulsing a precursor containing A and B, pulsing a purging gas for the precursor, pulsing an oxygen reactant precursor to the A/B precursor, and pulsing a purging gas for the reactant precursor. A cycle may be repeated a number of times to provide a desired thickness of the compound. In an embodiment, a cycle for an ALD formation of the quaternary compound, hafnium silicon oxynitride, may include sequentially pulsing a first precursor/a purging gas for the first precursor/a first reactant precursor/the first reactant precursor's purging gas/a second precursor/a purging gas for the second precursor/a second reactant precursor/the second reactant precursor's purging gas/a third precursor/a purging gas for the third precursor/a third reactant precursor/the third reactant precursor's purging gas, which may be viewed as a cycle having three sequences. In an embodiment, a layer substantially of a hafnium silicon oxygen nitrogen compound is formed on a substrate mounted in a reaction chamber using ALD in repetitive hafnium/oxygen and silicon/nitrogen sequences using precursor gases individually pulsed into the reaction chamber. After forming the hafnium silicon oxygen nitrogen layer, an ALD tantalum sequence may be conducted to form a tantalum layer on the hafnium silicon oxygen nitrogen layer. After forming the hafnium silicon oxygen nitrogen layer, an ALD titanium/nitrogen sequence may be conducted to form a titanium nitride layer on the hafnium silicon oxygen nitrogen layer. Solid or liquid precursors can be used in an appropriately designed reaction chamber.
  • In an embodiment, a hafnium silicon oxynitride layer may be structured as one or more monolayers. A film of hafnium silicon oxynitride, structured as one or more monolayers, may have a thickness that ranges from a monolayer to thousands of angstroms or more. The film may be processed using atomic layer deposition. Embodiments of an atomic layer deposited hafnium silicon oxynitride layer have a larger dielectric constant than silicon dioxide. Such dielectric layers provide a significantly thinner equivalent oxide thickness compared with a silicon oxide layer having the same physical thickness. Alternatively, such dielectric layers provide a significantly thicker physical thickness than a silicon oxide layer having the same equivalent oxide thickness. This increased physical thickness aids in reducing leakage current.
  • In an embodiment, a tantalum layer may be structured as one or more monolayers. A layer of tantalum, structured as one or more monolayers, may have a thickness that ranges from a monolayer to thousands of angstroms or more. The tantalum film may be processed using atomic layer deposition. In an embodiment, a titanium nitride layer may be structured as one or more monolayers. A layer of titanium nitride, structured as one or more monolayers, may have a thickness that ranges from a monolayer to thousands of angstroms or more. The titanium nitride film may be processed using atomic layer deposition.
  • The term hafnium silicon oxynitride is used herein with respect to a compound that essentially consists of hafnium, silicon, oxygen, and nitrogen in a form that may be stoichiometric, non-stoichiometric, or a combination of stoichiometric and non-stoichiometric. A hafnium silicon oxynitride film may also be referred to as a hafnium silicon oxygen nitrogen film. Other nomenclature for a compound that essentially consists of hafnium, silicon, oxygen, and nitrogen may be known to those skilled in the art. In an embodiment, hafnium silicon oxynitride may be formed substantially as stoichiometric hafnium silicon oxynitride. In an embodiment, hafnium silicon oxynitride may be formed substantially as a non-stoichiometric hafnium silicon oxynitride. In an embodiment, hafnium silicon oxynitride may be formed substantially as a combination of non-stoichiometric hafnium silicon oxynitride and stoichiometric hafnium silicon oxynitride. Herein, a hafnium silicon oxynitride compound may be expressed as HfSiON, HfSiONx, HfxSiyOzNr, or other equivalent form. The expression HfSiON or its equivalent forms may be used to include a stoichiometric hafnium silicon oxynitride. The expression HfSiON or its equivalent forms may be used to include a non-stoichiometric hafnium silicon oxynitride. The expression HfSiON or its equivalent forms may be used to include a combination of a stoichiometric hafnium silicon oxynitride and a non-stoichiometric hafnium silicon oxynitride. The expression HfOx may be used to include a stoichiometric hafnium oxide. The expression HfOx, may be used to include a non-stoichiometric hafnium oxide. The expression HfOx may be used to include a combination of a stoichiometric hafnium oxide and a non-stoichiometric hafnium oxide. Expressions SiOx, SiNr, HfNt, and TiNs may be used in the same manner as HfOx. In various embodiments, a hafnium silicon oxynitride film may be doped with elements or compounds other than hafnium, silicon, oxygen, and nitrogen.
  • In an embodiment, a HfSiONx film may be structured as one or more monolayers. In an embodiment, the HfSiONx film may be constructed using atomic layer deposition. Prior to forming the HfSiONx film using ALD, the surface on which the HfSiONx film is to be deposited may undergo a preparation stage. The surface may be the surface of a substrate for an integrated circuit. In an embodiment, the substrate used for forming a transistor may include a silicon or silicon containing material. In other embodiments, germanium, gallium arsenide, silicon-on-sapphire substrates, or other suitable substrates may be used. A preparation process may include cleaning the substrate and forming layers and regions of the substrate, such as drains and sources, prior to forming a gate dielectric in the formation of a metal oxide semiconductor (MOS) transistor. Alternatively, active regions may be formed after forming the dielectric layer, depending on the over-all fabrication process implemented. In an embodiment, the substrate is cleaned to provide an initial substrate depleted of its native oxide. In an embodiment, the initial substrate is cleaned also to provide a hydrogen-terminated surface. In an embodiment, a silicon substrate undergoes a final hydrofluoric (HF) rinse prior to ALD processing to provide the silicon substrate with a hydrogen-terminated surface without a native silicon oxide layer.
  • Cleaning immediately preceding atomic layer deposition aids in reducing an occurrence of silicon oxide as an interface between a silicon based substrate and a hafnium silicon oxynitride dielectric formed using the atomic layer deposition process. The material composition of an interface layer and its properties are typically dependent on process conditions and the condition of the substrate before forming the dielectric layer. Though the existence of an interface layer may effectively reduce the dielectric constant associated with the dielectric layer and its substrate interface layer, a SiO2 interface layer or other composition interface layer may improve the interface density, fixed charge density, and channel mobility of a device having this interface layer.
  • The sequencing of the formation of the regions of an electronic device, such as a transistor, being processed may follow typical sequencing that is generally performed in the fabrication of such devices as is well known to those skilled in the art. Included in the processing prior to forming a dielectric may be the masking of substrate regions to be protected during the dielectric formation, as is typically performed in semiconductor fabrication. In an embodiment, the unmasked region includes a body region of a transistor; however, one skilled in the art will recognize that other semiconductor device structures may utilize this process.
  • In various embodiments, between each pulsing of a precursor used in an atomic layer deposition process, a purging gas may be pulsed into the ALD reaction chamber. Between each pulsing of a precursor, the ALD reactor chamber may be evacuated using vacuum techniques as is known by those skilled in the art. Between each pulsing of a precursor, a purging gas may be pulsed into the ALD reaction chamber and the ALD reactor chamber may be evacuated.
  • In an embodiment, alternate layers of hafnium oxide and silicon nitride may be formed by atomic layer deposition. The alternating hafnium oxide and silicon nitride layers may be annealed to form hafnium silicon oxynitride.
  • To form hafnium oxide by atomic layer deposition, a hafnium-containing precursor is pulsed onto a substrate in an ALD reaction chamber. A number of precursors containing hafnium may be used to provide the hafnium to a substrate for an integrated circuit. In an embodiment, a precursor containing hafnium may include anhydrous hafnium nitride, Hf(NO3)4. In an embodiment using a Hf(NO3)4 precursor on a hydrogen-terminated silicon, the substrate temperature may be maintained at a temperature ranging from about 160° C. to about 180° C. In an embodiment, a hafnium precursor may include HfCl4. In an embodiment using a HfCl4 precursor, the substrate temperature may be maintained at a temperature ranging from about 180° C. to about 600° C. In an embodiment using a HfCl4 precursor, the substrate temperature may be maintained at a temperature ranging from about 300° C. to about 940° C. In an embodiment, a hafnium precursor may be HfI4. In an embodiment using a HfI4 precursor, the substrate temperature may be maintained at a temperature of about 300° C. Hafnium oxide may be grown by ALD using a Hf[N(CH3)(C2H5)]4, which may be known as a homoleptic tetrakis(dialkylamino) hafnium(IV) compound, and water as an oxygen reactant. Other types of tetrakis(dialkylamino) hafnium compounds may also be used, such as hafnium tetrakis dimethylamine, Hf[N(CH3)2]4, or hafnium tetrakis diethylamine, Hf[N(C2H5)2]4, as a hafnium-containing precursor. In various embodiments, after pulsing the hafnium-containing precursor and purging the reaction chamber of excess precursor and by-products from pulsing the precursor, a reactant precursor may be pulsed into the reaction chamber. The reactant precursor may be an oxygen reactant precursor including, but not limited to, one or more of water vapor, atomic oxygen, molecular oxygen, ozone, hydrogen peroxide, a water-hydrogen peroxide mixture, alcohol, or nitrous oxide. In various embodiments, use of the individual hafnium-containing precursors is not limited to the temperature ranges of the above example embodiments. Further, forming hafnium oxide by atomic layer deposition is not limited to the abovementioned precursors. In addition, the pulsing of the hafnium precursor may use a pulsing period that provides uniform coverage of a monolayer on the surface or may use a pulsing period that provides partial coverage of a monolayer on the surface during a hafnium sequence.
  • To form silicon nitride by atomic layer deposition, a silicon-containing precursor is pulsed onto a substrate in an ALD reaction chamber. In an embodiment, a silicon halide, such as SiCl4, may be used. Other silicon halides, such as SiI4, may be used. For the pulsing of the SiCl4 precursor, the substrate may be maintained at a temperature ranging from about 340 EC to about 375 EC at a gas pressure in reaction chamber at about 170 Torr. In an embodiment, the substrate may be maintained at a temperature less than 550° C. In an embodiment, NH3 may be used as the nitrogen-containing precursor for a silicon/nitrogen sequence. In various embodiments, use of the individual silicon-containing precursors is not limited to the temperature ranges of the above example embodiments. Further, forming silicon nitride by atomic layer deposition is not limited to the abovementioned precursors. In addition, the pulsing of the silicon precursor may use a pulsing period that provides uniform coverage of a monolayer on the surface or may use a pulsing period that provides partial coverage of a monolayer on the surface during a silicon sequence.
  • In various embodiments, nitrogen may be used as a purging gas and a carrier gas for one or more of the sequences. Alternatively, hydrogen, argon gas, or other inert gases may be used as the purging gas. Excess precursor gas and reaction by-products may be removed by the purge gas. Excess precursor gas and reaction by-products may be removed by evacuation of the reaction chamber using various vacuum techniques. Excess precursor gas and reaction by-products may be removed by the purge gas and by evacuation of the reaction chamber.
  • In an embodiment, alternate layers of hafnium nitride and silicon oxide may be formed by atomic layer deposition. The alternating hafnium nitride and silicon oxide layers may be annealed to form hafnium silicon oxynitride.
  • To form hafnium nitride by atomic layer deposition, a hafnium-containing precursor is pulsed onto a substrate in an ALD reaction chamber. A number of precursors containing hafnium may be used to provide the hafnium to a substrate for an integrated circuit. The hafnium-containing precursor may be a hafnium halide precursor. In an embodiment, a hafnium precursor may include HfCl4. In an embodiment using a HfCl4 precursor, the substrate temperature may be maintained at a temperature ranging from about 180° C. to about 600° C. In an embodiment using a HfCl4 precursor, the substrate temperature may be maintained at a temperature ranging from about 300° C. to about 940° C. In an embodiment, a hafnium precursor used may be Hfl4. In an embodiment using a Hfl4 precursor, the substrate temperature may be maintained at a temperature of about 300° C. In addition to halide precursors, the hafnium nitride may be grown by ALD using a Hf[N(CH3)(C2H5)]4 and ammonia, NH3. In an embodiment, the substrate may be held at a temperature ranging from about 150° C. to about 300° C. Other types of tetrakis(dialkylamino) metal compounds may also be used, such as hafnium tetrakis dimethylamine, Hf[N(CH3)2]4, or hafnium tetrakis diethylamine, Hf[N(C2H5)2]4, as a hafnium-containing precursor with ammonia as a nitrogen reactant precursor. In various embodiments, after pulsing the hafnium-containing precursor and purging the reaction chamber of excess precursor and by-products from pulsing the precursor, a reactant precursor may be pulsed into the reaction chamber. The reactant precursor may be a nitrogen reactant precursor including, but not limited to, ammonia. In various embodiments, use of the individual hafnium-containing precursors is not limited to the temperature ranges of the above embodiments. Further, forming hafnium nitride by atomic layer deposition is not limited to the abovementioned precursors. In addition, the pulsing of the hafnium precursor may use a pulsing period that provides uniform coverage of a monolayer on the surface or may use a pulsing period that provides partial coverage of a monolayer on the surface during a hafnium sequence.
  • To form silicon oxide by atomic layer deposition, a silicon-containing precursor is pulsed onto a substrate in an ALD reaction chamber. A silicon halide precursor may be used as the silicon-containing precursor. In an embodiment, SiCl4 is used as the silicon-containing precursor. Other silicon halides, such as SiI4, may be used. In an embodiment, during pulsing of the SiCl4, the substrate may be held between about 340° C. and about 375° C. For a silicon sequence using SiCl4 as the precursor, oxygen, in the form of O2 or O3, may be used as the precursor acting as an oxidizing reactant to interact at the substrate. In an embodiment, during the oxygen pulsing, the substrate may be raised to a temperature significantly higher than the temperature used during the pulsing of the precursor containing silicon. In an embodiment, the temperature for the substrate may be at about 550° C. during the oxygen pulsing. In various embodiments, use of the individual silicon-containing precursors is not limited to the temperature ranges of the above embodiments. Further, forming silicon oxide by atomic layer deposition is not limited to the abovementioned precursors. In addition, the pulsing of the silicon precursor may use a pulsing period that provides uniform coverage of a monolayer on the surface or may use a pulsing period that provides partial coverage of a monolayer on the surface during a silicon sequence. In an embodiment, forming a silicon oxide by atomic layer deposition is conducted after an initial hafnium nitride layer is formed on a silicon-based substrate to limit the size or occurrence of a silicon oxide interface between a HfSiON layer and the silicon-based substrate.
  • Atomic layer deposition of the individual components or layers of HfOx, SiNr, SiOz, and/or HfNt allows for individual control of each precursor pulsed into the reaction chamber. Thus, each precursor is pulsed into the reaction chamber for a predetermined period, where the predetermined period can be set separately for each precursor. Additionally, for various ALD formations, each precursor may be pulsed into the reaction chamber under separate environmental conditions. The substrate may be maintained at a selected temperature and the reaction chamber maintained at a selected pressure independently for pulsing each precursor. Appropriate temperatures and pressures may be maintained, whether the precursor is a single precursor or a mixture of precursors.
  • The layers of hafnium oxide and silicon nitride and/or layers of hafnium nitride and silicon oxide may be annealed to form hafnium silicon oxynitride. In an embodiment, a laminated stack of alternating layers of HfO2 and Si2N3 are formed prior to annealing. In an embodiment, a laminated stack of alternating layers of Hf3N4 and SiO2 are formed prior to annealing. In various embodiments, the order of forming HfOx and SiNr layers may be permutated. In various embodiments, the order of forming SiOz and HfNt layers may be permutated. In an embodiment, alternating layers of HfOx and SiNr are formed with alternating layers of SiOx and HfNt to form a dielectric stack, which is annealed to form hafnium silicon oxynitride. The dielectric stack may be formed as a layer of hafnium silicon oxynitride. The annealing may be conducted in a nitrogen ambient. In an embodiment, annealing may be conducted in a nitrogen ambient having a small amount of oxygen. However, annealing is not limited to these ambient conditions.
  • In an embodiment, a layer of hafnium oxide and a layer of silicon nitride are each grown by atomic layer deposition to a thickness such that annealing these layers at appropriate temperatures essentially converts these layers to a layer of hafnium silicon oxynitride. In an embodiment, each layer of HfOx and SiNr may be formed to a thickness of 10 Å or less. In the various embodiments, the thickness of a hafnium silicon oxynitride film is related to the number of ALD cycles performed and the growth rate associated with forming each layer of HfOx and SiNr.
  • In an embodiment, a layer of hafnium nitride and a layer of silicon oxygen are each grown by atomic layer deposition to a thickness such that annealing these layers at appropriate temperatures essentially converts these layers to a layer of hafnium silicon oxynitride. In an embodiment, each layer of SiOz and HfNt may be formed to a thickness of 10 Å or less. In the various embodiments, the thickness of a hafnium silicon oxynitride film is related to the number of ALD cycles performed and the growth rate associated with forming each layer of SiOx and HfNt.
  • In an embodiment, a HfSiON film may be grown to a desired thickness by repetition of a process including atomic layer deposition of layers of HfOx and SiNr and/or layers of SiOz and HfNt followed by annealing. In an embodiment, a base thickness may be formed according to various embodiments such that forming a predetermined thickness of a HfSiON film may be conducted by forming a number of layers having the base thickness. As can be understood by one skilled in the art, determining the base thickness depends on the application and can be determined during initial processing without undue experimentation. Relative amounts of hafnium, silicon, oxygen, and nitrogen in a HfSiON film may be controlled by regulating the relative thicknesses of the individual layers of oxides and nitrides formed. In addition, relative amounts of hafnium, silicon, oxygen, and nitrogen in a HfSiON film may be controlled by forming a layer of HfSiON as multiple layers of different base thickness and by regulating the relative thicknesses of the individual layers of oxides and nitrides formed in each base layer prior to annealing. As can be understood by those skilled in the art, particular effective growth rates for the engineered hafnium silicon oxynitride film can be determined during normal initial testing of the ALD system used in processing a hafnium silicon oxynitride dielectric for a given application without undue experimentation.
  • In an alternative embodiment, an ALD cycle for forming HfSiON may include sequencing component-containing precursors in the order of hafnium, oxygen, silicon, and nitrogen with appropriate purging between the different component-containing precursors, in which partial coverage of a monolayer on a substrate surface is attained for pulsing of a metal-containing precursor. An ALD cycle for forming HfSiON may include sequencing the component-containing precursors in various permutations. In an embodiment, an ALD cycle to form hafnium silicon oxynitride may include a number, x, of hafnium/oxygen sequences and a number, y, of silicon/nitrogen sequences. The number of sequences x and y may be selected to engineer the relative amounts of hafnium, silicon, oxygen, and nitrogen. In an embodiment, the number of sequences x and y may be selected to form a hafnium-rich hafnium silicon oxynitride. Alternatively, the number of sequences x and y may be selected to form a silicon-rich hafnium silicon oxynitride. In an embodiment, an ALD cycle to form hafnium silicon oxynitride may include a number, z, of hafnium/nitrogen sequences and a number, r, of silicon/oxygen sequences. The number of sequences z and r may be selected to engineer the relative amounts of hafnium, silicon, oxygen, and nitrogen. In an embodiment, the number of sequences z and r may be selected to form a hafnium-rich hafnium silicon oxynitride. Alternatively, the number of sequences z and r may be selected to form a silicon-rich hafnium silicon oxynitride.
  • After repeating a selected number of ALD cycles, a determination may be made as to whether the number of cycles equals a predetermined number to form the desired hafnium silicon oxynitride layer. If the total number of cycles to form the desired thickness has not been completed, a number of cycles is repeated. The thickness of a hafnium silicon oxynitride layer formed by atomic layer deposition may be determined by a fixed growth rate for the pulsing periods and precursors used, set at a value such as N nm/cycle, and the number of cycles conducted. Depending on the precursors used for ALD formation of a HfSiON film, the process may be conducted in an ALD window, which is a range of temperatures in which the growth rate is substantially constant. If such an ALD window is not available, the ALD process may be conducted at the same set of temperatures for each ALD sequence in the process. For a desired hafnium silicon oxynitride layer thickness, t, in an application, the ALD process is repeated for t/N total cycles. Once the t/N cycles have completed, no further ALD processing for the hafnium silicon oxynitride layer is required. A hafnium silicon oxynitride layer processed at relatively low temperatures associated with atomic layer deposition may provide an amorphous layer.
  • In various embodiments, either before or after forming a HfSiON film, other dielectric layers such as nitride layers, dielectric metal silicates, insulating materials including HfOx, SiNr, and SiOx, and lanthanide oxides or combinations thereof may be formed as part of a dielectric layer or dielectric stack. These one or more other layers of dielectric material may be provided in stoichiometric form, in non-stoichiometric form, or a combination of stoichiometric dielectric material and non-stoichiometric dielectric material. Depending on the application, a dielectric stack containing a HfSiONx film may include a silicon oxide layer. In an embodiment, the dielectric layer may be formed as a nanolaminate. An embodiment of a nanolaminate may include a layer of a hafnium oxide and a HfSiONx film, a layer of silicon nitride and a HfSiONx film, a layer of silicon oxide and a HfSiONx film, layers of hafnium oxide, silicon nitride, and silicon oxide along with a HfSiONx film, or various other combinations. Alternatively, a dielectric layer may be formed substantially as the hafnium silicon oxynitride film.
  • In various embodiments, the structure of an interface between a dielectric layer and a substrate on which it is disposed is controlled to limit the inclusion of silicon oxide, since a silicon oxide layer would reduce the effective dielectric constant of the dielectric layer. The material composition and properties for an interface layer may be dependent on process conditions and the condition of the substrate before forming the dielectric layer. Though the existence of an interface layer may effectively reduce the dielectric constant associated with the dielectric layer and its substrate, the interface layer, such as a silicon oxide interface layer or other composition interface layer, may improve the interface density, fixed charge density, and channel mobility of a device having this interface layer.
  • In an embodiment, the hafnium silicon oxynitride layer may be doped with other elements. The doping may be employed to enhance the leakage current characteristics of the dielectric layer containing the HfSiONx film by providing a disruption or perturbation of the hafnium silicon oxynitride structure. Such doping may be realized by substituting a sequence of one of these elements for a hafnium sequence, a silicon sequence, or various combinations of sequences. The choice for substitution may depend on the form of the hafnium silicon oxynitride structure with respect to the relative amounts of hafnium atoms and silicon atoms desired in the oxide. To maintain a substantially hafnium silicon oxynitride, the amount of dopants inserted into the oxynitride may be limited to a relatively small fraction of the total number of hafnium and silicon atoms.
  • In an embodiment, a HfSiONx film may be engineered to have a dielectric constant, the value of which lies in the range from about 7 to about 14. As the hafnium content increases, the dielectric constant increases such that a value above 20 may be attained for a hafnium-rich HfSiONx film. In an embodiment, a dielectric layer containing a hafnium silicon oxynitride layer may have a teq ranging from about 5 Å to about 20 Å. In an embodiment, a dielectric layer containing a hafnium silicon oxynitride layer may have a teq of less than 5 Å. In an embodiment, a hafnium silicon oxynitride film may be formed with a thickness ranging from a monolayer to thousands of angstroms or more. Further, dielectric films of hafnium silicon oxynitride formed by atomic layer deposition may provide not only thin teq films, but also films with relatively low leakage current. Additionally, embodiments may be implemented to form transistors, capacitors, memory devices, and other electronic systems including information handling devices.
  • In various embodiments, the composition of an HfSiON film relative to the amounts of component elements may be changed to change the characteristics of a HfSiON film including such properties as the work function, electron affinity, and other electrical characteristics. A HfSiON film may be structured to match conductive layers that are formed on the HfSiON to provide electrical contact. In an embodiment, a HfSiON film may be formed as a high-κ dielectric insulator with conductive layers selected to provide operating characteristics for the electronic device in which the HfSiON film is disposed. In an embodiment, conductive layers are formed to contact a HfSiON film to configure a transistor with an optimum threshold voltage. In an embodiment, a gate dielectric having a HfSiON film is coupled to a gate having a tantalum layer. Tantalum as a low work function metal may be used in n-channel MOS (NMOS) transistors with a HfSiON gate dielectric to provide a threshold voltage of around 0.2 or 0.3 Volts. In an embodiment, a gate dielectric having a HfSiON film may be coupled to a gate having a titanium nitride layer. Titanium nitride having a higher work function than tantalum may be used as a gate in p-channel MOS (PMOS) transistors with a HfSiON gate dielectric to provide a threshold voltage of around 0.2 or 0.3 Volts. Titanium nitride may also be used as a gate in a NMOS transistor. In various embodiments, tantalum or titanium nitride may be used as capacitor plates in capacitor structures having a capacitor dielectric containing a HfSiON film. The choice of tantalum or titanium nitride may depend on considerations such as reliability, ease of process, and other factors. Embodiments having tunneling structures, such as flash and non-volatile read only memory (NROM) devices, choice of tantalum or titanium nitride may depend on the desired tunnel barrier, using a large work function to provide a high barrier to reduce tunneling or using a lower work function to provide a low barrier.
  • A tantalum layer may be formed with a HfSiONx film by atomic layer deposition using a tantalum-containing precursor that is pulsed onto the HfSiONx film in an ALD reaction chamber. In an embodiment, a tantalum halide precursor, such as TaF5 or TaCl5, may be used with hydrogen as a reactant precursor. In an embodiment, a TaCl5 precursor may be used with an atomic hydrogen reactant precursor. The atomic hydrogen reactant precursor may be provided using a plasma. In an embodiment, the substrate temperature may be held at a temperature ranging from about 250° C. to about 400° C. The hydrogen reactant precursor reacts at the substrate to remove the halogen, which forms the selected tantalum halide precursor, leaving tantalum on the substrate surface. After pulsing a tantalum-containing precursor and after pulsing its reactant precursor, the reaction chamber may be purged of excess precursor and/or by-products. In various embodiments, use of the individual tantalum-containing precursors is not limited to the temperature ranges of the above example embodiments. Further, forming tantalum by atomic layer deposition is not limited to the abovementioned precursors. In addition, the pulsing of the tantalum precursor may use a pulsing period that provides uniform coverage of a monolayer on the surface or may use a pulsing period that provides partial coverage of a monolayer on the surface. The tantalum layer may be structured as one or more monolayers. The tantalum layer may a thickness ranging from a monolayer to thousands of angstroms or more.
  • A titanium nitride layer may be formed with a HfSiONx film by atomic layer deposition using a titanium-containing precursor that is pulsed onto the HfSiONx film in an ALD reaction chamber. A nitrogen-containing precursor may be used as the reactant precursor for the titanium-containing precursor. The titanium-containing precursor and the nitrogen-containing precursor may be selected such that their use does not form a titanium oxide in the layer of titanium nitride being formed. The titanium-containing precursor and the nitrogen-containing precursor may be selected such that these precursors do not include oxygen as an elemental component. In an embodiment, a titanium halide precursor, such as TiCl4, TiI4, or TiF4, may be used with NH3 as a reactant precursor. In an embodiment, a TiCl4 precursor may be used with a NH3 reactant precursor. In an embodiment, the substrate temperature may be held at a temperature ranging from about 380° C. to about 500° C. In an embodiment, the substrate temperature may be held at a temperature less than 600° C. After pulsing a titanium-containing precursor and after pulsing its reactant precursor, the reaction chamber may be purged of excess precursor and/or by-products. In various embodiments, use of the individual titanium-containing precursors is not limited to the temperature ranges of the above example embodiments. Further, forming titanium nitride by atomic layer deposition is not limited to the abovementioned precursors. In addition, the pulsing of the titanium precursor may use a pulsing period that provides uniform coverage of a monolayer on the surface or may use a pulsing period that provides partial coverage of a monolayer on the surface. The titanium nitride layer may be structured as one or more monolayers. The titanium nitride layer may a thickness ranging from a monolayer to thousands of angstroms or more.
  • FIG. 1 illustrates a block diagram of an embodiment of an electronic apparatus 100 having two devices 102, 103 on a substrate 105, where device 102 has a tantalum layer 112 contacting a hafnium silicon oxynitride film 110 and device 103 has a titanium nitride layer 117 contacting a hafnium silicon oxynitride film 115. Device 102 may include a transistor with hafnium silicon oxynitride film 110 as a gate dielectric and tantalum layer 112 as part of the corresponding gate. The transistor may be a NMOS transistor. Device 102 may include a capacitor with hafnium silicon oxynitride film 110 as a capacitor dielectric and tantalum layer 112 as part of the capacitor electrode. Such a capacitor may be structured as a DRAM capacitor. Such a capacitor may be structured as a capacitor in analog circuit, a radio frequency (RF) circuit, a mixed signal circuit, or combinations of these circuits. Mixed signal integrated circuits are integrated circuits that may operate with digital and analog signals. Device 103 may include a transistor with hafnium silicon oxynitride film 115 as a gate dielectric and titanium nitride layer 117 as part of the corresponding gate. The transistor may be a PMOS transistor. The transistor may be a NMOS transistor. Device 103 may include a capacitor with hafnium silicon oxynitride film 115 as a capacitor dielectric and titanium nitride layer 117 as part of the capacitor electrode. Such a capacitor may be structured as a DRAM capacitor. Such a capacitor may be structured as a capacitor in analog circuit, a RF circuit, a mixed signal circuit, or combinations of these circuits. In an embodiment, device 103 may be a PMOS transistor and device 102 may be a NMOS transistor in an integrated circuit.
  • FIG. 2 shows a simplified view of an embodiment of a complementary metal oxide semiconductor (CMOS) transistor 201 having a PMOS transistor 203 including a titanium nitride layer and a hafnium silicon oxynitride film and a NMOS transistor 202 including a tantalum layer and a hafnium silicon oxynitride film. NMOS transistor 202 includes source/ drain regions 206, 208, a gate 212 containing a tantalum layer contacting a gate insulator 210 containing a hafnium silicon oxynitride film. PMOS transistor 203 includes source/ drain regions 211, 213, a gate 217 containing a titanium nitride layer contacting a gate insulator 215 containing a hafnium silicon oxynitride film. In various embodiments, a masking process may be employed such that one HfSiON layer is matched to a Ta gate and the second HfSiON layer is matched to a TiN gate. Such masking processes are known to those skilled in the art. Other arrangements may be used for a CMOS transistor using hafnium silicon oxynitride films with a titanium nitride gate and a tantalum gate.
  • Though a silicide layer may be selected for use as an electrode with various high-K gate dielectrics, work function tuning by selecting the appropriate silicide to control threshold voltage may be limited by Fermi-level pinning. A CMOS structure using hafnium silicon oxynitride films with a titanium nitride gate and a tantalum gate provides a threshold voltage control technique that uses two different conductive layers with different work functions for the PMOS and NMOS transistors of the CMOS structure. In an embodiment, the use of two such different conductive layers as gates may be structured to provide substantially symmetrical threshold voltages. In an embodiment, a CMOS structure may have symmetrical threshold voltages in the range from about ±0.3V to about ±0.5V. Atomic layer deposition may be used to structure the HfSiON layers, the TiN layers, and the Ta layers to provide a high quality high-K dielectric with TiN and Ta contacts and to reduce or minimize process damage in the formation of these layers.
  • The use of TiN and Ta as electrodes for HfSiON films may address the problems associated with gate depletion that is inherent in conventional poly-Si gates and the problems associated with Fermi-level pinning with poly-Si gates on high-κ gate dielectrics. Various embodiments of device structures having ALD-deposited TiN or ALD-deposited Ta as electrodes for ALD-deposited HfSiON films may address problems associated with electron mobilities being significantly less for transistors having metal/high-K structures than for transistors having a poly-Si/SiO2 structures. Such degradation of electron mobilities may be related to damage caused in typical transistor fabrication in addition to remote coulomb scattering due to a large number of residual charges in the metal electrodes to the high-K dielectric. Fabrication problems in standard processes may include degrading the quality of the gate dielectric as a metal gate is formed on the gate dielectric by physical vapor deposition (PVD). In a PVD process, dielectric degradation may occur due to plasma radiation and mixing of elements at the metal/high-κ dielectric interface. Using a CVD process, unwanted residual impurities may be incorporated the metal/high-κ structures. In addition, annealing after forming a metal gate in these typical processes may further degrade the high-κ dielectric on which the metal gate is formed due to high temperatures associated with metal annealing, where elements of the high-κ dielectric may diffuse into the metal. Forming a HfSiON film with TiN or Ta electrodes in which all the components are formed by atomic layer deposition may avoid the problems accompanying other deposition techniques.
  • FIG. 3 shows an embodiment of a transistor 300 having a dielectric layer 340 containing a HfSiONx film. Transistor 300 may include a source region 320 and a drain region 330 in a silicon-based substrate 310 where source and drain regions 320, 330 are separated by a body region 332. Body region 332 defines a channel having a channel length 334. A gate dielectric 340 may be disposed on substrate 310 with gate dielectric 340 formed as a dielectric layer containing HfSiONx. Gate dielectric 340 may be realized as a dielectric layer formed substantially of HfSiONx. Gate dielectric 340 may be constructed as multiple dielectric layers, that is, as a dielectric stack, containing at least one HfSiONx film and one or more layers of insulating material other than a hafnium silicon oxynitride film. The HfSiONx film may be structured as one or more monolayers. An embodiment of a HfSiONx film may be formed using atomic layer deposition. In an embodiment, gate dielectric 340 may be realized as a gate insulator in a silicon-based CMOS transistor.
  • A gate 350 may be formed over and contact gate dielectric 340. Gate 350 may include a tantalum layer, a titanium nitride layer, or a combination of a tantalum layer and a titanium nitride layer. Gate 350 may include a tantalum layer structured as one or more monolayers. The tantalum layer may be an ALD tantalum layer. The thickness of the tantalum layer may range from a monolayer to thousands of angstroms or more. Gate 350 may be configured substantially as a tantalum layer. Gate 350 may include a titanium nitride layer structured as one or more monolayers. The titanium nitride layer may be an ALD titanium nitride layer. The thickness of the titanium nitride layer may range from a monolayer to thousands of angstroms or more. Gate 350 may be configured substantially as a titanium nitride layer.
  • An interfacial layer may form between body region and gate dielectric 340. In an embodiment, an interfacial layer may be limited to a relatively small thickness compared to gate dielectric 340, or to a thickness significantly less than gate dielectric 340 as to be effectively eliminated. Forming the substrate and the source and drain regions may be performed using standard processes known to those skilled in the art. Additionally, the sequencing of the various elements of the process for forming a transistor may be conducted with fabrication processes known to those skilled in the art. Transistor 300 may be an NMOS transistor. Transistor 300 may be a PMOS transistor. Transistor 300 is not limited to the arrangement illustrated in FIG. 3. Use of a gate dielectric containing hafnium silicon oxynitride is not limited to silicon based substrates, but may be used with a variety of semiconductor substrates.
  • FIG. 4 shows an embodiment of a floating gate transistor 400 having a dielectric layer containing a HfSiONx film. The HfSiONx film may be structured as one or more monolayers. The HfSiONx film may be formed using atomic layer deposition techniques. Transistor 400 may include a silicon-based substrate 410 with a source 420 and a drain 430 separated by a body region 432. Body region 432 between source 420 and drain 430 defines a channel region having a channel length 434. Located above body region 432 is a stack 455 including a gate dielectric 440, a floating gate 452, a floating gate dielectric 442, and a control gate 450. An interfacial layer may form between body region 432 and gate dielectric 440. In an embodiment, an interfacial layer may be limited to a relatively small thickness compared to gate dielectric 440, or to a thickness significantly less than gate dielectric 440 as to be effectively eliminated.
  • In an embodiment, gate dielectric 440 includes a dielectric containing an atomic layer deposited HfSiONx film formed in embodiments similar to those described herein. Gate dielectric 440 may be realized as a dielectric layer formed substantially of HfSiONx. Gate dielectric 440 may be a dielectric stack containing at least one HfSiONx film and one or more layers of other insulating materials.
  • In an embodiment, floating gate 452 may be formed over and contact gate dielectric 440. Floating gate 452 may include a tantalum layer, a titanium nitride layer, or a combination of a tantalum layer and a titanium nitride layer. Floating gate 452 may include a tantalum layer structured as one or more monolayers. The tantalum layer may be an ALD tantalum layer. The thickness of the tantalum layer may range from a monolayer to thousands of angstroms or more. Floating gate 452 may be configured substantially as a tantalum layer. Floating gate 452 may include a titanium nitride layer structured as one or more monolayers. The titanium nitride layer may be an ALD titanium nitride layer. The thickness of the titanium nitride layer may range from a monolayer to thousands of angstroms or more. Floating gate 452 may be configured substantially as a titanium nitride layer.
  • In an embodiment, floating gate dielectric 442 includes a dielectric containing a HfSiONx film. The HfSiONx film may be structured as one or more monolayers. In an embodiment, the HfSiONx may be formed using atomic layer deposition techniques. Floating gate dielectric 442 may be realized as a dielectric layer formed substantially of HfSiONx. Floating gate dielectric 442 may be a dielectric stack containing at least one HfSiONx film and one or more layers of other insulating materials.
  • In an embodiment, control gate 450 may be formed over and contact floating gate dielectric 442. Control gate 450 may include a tantalum layer, a titanium nitride layer, or a combination of a tantalum layer and a titanium nitride layer. Control gate 450 may include a tantalum layer structured as one or more monolayers. The tantalum layer may be an ALD tantalum layer. The thickness of the tantalum layer may range from a monolayer to thousands of angstroms or more. Control gate 450 may be configured substantially as a tantalum layer. Control gate 450 may include a titanium nitride layer structured as one or more monolayers. The titanium nitride layer may be an ALD titanium nitride layer. The thickness of the titanium nitride layer may range from a monolayer to thousands of angstroms or more. Control gate 450 may be configured substantially as a titanium nitride layer.
  • Alternatively, both gate dielectric 440 and floating gate dielectric 442 may be formed as dielectric layers containing a HfSiONx film structured as one or more monolayers. Control gate 450 and floating gate 452 may be formed as conductive layers containing a Ta layer, a TiNs layer, or a combination of a Ta layer and a TiNs layer with each layer structured as one or more monolayers. Gate dielectric 440, floating gate dielectric 442, control gate 450, and floating gate 452 may be realized by embodiments similar to those described herein, with the remaining elements of the transistor 400 formed using processes known to those skilled in the art. In an embodiment, gate dielectric 440 forms a tunnel gate insulator and floating gate dielectric 442 forms an inter-gate insulator in flash memory devices, where gate dielectric 440 and floating gate dielectric 442 may include a hafnium silicon oxynitride film structured as one or more monolayers. Floating gate transistor 400 is not limited to the arrangement illustrated in FIG. 4. Such structures are not limited to silicon-based substrates, but may be used with a variety of semiconductor substrates.
  • Embodiments of a hafnium silicon oxynitride film structured as one or more monolayers having a Ta or a TiNs electrode structured as one or more monolayers may also be applied to capacitors in various integrated circuits, memory devices, and electronic systems. In an embodiment for a capacitor 500 illustrated in FIG. 5, a method includes forming a first conductive layer 510, forming a dielectric layer 520 containing a hafnium silicon oxynitride film structured as one or more monolayers on first conductive layer 510, and forming a second conductive layer 530 on dielectric layer 520. Second conductive layer 530, first conductive layer 510 or both second and first conductive layers 530, 510 may include a Ta layer, a TiNs layer, or combination of a Ta layer and a TiNs layer. Dielectric layer 520, containing a HfSiONx film, and conductive layers 510, 520 may be formed using various embodiments described herein. Dielectric layer 520 may be realized as a dielectric layer formed substantially of HfSiONx. Dielectric layer 520 may be a dielectric stack containing at least one HfSiONx film and one or more layers of other insulating materials. Embodiments for a hafnium silicon oxynitride film having a Ta conductive layer, a TiNs conductive layer, or a combination of a Ta conductive layer and a TiNs conductive layer structured as one or more monolayers may include, but are not limited to, a capacitor in a DRAM and capacitors in analog, radio frequency (RF), and mixed signal integrated circuits.
  • FIG. 6 depicts an embodiment of a dielectric structure 600 having multiple dielectric layers 605-1, 605-2, . . . 605-N, in which at least one layer is a hafnium silicon oxynitride layer. Layers 610 and 620 may provide means to contact dielectric layers 605-1, 605-2, . . . 605-N. Each layer 610, 620 or both layers may be conductive layers containing a Ta layer, a TiNs layer, or a combination of a Ta layer and a TiNs layer. Layers 610 and 620 may be electrodes forming a capacitor. Layer 610 may be a body region of a transistor with layer 620 being a gate. Layer 610 may be a floating gate electrode with layer 620 being a control gate.
  • In an embodiment, dielectric structure 600 includes one or more layers 605-1, 605-2 . . . 605-N as dielectric layers other than a HfSiON layer, where at least one layer is a HfSiON layer. Dielectric layers 605-1, 605-2 . . . 605-N may include a HfOx layer, a SiNr layer, a SiOz layer, a HfSiO layer, a SiON layer, or various combinations of these layers. Dielectric layers 605-1, 605-2 . . . 605-N may include an insulating metal oxide layer, whose metal is selected to be a metal different from hafnium. Dielectric layers 605-1, 605-2, . . . 605-N may include an insulating nitride layer. Dielectric layers 605-1, 605-2, . . . 605-N may include an insulating oxynitride layer. Dielectric layers 605-1, 605-2, . . . 605-N may include an insulating silicate layer.
  • Various embodiments for a dielectric layer containing a hafnium silicon oxynitride film structured as one or more monolayers may provide for enhanced device performance by providing devices with reduced leakage current. Such improvements in leakage current characteristics may be attained by forming one or more layers of a hafnium silicon oxynitride in a nanolaminate structure with other metal oxides, non-metal-containing dielectrics, or combinations thereof. The transition from one layer of the nanolaminate to another layer of the nanolaminate provides disruption to a tendency for an ordered structure in the nanolaminate stack. The term “nanolaminate” means a composite film of ultra thin layers of two or more materials in a layered stack. Typically, each layer in a nanolaminate has a thickness of an order of magnitude in the nanometer range. Further, each individual material layer of the nanolaminate may have a thickness as low as a monolayer of the material or as high as 20 nanometers. In an embodiment, a HfOx/HfSiON nanolaminate contains alternating layers of a hafnium oxide and HfSiON. In an embodiment, a SiNr/HfSiON nanolaminate contains alternating layers of silicon nitride and HfSiON. In an embodiment, a SiOz/HfSiON nanolaminate contains alternating layers of silicon oxide and HfSiON. In an embodiment, a HfOx/SiNr/SiOz/HfSiON nanolaminate contains various permutations of hafnium oxide layers, silicon nitride layers, silicon oxide layers, and hafnium silicon oxynitride layers.
  • In an embodiment, dielectric structure 600 may be structured as a nanolaminate structure 600 including a HfSiONx film structured as one or more monolayers. Nanolaminate structure 600 includes a plurality of layers 605-1, 605-2 to 605-N, where at least one layer contains a HfSiONx film structured as one or more monolayers. The other layers may be insulating nitrides, insulating oxynitrides, and other dielectric materials such as insulating metal oxides. The sequencing of the layers depends on the application. The effective dielectric constant associated with nanolaminate structure 600 is that attributable to N capacitors in series, where each capacitor has a thickness defined by the thickness and composition of the corresponding layer. By selecting each thickness and the composition of each layer, a nanolaminate structure can be engineered to have a predetermined dielectric constant. Embodiments for structures such as nanolaminate structure 600 may be used as nanolaminate dielectrics in NROM flash memory devices as well as other integrated circuits. In an embodiment, a layer of the nanolaminate structure 600 is used to store charge in a NROM device. The charge storage layer of a nanolaminate structure 600 in a NROM device may be a silicon oxide layer.
  • Transistors, capacitors, and other devices may include dielectric films containing a layer of a hafnium silicon oxynitride compound structured as one or more monolayers with a tantalum electrode, a titanium nitride electrode, or an electrode having a combination of a tantalum and a titanium nitride, the tantalum and titanium nitride structured as one or more monolayers. The hafnium silicon oxynitride layer, tantalum, and the titanium nitride may be formed by atomic layer deposition. Dielectric films containing a hafnium silicon oxynitride layer with a tantalum electrode, a titanium nitride electrode, or an electrode having a combination of a tantalum and a titanium nitride may be implemented into memory devices and electronic systems including information handling devices. Further, embodiments of electronic devices and electronic apparatus may be realized as integrated circuits. Embodiments of information handling devices may include wireless systems, telecommunication systems, and computers.
  • FIG. 7 illustrates a block diagram for an electronic system 700 having one or more devices having a dielectric structure including a HfSiONx film structured as one or more monolayers with a tantalum electrode, a titanium nitride electrode, or an electrode having a combination of a tantalum and a titanium nitride, the tantalum and titanium nitride structured as one or more monolayers. Electronic system 700 includes a controller 705, a bus 715, and an electronic device 725, where bus 715 provides electrical conductivity between controller 705 and electronic device 725. In various embodiments, controller 705 may include an embodiment of a HfSiONx film with a tantalum electrode, a titanium nitride electrode, or an electrode having a combination of a tantalum and a titanium nitride. In various embodiments, electronic device 725 may include an embodiment of a HfSiONx film with a tantalum electrode, a titanium nitride electrode, or an electrode having a combination of a tantalum and a titanium nitride. In various embodiments, controller 705 and electronic device 725 may include embodiments of a HfSiONx film with a tantalum electrode, a titanium nitride electrode, or an electrode having a combination of a tantalum and a titanium nitride. Electronic system 700 may include, but is not limited to, fiber optic systems, electro-optic systems, and information handling systems such as wireless systems, telecommunication systems, and computers.
  • FIG. 8 depicts a diagram of an embodiment of a system 800 having a controller 805 and a memory 825. Controller 805 may include a HfSiONx film structured as one or more monolayers with a tantalum electrode, a titanium nitride electrode, or an electrode having a combination of a tantalum and a titanium nitride, the tantalum and titanium nitride structured as one or more monolayers. Memory 825 may include a HfSiONx film structured as one or more monolayers with a tantalum electrode, a titanium nitride electrode, or an electrode having a combination of a tantalum and a titanium nitride, the tantalum and titanium nitride structured as one or more monolayers. Controller 805 and memory 825 may each include a HfSiONx film structured as one or more monolayers with a tantalum electrode, a titanium nitride electrode, or an electrode having a combination of a tantalum and a titanium nitride, the tantalum and titanium nitride structured as one or more monolayers. System 800 also includes an electronic apparatus 835 and a bus 815, where bus 815 provides electrical conductivity between controller 805 and electronic apparatus 835, and between controller 805 and memory 825. Bus 815 may include an address bus, a data bus, and a control bus, each independently configured. Alternatively, bus 815 may use common conductive lines for providing one or more of address, data, or control, the use of which is regulated by controller 805. In an embodiment, electronic apparatus 835 may be additional memory configured in a manner similar to memory 825. An embodiment may include an additional peripheral device or devices 845 coupled to bus 815. In an embodiment, controller 805 is a processor. One or more of controller 805, memory 825, bus 815, electronic apparatus 835, or peripheral devices 845 may include an embodiment of a dielectric layer having a HfSiONx film structured as one or more monolayers with a tantalum electrode, a titanium nitride electrode, or an electrode having a combination of a tantalum and a titanium nitride, the tantalum and titanium nitride structured as one or more monolayers. System 800 may include, but is not limited to, information handling devices, telecommunication systems, and computers.
  • Peripheral devices 845 may include displays, additional storage memory, or other control devices that may operate in conjunction with controller 805. Alternatively, peripheral devices 845 may include displays, additional storage memory, or other control devices that may operate in conjunction with memory 825, or controller 805 and memory 825.
  • Memory 825 may be realized as a memory device containing a HfSiONx film structured as one or more monolayers with a tantalum electrode, a titanium nitride electrode, or an electrode having a combination of a tantalum and a titanium nitride, the tantalum and titanium nitride structured as one or more monolayers. A HfSiONx structure with a Ta conductive layer, a TiNs conductive layer, or a combination of a Ta conductive layer and a TiNs conductive layer may be formed in a memory cell of a memory array. Such a structure may be formed in a capacitor in a memory cell of a memory array. Such a structure may be formed in a transistor in a memory cell of a memory array. It will be understood that embodiments are equally applicable to any size and type of memory circuit and are not intended to be limited to a particular type of memory device. Memory types include a DRAM, SRAM (Static Random Access Memory) or Flash memories. Additionally, the DRAM could be a synchronous DRAM commonly referred to as SGRAM (Synchronous Graphics Random Access Memory), SDRAM (Synchronous Dynamic Random Access Memory), SDRAM II, and DDR SDRAM (Double Data Rate SDRAM), as well as other emerging DRAM technologies.
  • Although specific embodiments have been illustrated and described herein, it will be appreciated by those of ordinary skill in the art that any arrangement that is calculated to achieve the same purpose may be substituted for the specific embodiments shown. It is to be understood that the above description is intended to be illustrative, and not restrictive, and that the phraseology or terminology employed herein is for the purpose of description and not of limitation. Combinations of the above embodiments and other embodiments will be apparent to those of skill in the art upon studying the above description.

Claims (4)

What is claimed is:
1. An electronic device comprising:
a dielectric including hafnium silicon oxynitride, the hafnium silicon oxynitride doped with elements or compounds other than hafnium or silicon; and
a conductive material coupled to the dielectric.
2. The electronic device of claim 1, wherein the dielectric is structured as a stack of dielectrics.
3. The electronic device of claim 1, wherein the electronic device includes:
tantalum as the conductive material coupled to the hafnium silicon oxynitride such that the tantalum is disposed as a gate with the hafnium silicon oxynitride as a gate insulator in a PMOS transistor of a CMOS structure; and
a titanium nitride as a gate on another region of hafnium silicon oxynitride in a NMOS transistor of the CMOS structure such that the NMOS transistor and the PMOS transistor have substantially symmetrical threshold voltages.
4. The electronic device of claim 1, wherein the electronic device includes a memory device structured with the hafnium silicon oxynitride disposed in a nanolaminate containing silicon oxide as a charge storage region of the nanolaminate.
US14/335,453 2006-02-16 2014-07-18 Conductive layers for hafnium silicon oxynitride films Abandoned US20140327065A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/335,453 US20140327065A1 (en) 2006-02-16 2014-07-18 Conductive layers for hafnium silicon oxynitride films

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US11/355,490 US7709402B2 (en) 2006-02-16 2006-02-16 Conductive layers for hafnium silicon oxynitride films
US12/772,473 US8067794B2 (en) 2006-02-16 2010-05-03 Conductive layers for hafnium silicon oxynitride films
US13/305,338 US8785312B2 (en) 2006-02-16 2011-11-28 Conductive layers for hafnium silicon oxynitride
US14/335,453 US20140327065A1 (en) 2006-02-16 2014-07-18 Conductive layers for hafnium silicon oxynitride films

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/305,338 Division US8785312B2 (en) 2006-02-16 2011-11-28 Conductive layers for hafnium silicon oxynitride

Publications (1)

Publication Number Publication Date
US20140327065A1 true US20140327065A1 (en) 2014-11-06

Family

ID=38367541

Family Applications (4)

Application Number Title Priority Date Filing Date
US11/355,490 Active 2027-01-11 US7709402B2 (en) 2006-02-16 2006-02-16 Conductive layers for hafnium silicon oxynitride films
US12/772,473 Active US8067794B2 (en) 2006-02-16 2010-05-03 Conductive layers for hafnium silicon oxynitride films
US13/305,338 Active US8785312B2 (en) 2006-02-16 2011-11-28 Conductive layers for hafnium silicon oxynitride
US14/335,453 Abandoned US20140327065A1 (en) 2006-02-16 2014-07-18 Conductive layers for hafnium silicon oxynitride films

Family Applications Before (3)

Application Number Title Priority Date Filing Date
US11/355,490 Active 2027-01-11 US7709402B2 (en) 2006-02-16 2006-02-16 Conductive layers for hafnium silicon oxynitride films
US12/772,473 Active US8067794B2 (en) 2006-02-16 2010-05-03 Conductive layers for hafnium silicon oxynitride films
US13/305,338 Active US8785312B2 (en) 2006-02-16 2011-11-28 Conductive layers for hafnium silicon oxynitride

Country Status (1)

Country Link
US (4) US7709402B2 (en)

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8026161B2 (en) 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7101813B2 (en) 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US6958302B2 (en) 2002-12-04 2005-10-25 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
US7601649B2 (en) 2004-08-02 2009-10-13 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7494939B2 (en) 2004-08-31 2009-02-24 Micron Technology, Inc. Methods for forming a lanthanum-metal oxide dielectric layer
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
JP4372024B2 (en) 2005-02-14 2009-11-25 株式会社東芝 CMOS semiconductor device
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7390756B2 (en) 2005-04-28 2008-06-24 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7989290B2 (en) 2005-08-04 2011-08-02 Micron Technology, Inc. Methods for forming rhodium-based charge traps and apparatus including rhodium-based charge traps
US7575978B2 (en) 2005-08-04 2009-08-18 Micron Technology, Inc. Method for making conductive nanoparticle charge storage element
US7335562B2 (en) * 2005-10-24 2008-02-26 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US7972974B2 (en) 2006-01-10 2011-07-05 Micron Technology, Inc. Gallium lanthanide oxide films
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7563730B2 (en) 2006-08-31 2009-07-21 Micron Technology, Inc. Hafnium lanthanide oxynitride films
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
US7544604B2 (en) 2006-08-31 2009-06-09 Micron Technology, Inc. Tantalum lanthanide oxynitride films
US7776765B2 (en) 2006-08-31 2010-08-17 Micron Technology, Inc. Tantalum silicon oxynitride high-k dielectrics and metal gates
US7759747B2 (en) 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
JP2009071232A (en) * 2007-09-18 2009-04-02 Elpida Memory Inc Semiconductor device, and manufacturing method thereof
WO2009093171A1 (en) * 2008-01-23 2009-07-30 Nxp B.V. Improved phase control in hf- or zr-based high-k oxides
KR100945935B1 (en) * 2008-04-07 2010-03-05 주식회사 하이닉스반도체 Method of fabricating non-volatile memory device
US8633074B2 (en) * 2008-09-17 2014-01-21 Spansion Llc Electrically programmable and erasable memory device and method of fabrication thereof
US8878363B2 (en) * 2009-06-26 2014-11-04 Intel Corporation Fermi-level unpinning structures for semiconductive devices, processes of forming same, and systems containing same
JP5247619B2 (en) 2009-07-28 2013-07-24 キヤノンアネルバ株式会社 Dielectric film, semiconductor device manufacturing method using the dielectric film, and semiconductor manufacturing apparatus
KR101712040B1 (en) 2009-10-20 2017-03-03 에이에스엠 인터내셔널 엔.브이. Processes for passivating dielectric films
CN101894750A (en) * 2010-05-28 2010-11-24 上海集成电路研发中心有限公司 Method for carrying out dry etching on TaN electrode
US8633119B2 (en) * 2011-05-10 2014-01-21 Applied Materials, Inc. Methods for manufacturing high dielectric constant films
JP5779721B2 (en) 2011-09-16 2015-09-16 エンパイア テクノロジー ディベロップメント エルエルシー Method and system for correcting graphene defects
WO2013039506A1 (en) * 2011-09-16 2013-03-21 Empire Technology Development Llc Graphene defect alteration
US9653300B2 (en) 2013-04-16 2017-05-16 United Microelectronics Corp. Structure of metal gate structure and manufacturing method of the same
TWI582839B (en) * 2013-04-17 2017-05-11 聯華電子股份有限公司 Structure of metal gate structure and manufacturing method of the same
US9666593B2 (en) 2014-09-29 2017-05-30 Sandisk Technologies Llc Alternating refractive index in charge-trapping film in three-dimensional memory
US10491177B2 (en) * 2015-07-30 2019-11-26 Circuit Seed, Llc Multi-stage and feed forward compensated complementary current field effect transistor amplifiers
EP3550595A4 (en) * 2016-11-30 2019-11-27 Ricoh Company, Ltd. Coating liquid for forming oxide or oxynitride insulator film, oxide or oxynitride insulator film, field effect transistor, and methods for manufacturing these
US9922885B1 (en) * 2016-11-30 2018-03-20 Micron Technology, Inc. Semiconductor devices comprising nitrogen-doped gate dielectric
TWI713117B (en) * 2017-01-05 2020-12-11 聯華電子股份有限公司 Method for fabricating metal gate structure
US11923189B2 (en) 2018-04-02 2024-03-05 Lam Research Corporation Capping layer for a hafnium oxide-based ferroelectric material

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6562491B1 (en) * 2001-10-15 2003-05-13 Advanced Micro Devices, Inc. Preparation of composite high-K dielectrics
US6645882B1 (en) * 2002-01-17 2003-11-11 Advanced Micro Devices, Inc. Preparation of composite high-K/standard-K dielectrics for semiconductor devices
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US20050067704A1 (en) * 2003-09-26 2005-03-31 Akio Kaneko Semiconductor device and method of manufacturing the same
US20050280104A1 (en) * 2004-06-17 2005-12-22 Hong-Jyh Li CMOS transistor with dual high-k gate dielectric and method of manufacture thereof
US7374696B2 (en) * 2003-02-14 2008-05-20 Applied Materials, Inc. Method and apparatus for removing a halogen-containing residue

Family Cites Families (657)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI118158B (en) 1999-10-15 2007-07-31 Asm Int Process for modifying the starting chemical in an ALD process
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
US3381114A (en) 1963-12-28 1968-04-30 Nippon Electric Co Device for manufacturing epitaxial crystals
US3407479A (en) 1965-06-28 1968-10-29 Motorola Inc Isolation of semiconductor devices
JPS4844581B1 (en) 1969-03-15 1973-12-25
BE755039A (en) 1969-09-15 1971-02-01 Ibm PERMANENT SEMI-CONDUCTOR MEMORY
US3787370A (en) * 1971-03-19 1974-01-22 Teijin Ltd Process for the preparation of substan-tially linear polyesters of low free carboxyl group content
US3830657A (en) 1971-06-30 1974-08-20 Ibm Method for making integrated circuit contact structure
US3865654A (en) 1972-11-01 1975-02-11 Ibm Complementary field effect transistor having p doped silicon gates and process for making the same
US3877054A (en) 1973-03-01 1975-04-08 Bell Telephone Labor Inc Semiconductor memory apparatus with a multilayer insulator contacting the semiconductor
SE393967B (en) 1974-11-29 1977-05-31 Sateko Oy PROCEDURE AND PERFORMANCE OF LAYING BETWEEN THE STORAGE IN A LABOR PACKAGE
US3964085A (en) 1975-08-18 1976-06-15 Bell Telephone Laboratories, Incorporated Method for fabricating multilayer insulator-semiconductor memory apparatus
US4152627A (en) 1977-06-10 1979-05-01 Monolithic Memories Inc. Low power write-once, read-only memory array
US4215156A (en) 1977-08-26 1980-07-29 International Business Machines Corporation Method for fabricating tantalum semiconductor contacts
US4173791A (en) 1977-09-16 1979-11-06 Fairchild Camera And Instrument Corporation Insulated gate field-effect transistor read-only memory array
US4217601A (en) 1979-02-15 1980-08-12 International Business Machines Corporation Non-volatile memory devices fabricated from graded or stepped energy band gap insulator MIM or MIS structure
FI57975C (en) 1979-02-28 1980-11-10 Lohja Ab Oy OVER ANCHORING VIDEO UPDATE FOR AVAILABILITY
JPS5656677A (en) 1979-10-13 1981-05-18 Toshiba Corp Semiconductor memory device
US4333808A (en) 1979-10-30 1982-06-08 International Business Machines Corporation Method for manufacture of ultra-thin film capacitor
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
GB2085166A (en) 1980-10-07 1982-04-21 Itt Ind Ltd Semiconductor gas sensor
US4435896A (en) 1981-12-07 1984-03-13 Bell Telephone Laboratories, Incorporated Method for fabricating complementary field effect transistor devices
US4939559A (en) 1981-12-14 1990-07-03 International Business Machines Corporation Dual electron injector structures using a conductive oxide between injectors
EP0089168B1 (en) 1982-03-15 1986-07-23 Kabushiki Kaisha Toshiba Optical type information recording medium
US4542870A (en) 1983-08-08 1985-09-24 The United States Of America As Represented By The Secretary Of The Army SSICM guidance and control concept
JPS61105862A (en) 1984-10-30 1986-05-23 Toshiba Corp Semiconductor device
US4590042A (en) 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US4920071A (en) 1985-03-15 1990-04-24 Fairchild Camera And Instrument Corporation High temperature interconnect system for an integrated circuit
US5017977A (en) 1985-03-26 1991-05-21 Texas Instruments Incorporated Dual EPROM cells on trench walls with virtual ground buried bit lines
US4814854A (en) 1985-05-01 1989-03-21 Texas Instruments Incorporated Integrated circuit device and process with tin-gate transistor
US4931411A (en) 1985-05-01 1990-06-05 Texas Instruments Incorporated Integrated circuit process with TiN-gate transistor
US4811078A (en) 1985-05-01 1989-03-07 Texas Instruments Incorporated Integrated circuit device and process with tin capacitors
DE3606959A1 (en) 1986-03-04 1987-09-10 Leybold Heraeus Gmbh & Co Kg DEVICE FOR PLASMA TREATMENT OF SUBSTRATES IN A PLASMA DISCHARGE EXCITED BY HIGH FREQUENCY
US4745082A (en) 1986-06-12 1988-05-17 Ford Microelectronics, Inc. Method of making a self-aligned MESFET using a substitutional gate with side walls
JPH0746702B2 (en) 1986-08-01 1995-05-17 株式会社日立製作所 Semiconductor memory device
US5016215A (en) 1987-09-30 1991-05-14 Texas Instruments Incorporated High speed EPROM with reverse polarity voltages applied to source and drain regions during reading and writing
JPH07120719B2 (en) 1987-12-02 1995-12-20 三菱電機株式会社 Semiconductor memory device
JPH07120720B2 (en) 1987-12-17 1995-12-20 三菱電機株式会社 Nonvolatile semiconductor memory device
US5677867A (en) 1991-06-12 1997-10-14 Hazani; Emanuel Memory with isolatable expandable bit lines
US5153144A (en) 1988-05-10 1992-10-06 Hitachi, Ltd. Method of making tunnel EEPROM
US5293560A (en) 1988-06-08 1994-03-08 Eliyahou Harari Multi-state flash EEPROM system using incremental programing and erasing methods
JPH029115A (en) 1988-06-28 1990-01-12 Mitsubishi Electric Corp Semiconductor manufacturing equipment
US4888733A (en) 1988-09-12 1989-12-19 Ramtron Corporation Non-volatile memory cell and sensing method
US5042011A (en) 1989-05-22 1991-08-20 Micron Technology, Inc. Sense amplifier pulldown device with tailored edge input
US5111430A (en) 1989-06-22 1992-05-05 Nippon Telegraph And Telephone Corporation Non-volatile memory with hot carriers transmitted to floating gate through control gate
US4993358A (en) 1989-07-28 1991-02-19 Watkins-Johnson Company Chemical vapor deposition reactor and method of operation
US5198029A (en) 1989-08-01 1993-03-30 Gte Products Corporation Apparatus for coating small solids
US4994404A (en) 1989-08-28 1991-02-19 Motorola, Inc. Method for forming a lightly-doped drain (LDD) structure in a semiconductor device
US5027171A (en) 1989-08-28 1991-06-25 The United States Of America As Represented By The Secretary Of The Navy Dual polarity floating gate MOS analog memory device
DE69030365T2 (en) 1989-12-22 1997-10-23 Sumitomo Electric Industries Method for producing a superconducting microwave component
US5840897A (en) 1990-07-06 1998-11-24 Advanced Technology Materials, Inc. Metal complex source reagents for chemical vapor deposition
US6110529A (en) 1990-07-06 2000-08-29 Advanced Tech Materials Method of forming metal films on a substrate by chemical vapor deposition
US5080928A (en) 1990-10-05 1992-01-14 Gte Laboratories Incorporated Method for making moisture insensitive zinc sulfide based luminescent materials
US6143582A (en) 1990-12-31 2000-11-07 Kopin Corporation High density electronic circuit modules
US5253196A (en) 1991-01-09 1993-10-12 The United States Of America As Represented By The Secretary Of The Navy MOS analog memory with injection capacitors
US5158986A (en) 1991-04-05 1992-10-27 Massachusetts Institute Of Technology Microcellular thermoplastic foamed with supercritical fluid
US5192589A (en) 1991-09-05 1993-03-09 Micron Technology, Inc. Low-pressure chemical vapor deposition process for depositing thin titanium nitride films having low and stable resistivity
JPH05198739A (en) 1991-09-10 1993-08-06 Mitsubishi Electric Corp Laminated semiconductor device and its manufacture
US5449941A (en) 1991-10-29 1995-09-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor memory device
US5303182A (en) 1991-11-08 1994-04-12 Rohm Co., Ltd. Nonvolatile semiconductor memory utilizing a ferroelectric film
JP3088168B2 (en) 1991-12-13 2000-09-18 ティーディーケイ株式会社 Optical recording medium and manufacturing method thereof
US5274249A (en) 1991-12-20 1993-12-28 University Of Maryland Superconducting field effect devices with thin channel layer
US5391510A (en) 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US5399516A (en) 1992-03-12 1995-03-21 International Business Machines Corporation Method of making shadow RAM cell having a shallow trench EEPROM
US5388069A (en) 1992-03-19 1995-02-07 Fujitsu Limited Nonvolatile semiconductor memory device for preventing erroneous operation caused by over-erase phenomenon
US5280205A (en) 1992-04-16 1994-01-18 Micron Technology, Inc. Fast sense amplifier
US5262199A (en) 1992-04-17 1993-11-16 Center For Innovative Technology Coating porous materials with metal oxides and other ceramics by MOCVD
US6081034A (en) 1992-06-12 2000-06-27 Micron Technology, Inc. Low-resistance contact to silicon having a titanium silicide interface and an amorphous titanium carbonitride barrier layer
US5317535A (en) 1992-06-19 1994-05-31 Intel Corporation Gate/source disturb protection for sixteen-bit flash EEPROM memory arrays
US5572052A (en) 1992-07-24 1996-11-05 Mitsubishi Denki Kabushiki Kaisha Electronic device using zirconate titanate and barium titanate ferroelectrics in insulating layer
JPH0677434A (en) 1992-08-27 1994-03-18 Hitachi Ltd Semiconductor memory device
US5323022A (en) 1992-09-10 1994-06-21 North Carolina State University Platinum ohmic contact to p-type silicon carbide
US5379255A (en) 1992-12-14 1995-01-03 Texas Instruments Incorporated Three dimensional famos memory devices and methods of fabricating
US6136168A (en) 1993-01-21 2000-10-24 Tdk Corporation Clean transfer method and apparatus therefor
TW235363B (en) 1993-01-25 1994-12-01 Hitachi Seisakusyo Kk
JPH06275087A (en) 1993-03-19 1994-09-30 Fujitsu Ltd Non-volatile semiconductor memory
US5399379A (en) 1993-04-14 1995-03-21 Micron Semiconductor, Inc. Low-pressure chemical vapor deposition process for depositing high-density, highly-conformal titanium nitride films of low bulk resistivity
US5246881A (en) 1993-04-14 1993-09-21 Micron Semiconductor, Inc. Low-pressure chemical vapor deposition process for depositing high-density, highly-conformal, titanium nitride films of low bulk resistivity
US5341016A (en) 1993-06-16 1994-08-23 Micron Semiconductor, Inc. Low resistance device element and interconnection structure
US5539279A (en) 1993-06-23 1996-07-23 Hitachi, Ltd. Ferroelectric memory
US6521950B1 (en) 1993-06-30 2003-02-18 The United States Of America As Represented By The Secretary Of The Navy Ultra-high resolution liquid crystal display on silicon-on-sapphire
US5493140A (en) 1993-07-05 1996-02-20 Sharp Kabushiki Kaisha Nonvolatile memory cell and method of producing the same
US5298447A (en) 1993-07-22 1994-03-29 United Microelectronics Corporation Method of fabricating a flash memory cell
JP3328389B2 (en) 1993-09-14 2002-09-24 康夫 垂井 Manufacturing method of ferroelectric thin film
US5467306A (en) 1993-10-04 1995-11-14 Texas Instruments Incorporated Method of using source bias to increase threshold voltages and/or to correct for over-erasure of flash eproms
US5430670A (en) 1993-11-08 1995-07-04 Elantec, Inc. Differential analog memory cell and method for adjusting same
US5424993A (en) 1993-11-15 1995-06-13 Micron Technology, Inc. Programming method for the selective healing of over-erased cells on a flash erasable programmable read-only memory device
US5424975A (en) 1993-12-30 1995-06-13 Micron Technology, Inc. Reference circuit for a non-volatile ferroelectric memory
JP3710507B2 (en) 1994-01-18 2005-10-26 ローム株式会社 Non-volatile memory
US5434815A (en) 1994-01-19 1995-07-18 Atmel Corporation Stress reduction for non-volatile memory cell
JP2990011B2 (en) 1994-03-29 1999-12-13 ティーディーケイ株式会社 Optical recording medium
US5508543A (en) 1994-04-29 1996-04-16 International Business Machines Corporation Low voltage memory
US5410504A (en) 1994-05-03 1995-04-25 Ward; Calvin B. Memory based on arrays of capacitors
US5485422A (en) 1994-06-02 1996-01-16 Intel Corporation Drain bias multiplexing for multiple bit flash cell
US5828080A (en) 1994-08-17 1998-10-27 Tdk Corporation Oxide thin film, electronic device substrate and electronic device
US5457649A (en) 1994-08-26 1995-10-10 Microchip Technology, Inc. Semiconductor memory device and write-once, read-only semiconductor memory array using amorphous-silicon and method therefor
US5822256A (en) 1994-09-06 1998-10-13 Intel Corporation Method and circuitry for usage of partially functional nonvolatile memory
US5572459A (en) 1994-09-16 1996-11-05 Ramtron International Corporation Voltage reference for a ferroelectric 1T/1C based memory
JP3160160B2 (en) 1994-09-28 2001-04-23 シャープ株式会社 Semiconductor storage device
US5593912A (en) 1994-10-06 1997-01-14 International Business Machines Corporation SOI trench DRAM cell for 256 MB DRAM and beyond
US5747116A (en) 1994-11-08 1998-05-05 Micron Technology, Inc. Method of forming an electrical contact to a silicon substrate
JP3610621B2 (en) 1994-11-11 2005-01-19 ソニー株式会社 Nonvolatile semiconductor memory device
JPH08203266A (en) 1995-01-27 1996-08-09 Nec Corp Ferroelectric memory device
US5477485A (en) 1995-02-22 1995-12-19 National Semiconductor Corporation Method for programming a single EPROM or FLASH memory cell to store multiple levels of data that utilizes a floating substrate
US6353554B1 (en) 1995-02-27 2002-03-05 Btg International Inc. Memory apparatus including programmable non-volatile multi-bit memory cell, and apparatus and method for demarcating memory states of the cell
JP2692639B2 (en) 1995-03-10 1997-12-17 日本電気株式会社 Manufacturing method of nonvolatile semiconductor memory device
US5530668A (en) 1995-04-12 1996-06-25 Ramtron International Corporation Ferroelectric memory sensing scheme using bit lines precharged to a logic one voltage
JP3360098B2 (en) 1995-04-20 2002-12-24 東京エレクトロン株式会社 Shower head structure of processing equipment
US5530581A (en) 1995-05-31 1996-06-25 Eic Laboratories, Inc. Protective overlayer material and electro-optical coating using same
US5753934A (en) 1995-08-04 1998-05-19 Tok Corporation Multilayer thin film, substrate for electronic device, electronic device, and preparation of multilayer oxide thin film
JP3745015B2 (en) 1995-09-21 2006-02-15 株式会社東芝 Electronic devices
US5714766A (en) 1995-09-29 1998-02-03 International Business Machines Corporation Nano-structure memory device
KR0164072B1 (en) 1995-11-13 1999-02-01 김주용 Method of forming shallow junction in a semiconductor device
US5589413A (en) 1995-11-27 1996-12-31 Taiwan Semiconductor Manufacturing Company Method of manufacturing self-aligned bit-line during EPROM fabrication
TW328641B (en) 1995-12-04 1998-03-21 Hitachi Ltd Semiconductor integrated circuit device and process for producing the same
US5659057A (en) 1996-02-09 1997-08-19 Micron Technology, Inc. Five- and six-coordinate precursors for titanium nitride deposition
US5607722A (en) 1996-02-09 1997-03-04 Micron Technology, Inc. Process for titanium nitride deposition using five-and six-coordinate titanium complexes
US5627785A (en) 1996-03-15 1997-05-06 Micron Technology, Inc. Memory device with a sense amplifier
US5735960A (en) 1996-04-02 1998-04-07 Micron Technology, Inc. Apparatus and method to increase gas residence time in a reactor
US6313035B1 (en) 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
JP3193302B2 (en) 1996-06-26 2001-07-30 ティーディーケイ株式会社 Film structure, electronic device, recording medium, and method of manufacturing ferroelectric thin film
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US5768192A (en) 1996-07-23 1998-06-16 Saifun Semiconductors, Ltd. Non-volatile semiconductor memory cell utilizing asymmetrical charge trapping
KR100238199B1 (en) 1996-07-30 2000-01-15 윤종용 Flash eeprom device and manufacturing method thereof
US5698022A (en) 1996-08-14 1997-12-16 Advanced Technology Materials, Inc. Lanthanide/phosphorus precursor compositions for MOCVD of lanthanide/phosphorus oxide films
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6010969A (en) 1996-10-02 2000-01-04 Micron Technology, Inc. Method of depositing films on semiconductor devices by using carboxylate complexes
US5923056A (en) 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
KR100492258B1 (en) 1996-10-11 2005-09-02 가부시키가이샤 에바라 세이사꾸쇼 Reaction gas ejection head
US6087674A (en) 1996-10-28 2000-07-11 Energy Conversion Devices, Inc. Memory element with memory material comprising phase-change material and dielectric material
US5825046A (en) 1996-10-28 1998-10-20 Energy Conversion Devices, Inc. Composite memory material comprising a mixture of phase-change memory material and dielectric material
US5742471A (en) * 1996-11-25 1998-04-21 The Regents Of The University Of California Nanostructure multilayer dielectric materials for capacitors and insulators
EP0854210B1 (en) 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
JP3190274B2 (en) 1996-12-28 2001-07-23 ティーディーケイ株式会社 Optical recording medium and manufacturing method thereof
US5801401A (en) 1997-01-29 1998-09-01 Micron Technology, Inc. Flash memory with microcrystalline silicon carbide film floating gate
US5740104A (en) 1997-01-29 1998-04-14 Micron Technology, Inc. Multi-state flash memory cell and method for programming single electron differences
US5852306A (en) 1997-01-29 1998-12-22 Micron Technology, Inc. Flash memory with nanocrystalline silicon film floating gate
US5754477A (en) 1997-01-29 1998-05-19 Micron Technology, Inc. Differential flash memory cell and method for programming
US5905280A (en) 1997-02-11 1999-05-18 Micron Technology, Inc. Capacitor structures, DRAM cell structures, methods of forming capacitors, methods of forming DRAM cells, and integrated circuits incorporating capacitor structures and DRAM cell structures
JPH10241211A (en) 1997-02-27 1998-09-11 Tdk Corp Production of optical recording medium
US6461982B2 (en) 1997-02-27 2002-10-08 Micron Technology, Inc. Methods for forming a dielectric film
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5828113A (en) 1997-03-28 1998-10-27 Macronix International Co., Ltd. Double density MROM array structure
JPH10289479A (en) 1997-04-10 1998-10-27 Tdk Corp Optical recording medium
JP3771668B2 (en) 1997-04-14 2006-04-26 富士写真フイルム株式会社 Thermal head adjustment method and thermal recording apparatus
US6002418A (en) 1997-04-16 1999-12-14 Fuji Photo Film Co., Ltd. Thermal head
US6081287A (en) 1997-04-22 2000-06-27 Fuji Photo Film Co., Ltd. Thermal head method of manufacturing the same
KR100218275B1 (en) 1997-05-09 1999-09-01 윤종용 Ferroelectric memory device with bulk-type one transistor structure
JPH10326438A (en) 1997-05-26 1998-12-08 Tdk Corp Production of optical recording medium, and optical recording medium
JP3761287B2 (en) 1997-05-29 2006-03-29 Tdk株式会社 Optical recording medium and manufacturing method thereof
JPH10341002A (en) 1997-06-06 1998-12-22 Oki Electric Ind Co Ltd Ferroelectric transistor, semiconductor storage, and handling method and manufacture of ferroelectric transistor
US6115281A (en) 1997-06-09 2000-09-05 Telcordia Technologies, Inc. Methods and structures to cure the effects of hydrogen annealing on ferroelectric capacitors
JPH1173692A (en) 1997-06-27 1999-03-16 Tdk Corp Optical recording medium and its production
US5909618A (en) 1997-07-08 1999-06-01 Micron Technology, Inc. Method of making memory cell with vertical transistor and buried word and body lines
US6150687A (en) 1997-07-08 2000-11-21 Micron Technology, Inc. Memory cell having a vertical transistor with buried source/drain and dual gates
US5973356A (en) 1997-07-08 1999-10-26 Micron Technology, Inc. Ultra high density flash memory
US5936274A (en) 1997-07-08 1999-08-10 Micron Technology, Inc. High density flash memory
US6072209A (en) 1997-07-08 2000-06-06 Micro Technology, Inc. Four F2 folded bit line DRAM cell structure having buried bit and word lines
US6191470B1 (en) 1997-07-08 2001-02-20 Micron Technology, Inc. Semiconductor-on-insulator memory cell with buried word and body lines
US6077792A (en) 1997-07-14 2000-06-20 Micron Technology, Inc. Method of forming foamed polymeric material for an integrated circuit
US6013553A (en) 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
US6350672B1 (en) 1997-07-28 2002-02-26 United Microelectronics Corp. Interconnect structure with gas dielectric compatible with unlanded vias
US6031263A (en) 1997-07-29 2000-02-29 Micron Technology, Inc. DEAPROM and transistor with gallium nitride or gallium aluminum nitride gate
US5886368A (en) 1997-07-29 1999-03-23 Micron Technology, Inc. Transistor with silicon oxycarbide gate and methods of fabrication and use
IL125604A (en) 1997-07-30 2004-03-28 Saifun Semiconductors Ltd Non-volatile electrically erasable and programmble semiconductor memory cell utilizing asymmetrical charge
US6768165B1 (en) 1997-08-01 2004-07-27 Saifun Semiconductors Ltd. Two bit non-volatile electrically erasable and programmable semiconductor memory cell utilizing asymmetrical charge trapping
US6020024A (en) 1997-08-04 2000-02-01 Motorola, Inc. Method for forming high dielectric constant metal oxides
US5960270A (en) 1997-08-11 1999-09-28 Motorola, Inc. Method for forming an MOS transistor having a metallic gate electrode that is formed after the formation of self-aligned source and drain regions
KR100261017B1 (en) 1997-08-19 2000-08-01 윤종용 Method for forming metal wiring of semiconductor device
JPH1166615A (en) 1997-08-20 1999-03-09 Tdk Corp Optical recording medium and its manufacture
US6333255B1 (en) 1997-08-21 2001-12-25 Matsushita Electronics Corporation Method for making semiconductor device containing low carbon film for interconnect structures
US6063202A (en) 1997-09-26 2000-05-16 Novellus Systems, Inc. Apparatus for backside and edge exclusion of polymer film during chemical vapor deposition
US6161500A (en) 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6054768A (en) 1997-10-02 2000-04-25 Micron Technology, Inc. Metal fill by treatment of mobility layers
JP3495889B2 (en) 1997-10-03 2004-02-09 シャープ株式会社 Semiconductor storage element
US6066869A (en) 1997-10-06 2000-05-23 Micron Technology, Inc. Circuit and method for a folded bit line memory cell with vertical transistor and trench capacitor
US6333556B1 (en) 1997-10-09 2001-12-25 Micron Technology, Inc. Insulating materials
US6858526B2 (en) 1998-07-14 2005-02-22 Micron Technology, Inc. Methods of forming materials between conductive electrical components, and insulating materials
US6350704B1 (en) 1997-10-14 2002-02-26 Micron Technology Inc. Porous silicon oxycarbide integrated circuit insulator
US5828605A (en) 1997-10-14 1998-10-27 Taiwan Semiconductor Manufacturing Company Ltd. Snapback reduces the electron and hole trapping in the tunneling oxide of flash EEPROM
US5891797A (en) 1997-10-20 1999-04-06 Micron Technology, Inc. Method of forming a support structure for air bridge wiring of an integrated circuit
JPH11195768A (en) 1997-10-22 1999-07-21 Fujitsu Ltd Electronic device including perovskite-type oxide film, manufacture thereof and ferroelectric capacitor
US6232643B1 (en) 1997-11-13 2001-05-15 Micron Technology, Inc. Memory using insulator traps
US6028783A (en) 1997-11-14 2000-02-22 Ramtron International Corporation Memory cell configuration for a 1T/1C ferroelectric memory
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6051486A (en) 1997-12-18 2000-04-18 Advanced Miero Devices Method and structure for replaceable gate electrode in insulated gate field effect transistors
US6083836A (en) 1997-12-23 2000-07-04 Texas Instruments Incorporated Transistors with substitutionally formed gate structures and method
KR100295150B1 (en) 1997-12-31 2001-07-12 윤종용 Method for operating non-volatile memory device and apparatus and method for performing the same
US6198168B1 (en) 1998-01-20 2001-03-06 Micron Technologies, Inc. Integrated circuits using high aspect ratio vias through a semiconductor wafer and method for forming same
US6025225A (en) 1998-01-22 2000-02-15 Micron Technology, Inc. Circuits with a trench capacitor having micro-roughened semiconductor surfaces and methods for forming the same
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US5963469A (en) 1998-02-24 1999-10-05 Micron Technology, Inc. Vertical bipolar read access for low voltage memory cell
US6121126A (en) 1998-02-25 2000-09-19 Micron Technologies, Inc. Methods and structures for metal interconnections in integrated circuits
US6143655A (en) 1998-02-25 2000-11-07 Micron Technology, Inc. Methods and structures for silver interconnections in integrated circuits
US5920121A (en) 1998-02-25 1999-07-06 Micron Technology, Inc. Methods and structures for gold interconnections in integrated circuits
US6090636A (en) 1998-02-26 2000-07-18 Micron Technology, Inc. Integrated circuits using optical waveguide interconnects formed through a semiconductor wafer and methods for forming same
US6150188A (en) 1998-02-26 2000-11-21 Micron Technology Inc. Integrated circuits using optical fiber interconnects formed through a semiconductor wafer and methods for forming same
US6124729A (en) 1998-02-27 2000-09-26 Micron Technology, Inc. Field programmable logic arrays with vertical transistors
US6492694B2 (en) 1998-02-27 2002-12-10 Micron Technology, Inc. Highly conductive composite polysilicon gate for CMOS integrated circuits
US5991225A (en) 1998-02-27 1999-11-23 Micron Technology, Inc. Programmable memory address decode array with vertical transistors
US6043527A (en) 1998-04-14 2000-03-28 Micron Technology, Inc. Circuits and methods for a memory cell with a trench plate trench capacitor and a vertical bipolar read device
TW374227B (en) 1998-04-18 1999-11-11 United Microelectronics Corp Method for manufacturing a metal-oxide semiconductor transistor of a metal gate
US6815303B2 (en) 1998-04-29 2004-11-09 Micron Technology, Inc. Bipolar transistors with low-resistance emitter contacts
US6360685B1 (en) 1998-05-05 2002-03-26 Applied Materials, Inc. Sub-atmospheric chemical vapor deposition system with dopant bypass
US6351276B1 (en) 1998-05-21 2002-02-26 Fuji Photo Film Co., Ltd. Thermal recording apparatus
US6025627A (en) 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
US5981350A (en) 1998-05-29 1999-11-09 Micron Technology, Inc. Method for forming high capacitance memory cells
US6225168B1 (en) 1998-06-04 2001-05-01 Advanced Micro Devices, Inc. Semiconductor device having metal gate electrode and titanium or tantalum nitride gate dielectric barrier layer and process of fabrication thereof
US6461970B1 (en) 1998-06-10 2002-10-08 Micron Technology, Inc. Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6027961A (en) 1998-06-30 2000-02-22 Motorola, Inc. CMOS semiconductor devices and method of formation
JP3118221B2 (en) 1998-07-21 2000-12-18 富士写真フイルム株式会社 Thermal head
US6093623A (en) 1998-08-04 2000-07-25 Micron Technology, Inc. Methods for making silicon-on-insulator structures
US6134175A (en) 1998-08-04 2000-10-17 Micron Technology, Inc. Memory address decode array with vertical transistors
US6208164B1 (en) 1998-08-04 2001-03-27 Micron Technology, Inc. Programmable logic array with vertical transistors
US6391769B1 (en) 1998-08-19 2002-05-21 Samsung Electronics Co., Ltd. Method for forming metal interconnection in semiconductor device and interconnection structure fabricated thereby
US6710538B1 (en) 1998-08-26 2004-03-23 Micron Technology, Inc. Field emission display having reduced power requirements and method
US6125062A (en) 1998-08-26 2000-09-26 Micron Technology, Inc. Single electron MOSFET memory device and method
US6271131B1 (en) 1998-08-26 2001-08-07 Micron Technology, Inc. Methods for forming rhodium-containing layers such as platinum-rhodium barrier layers
US6141260A (en) 1998-08-27 2000-10-31 Micron Technology, Inc. Single electron resistor memory device and method for use thereof
US6197628B1 (en) 1998-08-27 2001-03-06 Micron Technology, Inc. Ruthenium silicide diffusion barrier layers and methods of forming same
US6583022B1 (en) 1998-08-27 2003-06-24 Micron Technology, Inc. Methods of forming roughened layers of platinum and methods of forming capacitors
US6063705A (en) 1998-08-27 2000-05-16 Micron Technology, Inc. Precursor chemistries for chemical vapor deposition of ruthenium and ruthenium oxide
US6281042B1 (en) 1998-08-31 2001-08-28 Micron Technology, Inc. Structure and method for a high performance electronic packaging assembly
US6225237B1 (en) 1998-09-01 2001-05-01 Micron Technology, Inc. Method for forming metal-containing films using metal complexes with chelating O- and/or N-donor ligands
US6204172B1 (en) 1998-09-03 2001-03-20 Micron Technology, Inc. Low temperature deposition of barrier layers
US20020003252A1 (en) 1998-09-03 2002-01-10 Ravi Iyer Flash memory circuit with with resistance to disturb effect
US6323081B1 (en) 1998-09-03 2001-11-27 Micron Technology, Inc. Diffusion barrier layers and methods of forming same
US6338880B1 (en) 1998-09-04 2002-01-15 Micron Technology, Inc. Chemical vapor deposition process for depositing titanium nitride films from an organometallic compound
US6211035B1 (en) 1998-09-09 2001-04-03 Texas Instruments Incorporated Integrated circuit and method
DE69940335D1 (en) 1998-09-28 2009-03-12 Nec Electronics Corp Apparatus and method for non-destructive testing of a semiconductor device
US6218293B1 (en) 1998-11-13 2001-04-17 Micron Technology, Inc. Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride
US6034882A (en) 1998-11-16 2000-03-07 Matrix Semiconductor, Inc. Vertically stacked field programmable nonvolatile memory and method of fabrication
US6005790A (en) 1998-12-22 1999-12-21 Stmicroelectronics, Inc. Floating gate content addressable memory
US6255683B1 (en) 1998-12-29 2001-07-03 Infineon Technologies Ag Dynamic random access memory
JP2000208508A (en) 1999-01-13 2000-07-28 Texas Instr Inc <Ti> Vacuum deposition of high-dielectric material made of silicate
US6274937B1 (en) 1999-02-01 2001-08-14 Micron Technology, Inc. Silicon multi-chip module packaging with integrated passive components and method of making
US6291341B1 (en) 1999-02-12 2001-09-18 Micron Technology, Inc. Method for PECVD deposition of selected material films
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6445023B1 (en) 1999-03-16 2002-09-03 Micron Technology, Inc. Mixed metal nitride and boride barrier layers
JP3989120B2 (en) 1999-03-25 2007-10-10 富士フイルム株式会社 Thermal head
KR100319884B1 (en) 1999-04-12 2002-01-10 윤종용 Capacitor of semiconductor device and method for fabricating the same
US6171900B1 (en) 1999-04-15 2001-01-09 Taiwan Semiconductor Manufacturing Company CVD Ta2O5/oxynitride stacked gate insulator with TiN gate electrode for sub-quarter micron MOSFET
US6160739A (en) 1999-04-16 2000-12-12 Sandisk Corporation Non-volatile memories with improved endurance and extended lifetime
US6410432B1 (en) 1999-04-27 2002-06-25 Tokyo Electron Limited CVD of integrated Ta and TaNx films from tantalum halide precursors
WO2000070675A1 (en) 1999-05-14 2000-11-23 Hitachi, Ltd. Semiconductor memory device
TW517260B (en) 1999-05-15 2003-01-11 Semiconductor Energy Lab Semiconductor device and method for its fabrication
DE19926108C2 (en) 1999-06-08 2001-06-28 Infineon Technologies Ag Non-volatile semiconductor memory cell with a metal oxide dielectric and method for its production
US6495878B1 (en) 1999-08-02 2002-12-17 Symetrix Corporation Interlayer oxide containing thin films for high dielectric constant application
US6273951B1 (en) 1999-06-16 2001-08-14 Micron Technology, Inc. Precursor mixtures for use in preparing layers on substrates
JP4307635B2 (en) 1999-06-22 2009-08-05 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
US6812157B1 (en) 1999-06-24 2004-11-02 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
US6524952B1 (en) 1999-06-25 2003-02-25 Applied Materials, Inc. Method of forming a titanium silicide layer on a substrate
US6556962B1 (en) 1999-07-02 2003-04-29 Intel Corporation Method for reducing network costs and its application to domino circuits
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6141237A (en) 1999-07-12 2000-10-31 Ramtron International Corporation Ferroelectric non-volatile latch circuits
US6297539B1 (en) * 1999-07-19 2001-10-02 Sharp Laboratories Of America, Inc. Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same
US6630718B1 (en) 1999-07-26 2003-10-07 Micron Technology, Inc. Transistor gate and local interconnect
US6212103B1 (en) 1999-07-28 2001-04-03 Xilinx, Inc. Method for operating flash memory
KR20010017820A (en) 1999-08-14 2001-03-05 윤종용 Semiconductor device and manufacturing method thereof
US6635939B2 (en) 1999-08-24 2003-10-21 Micron Technology, Inc. Boron incorporated diffusion barrier material
US7276788B1 (en) 1999-08-25 2007-10-02 Micron Technology, Inc. Hydrophobic foamed insulators for high density circuits
US6709968B1 (en) 2000-08-16 2004-03-23 Micron Technology, Inc. Microelectronic device with package with conductive elements and associated method of manufacture
US6670719B2 (en) 1999-08-25 2003-12-30 Micron Technology, Inc. Microelectronic device package filled with liquid or pressurized gas and associated method of manufacture
US6521958B1 (en) 1999-08-26 2003-02-18 Micron Technology, Inc. MOSFET technology for programmable address decode and correction
US6498362B1 (en) 1999-08-26 2002-12-24 Micron Technology, Inc. Weak ferroelectric transistor
US6337805B1 (en) 1999-08-30 2002-01-08 Micron Technology, Inc. Discrete devices including EAPROM transistor and NVRAM memory cell with edge defined ferroelectric capacitance, methods for operating same, and apparatuses including same
US6141238A (en) 1999-08-30 2000-10-31 Micron Technology, Inc. Dynamic random access memory (DRAM) cells with repressed ferroelectric memory methods of reading same, and apparatuses including same
US6337237B1 (en) 1999-09-01 2002-01-08 Micron Technology, Inc. Capacitor processing method and DRAM processing method
US6049479A (en) 1999-09-23 2000-04-11 Advanced Micro Devices, Inc. Operational approach for the suppression of bi-directional tunnel oxide stress of a flash cell
EP1220318A4 (en) 1999-09-30 2007-06-06 Rohm Co Ltd Nonvolatile memory
US6410435B1 (en) 1999-10-01 2002-06-25 Agere Systems Guardian Corp. Process for fabricating copper interconnect for ULSI integrated circuits
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
FI117942B (en) 1999-10-14 2007-04-30 Asm Int Process for making oxide thin films
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR100304714B1 (en) 1999-10-20 2001-11-02 윤종용 Method for fabricating metal layer of semiconductor device using metal-halide gas
US6122201A (en) 1999-10-20 2000-09-19 Taiwan Semiconductor Manufacturing Company Clipped sine wave channel erase method to reduce oxide trapping charge generation rate of flash EEPROM
SG99871A1 (en) 1999-10-25 2003-11-27 Motorola Inc Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6541079B1 (en) 1999-10-25 2003-04-01 International Business Machines Corporation Engineered high dielectric constant oxide and oxynitride heterostructure gate dielectrics by an atomic beam deposition technique
US6429063B1 (en) 1999-10-26 2002-08-06 Saifun Semiconductors Ltd. NROM cell with generally decoupled primary and secondary injection
US6867097B1 (en) 1999-10-28 2005-03-15 Advanced Micro Devices, Inc. Method of making a memory cell with polished insulator layer
JP4397491B2 (en) 1999-11-30 2010-01-13 財団法人国際科学振興財団 Semiconductor device using silicon having 111 plane orientation on surface and method of forming the same
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
KR100983165B1 (en) 1999-12-09 2010-09-20 도쿄엘렉트론가부시키가이샤 METHOD FOR FORMING TiSiN FILM AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE
US20030032270A1 (en) 2001-08-10 2003-02-13 John Snyder Fabrication method for a device for regulating flow of electric current with high dielectric constant gate insulating layer and source/drain forming schottky contact or schottky-like region with substrate
TW439212B (en) 1999-12-16 2001-06-07 Taiwan Semiconductor Mfg Method for preventing the open source line of ETOX flash memory with self-aligned source
US6485988B2 (en) 1999-12-22 2002-11-26 Texas Instruments Incorporated Hydrogen-free contact etch for ferroelectric capacitor formation
KR100594815B1 (en) * 1999-12-24 2006-07-03 삼성전자주식회사 thinner for rinsing photoresist and method of treating photoresist layer
KR100313091B1 (en) 1999-12-29 2001-11-07 박종섭 Method of forming gate dielectric layer with TaON
US6551399B1 (en) 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
FI20000099A0 (en) 2000-01-18 2000-01-18 Asm Microchemistry Ltd A method for growing thin metal films
AU2001234468A1 (en) 2000-01-19 2001-07-31 North Carolina State University Lanthanum oxide-based gate dielectrics for integrated circuit field effect transistors and methods of fabricating same
US6222768B1 (en) 2000-01-28 2001-04-24 Advanced Micro Devices, Inc. Auto adjusting window placement scheme for an NROM virtual ground array
US6527866B1 (en) 2000-02-09 2003-03-04 Conductus, Inc. Apparatus and method for deposition of thin films
US6407435B1 (en) 2000-02-11 2002-06-18 Sharp Laboratories Of America, Inc. Multilayer dielectric stack and method
US6438031B1 (en) 2000-02-16 2002-08-20 Advanced Micro Devices, Inc. Method of programming a non-volatile memory cell using a substrate bias
US6490205B1 (en) 2000-02-16 2002-12-03 Advanced Micro Devices, Inc. Method of erasing a non-volatile memory cell using a substrate bias
US6243300B1 (en) 2000-02-16 2001-06-05 Advanced Micro Devices, Inc. Substrate hole injection for neutralizing spillover charge generated during programming of a non-volatile memory cell
US6890847B1 (en) 2000-02-22 2005-05-10 Micron Technology, Inc. Polynorbornene foam insulation for integrated circuits
US6297103B1 (en) 2000-02-28 2001-10-02 Micron Technology, Inc. Structure and method for dual gate oxide thicknesses
DE10010821A1 (en) 2000-02-29 2001-09-13 Infineon Technologies Ag Increasing capacity in a storage trench comprises depositing a first silicon oxide layer in the trench, depositing a silicon layer over the first layer to sufficiently
AU2001245388A1 (en) 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
US6444039B1 (en) 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6380080B2 (en) 2000-03-08 2002-04-30 Micron Technology, Inc. Methods for preparing ruthenium metal films
US6320784B1 (en) 2000-03-14 2001-11-20 Motorola, Inc. Memory cell and method for programming thereof
US6351704B1 (en) * 2000-03-31 2002-02-26 Bombardier Motor Corporation Of America Method and apparatus for calibrating a position sensor used in engine control
US6537613B1 (en) 2000-04-10 2003-03-25 Air Products And Chemicals, Inc. Process for metal metalloid oxides and nitrides with compositional gradients
KR100363088B1 (en) 2000-04-20 2002-12-02 삼성전자 주식회사 Method of manufacturing barrier metal layer using atomic layer deposition method
US6984591B1 (en) 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
US6490204B2 (en) 2000-05-04 2002-12-03 Saifun Semiconductors Ltd. Programming and erasing methods for a reference cell of an NROM array
US20020195056A1 (en) 2000-05-12 2002-12-26 Gurtej Sandhu Versatile atomic layer deposition apparatus
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
JP4002712B2 (en) 2000-05-15 2007-11-07 スパンション エルエルシー Nonvolatile semiconductor memory device and data holding method of nonvolatile semiconductor memory device
EP1282911B1 (en) 2000-05-15 2018-09-05 Asm International N.V. Process for producing integrated circuits
US6432779B1 (en) 2000-05-18 2002-08-13 Motorola, Inc. Selective removal of a metal oxide dielectric
US6383873B1 (en) 2000-05-18 2002-05-07 Motorola, Inc. Process for forming a structure
US6269023B1 (en) 2000-05-19 2001-07-31 Advanced Micro Devices, Inc. Method of programming a non-volatile memory cell using a current limiter
JP2001332546A (en) 2000-05-24 2001-11-30 Rohm Co Ltd Oxidizing method, manufacturing method of silicon oxide film, and oxidizing device
KR100403611B1 (en) 2000-06-07 2003-11-01 삼성전자주식회사 Metal-insulator-metal capacitor and manufacturing method thereof
KR100647442B1 (en) 2000-06-07 2006-11-17 주성엔지니어링(주) Method of forming a thin film using atomic layer deposition
US7253076B1 (en) 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
WO2001099166A1 (en) 2000-06-08 2001-12-27 Genitech Inc. Thin film forming method
US6444592B1 (en) 2000-06-20 2002-09-03 International Business Machines Corporation Interfacial oxidation process for high-k gate dielectric process integration
US6456531B1 (en) 2000-06-23 2002-09-24 Advanced Micro Devices, Inc. Method of drain avalanche programming of a non-volatile memory cell
US6618290B1 (en) 2000-06-23 2003-09-09 Advanced Micro Devices, Inc. Method of programming a non-volatile memory cell using a baking process
US6456536B1 (en) 2000-06-23 2002-09-24 Advanced Micro Devices, Inc. Method of programming a non-volatile memory cell using a substrate bias
KR100368311B1 (en) 2000-06-27 2003-01-24 주식회사 하이닉스반도체 Method of forming a gate in a semiconductor device
KR100351056B1 (en) 2000-06-27 2002-09-05 삼성전자 주식회사 Method of manufacturing semiconductor device including step of selectively forming metal oxide layer
US6586785B2 (en) 2000-06-29 2003-07-01 California Institute Of Technology Aerosol silicon nanoparticles for use in semiconductor device fabrication
US6214662B1 (en) 2000-07-03 2001-04-10 Taiwan Semiconductor Manufacturing Company Forming self-align source line for memory array
WO2002009167A2 (en) 2000-07-20 2002-01-31 North Carolina State University High dielectric constant metal silicates formed by controlled metal-surface reactions
JP3972561B2 (en) * 2000-07-26 2007-09-05 セイコーエプソン株式会社 Determining the adjustment value of the recording position deviation during printing using multiple types of inspection patterns
TW511185B (en) 2000-08-11 2002-11-21 Tokyo Electron Ltd Substrate processing apparatus and processing method
US6580124B1 (en) 2000-08-14 2003-06-17 Matrix Semiconductor Inc. Multigate semiconductor device with vertical channel current and method of fabrication
JP2002141503A (en) 2000-08-24 2002-05-17 National Institute Of Advanced Industrial & Technology Manufacturing method of self-alignment transistor
US6487121B1 (en) 2000-08-25 2002-11-26 Advanced Micro Devices, Inc. Method of programming a non-volatile memory cell using a vertical electric field
US6459618B1 (en) 2000-08-25 2002-10-01 Advanced Micro Devices, Inc. Method of programming a non-volatile memory cell using a drain bias
US6461909B1 (en) 2000-08-30 2002-10-08 Micron Technology, Inc. Process for fabricating RuSixOy-containing adhesion layers
US6420230B1 (en) 2000-08-31 2002-07-16 Micron Technology, Inc. Capacitor fabrication methods and capacitor constructions
US6541353B1 (en) 2000-08-31 2003-04-01 Micron Technology, Inc. Atomic layer doping apparatus and method
US7217615B1 (en) 2000-08-31 2007-05-15 Micron Technology, Inc. Capacitor fabrication methods including forming a conductive layer
US7094690B1 (en) 2000-08-31 2006-08-22 Micron Technology, Inc. Deposition methods and apparatuses providing surface activation
US6599781B1 (en) 2000-09-27 2003-07-29 Chou H. Li Solid state device
US7118942B1 (en) 2000-09-27 2006-10-10 Li Chou H Method of making atomic integrated circuit device
US6784515B1 (en) 2000-09-27 2004-08-31 Chou H Li Semiconductor integrated circuit device
DE60130477T2 (en) 2000-10-02 2008-01-03 Nippon Mining & Metals Co., Ltd. High purity zirconium or hafnium, sputtering target containing the same and thin films made therewith, process for producing high purity zirconium or hafnium, and manufacturing process for high purity zirconium or hafnium powders
US6465334B1 (en) 2000-10-05 2002-10-15 Advanced Micro Devices, Inc. Enhanced electroless deposition of dielectric precursor materials for use in in-laid gate MOS transistors
US6300203B1 (en) 2000-10-05 2001-10-09 Advanced Micro Devices, Inc. Electrolytic deposition of dielectric precursor materials for use in in-laid gate MOS transistors
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
JP2002116237A (en) 2000-10-10 2002-04-19 Texas Instr Japan Ltd Semiconductor integrated circuit
US6368941B1 (en) 2000-11-08 2002-04-09 United Microelectronics Corp. Fabrication of a shallow trench isolation by plasma oxidation
US6534357B1 (en) 2000-11-09 2003-03-18 Micron Technology, Inc. Methods for forming conductive structures and structures regarding same
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
US6465306B1 (en) 2000-11-28 2002-10-15 Advanced Micro Devices, Inc. Simultaneous formation of charge storage and bitline to wordline isolation
KR100382149B1 (en) 2000-11-30 2003-05-09 한국전자통신연구원 Formation method for Sr-Ta-O thin films
KR100385947B1 (en) 2000-12-06 2003-06-02 삼성전자주식회사 Method of forming thin film by atomic layer deposition
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
TW490675B (en) 2000-12-22 2002-06-11 Macronix Int Co Ltd Control method of multi-stated NROM
KR20020056260A (en) 2000-12-29 2002-07-10 박종섭 Method for forming metal gate of semiconductor devoie
US6537901B2 (en) 2000-12-29 2003-03-25 Hynix Semiconductor Inc. Method of manufacturing a transistor in a semiconductor device
US20020089023A1 (en) 2001-01-05 2002-07-11 Motorola, Inc. Low leakage current metal oxide-nitrides and method of fabricating same
US6346477B1 (en) 2001-01-09 2002-02-12 Research Foundation Of Suny - New York Method of interlayer mediated epitaxy of cobalt silicide from low temperature chemical vapor deposition of cobalt
US6713846B1 (en) 2001-01-26 2004-03-30 Aviza Technology, Inc. Multilayer high κ dielectric films
US6445030B1 (en) 2001-01-30 2002-09-03 Advanced Micro Devices, Inc. Flash memory erase speed by fluorine implant or fluorination
US6567303B1 (en) 2001-01-31 2003-05-20 Advanced Micro Devices, Inc. Charge injection
US6844604B2 (en) 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
US7371633B2 (en) 2001-02-02 2008-05-13 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
US6528374B2 (en) 2001-02-05 2003-03-04 International Business Machines Corporation Method for forming dielectric stack without interfacial layer
US6559491B2 (en) 2001-02-09 2003-05-06 Micron Technology, Inc. Folded bit line DRAM with ultra thin body transistors
US6683337B2 (en) 2001-02-09 2004-01-27 Micron Technology, Inc. Dynamic memory based on single electron storage
US6495436B2 (en) 2001-02-09 2002-12-17 Micron Technology, Inc. Formation of metal oxide gate dielectric
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
KR100384558B1 (en) 2001-02-22 2003-05-22 삼성전자주식회사 Method for forming dielectric layer and capacitor using thereof
US6858865B2 (en) 2001-02-23 2005-02-22 Micron Technology, Inc. Doped aluminum oxide dielectrics
US6706608B2 (en) 2001-02-28 2004-03-16 Micron Technology, Inc. Memory cell capacitors having an over/under configuration
US6852167B2 (en) 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
KR20050004924A (en) 2001-03-12 2005-01-12 가부시키가이샤 히타치세이사쿠쇼 Process for producing semiconductor integrated circuit device
US6454912B1 (en) 2001-03-15 2002-09-24 Micron Technology, Inc. Method and apparatus for the fabrication of ferroelectric films
US6586792B2 (en) 2001-03-15 2003-07-01 Micron Technology, Inc. Structures, methods, and systems for ferroelectric memory transistors
US20050145959A1 (en) 2001-03-15 2005-07-07 Leonard Forbes Technique to mitigate short channel effects with vertical gate transistor with different gate materials
US6696360B2 (en) 2001-03-15 2004-02-24 Micron Technology, Inc. Barrier-metal-free copper damascene technology using atomic hydrogen enhanced reflow
DE10296448T5 (en) 2001-03-20 2004-04-15 Mattson Technology Inc., Fremont A method of depositing a layer having a relatively high dielectric constant on a substrate
US6770923B2 (en) 2001-03-20 2004-08-03 Freescale Semiconductor, Inc. High K dielectric film
US6541280B2 (en) 2001-03-20 2003-04-01 Motorola, Inc. High K dielectric film
US6531324B2 (en) 2001-03-28 2003-03-11 Sharp Laboratories Of America, Inc. MFOS memory transistor & method of fabricating same
US6441417B1 (en) 2001-03-28 2002-08-27 Sharp Laboratories Of America, Inc. Single c-axis PGO thin film on ZrO2 for non-volatile memory applications and methods of making the same
US6602720B2 (en) 2001-03-28 2003-08-05 Sharp Laboratories Of America, Inc. Single transistor ferroelectric transistor structure with high-K insulator and method of fabricating same
JP3792589B2 (en) 2001-03-29 2006-07-05 富士通株式会社 Manufacturing method of semiconductor device
US6348386B1 (en) 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
US6448192B1 (en) 2001-04-16 2002-09-10 Motorola, Inc. Method for forming a high dielectric constant material
KR100385228B1 (en) 2001-04-18 2003-05-27 삼성전자주식회사 Method and device of programming nonvolatile memory
US6514828B2 (en) 2001-04-20 2003-02-04 Micron Technology, Inc. Method of fabricating a highly reliable gate oxide
US6759081B2 (en) 2001-05-11 2004-07-06 Asm International, N.V. Method of depositing thin films for magnetic heads
US6552383B2 (en) 2001-05-11 2003-04-22 Micron Technology, Inc. Integrated decoupling capacitors
US6852194B2 (en) 2001-05-21 2005-02-08 Tokyo Electron Limited Processing apparatus, transferring apparatus and transferring method
KR100363332B1 (en) 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US7037574B2 (en) 2001-05-23 2006-05-02 Veeco Instruments, Inc. Atomic layer deposition for fabricating thin films
US7037862B2 (en) 2001-06-13 2006-05-02 Micron Technology, Inc. Dielectric layer forming method and devices formed therewith
TWI230392B (en) 2001-06-18 2005-04-01 Innovative Silicon Sa Semiconductor device
US6449188B1 (en) 2001-06-19 2002-09-10 Advanced Micro Devices, Inc. Low column leakage nor flash array-double cell implementation
US7161894B2 (en) 2001-06-21 2007-01-09 Quantum Corporation Optical recording article
US6709989B2 (en) 2001-06-21 2004-03-23 Motorola, Inc. Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6420279B1 (en) 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
US20030008243A1 (en) 2001-07-09 2003-01-09 Micron Technology, Inc. Copper electroless deposition technology for ULSI metalization
US6534420B2 (en) 2001-07-18 2003-03-18 Micron Technology, Inc. Methods for forming dielectric materials and methods for forming semiconductor devices
US6614079B2 (en) 2001-07-19 2003-09-02 International Business Machines Corporation All-in-one disposable/permanent spacer elevated source/drain, self-aligned silicide CMOS
US6919266B2 (en) 2001-07-24 2005-07-19 Micron Technology, Inc. Copper technology for ULSI metallization
TW520514B (en) 2001-08-02 2003-02-11 Macronix Int Co Ltd Circuit and method of qualification test for non-volatile memory
KR20030018134A (en) 2001-08-27 2003-03-06 한국전자통신연구원 Method of forming an insulation layer of a semiconductor device for controlling the composition and the doping concentration
US6754108B2 (en) 2001-08-30 2004-06-22 Micron Technology, Inc. DRAM cells with repressed floating gate memory, low tunnel barrier interpoly insulators
US7476925B2 (en) 2001-08-30 2009-01-13 Micron Technology, Inc. Atomic layer deposition of metal oxide and/or low asymmetrical tunnel barrier interploy insulators
US6844203B2 (en) 2001-08-30 2005-01-18 Micron Technology, Inc. Gate oxides, and methods of forming
US6858904B2 (en) 2001-08-30 2005-02-22 Micron Technology, Inc. High aspect ratio contact structure with reduced silicon consumption
US8026161B2 (en) 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US7135734B2 (en) 2001-08-30 2006-11-14 Micron Technology, Inc. Graded composition metal oxide tunnel barrier interpoly insulators
US7132711B2 (en) 2001-08-30 2006-11-07 Micron Technology, Inc. Programmable array logic or memory with p-channel devices and asymmetrical tunnel barriers
US7068544B2 (en) 2001-08-30 2006-06-27 Micron Technology, Inc. Flash memory with low tunnel barrier interpoly insulators
US6778441B2 (en) 2001-08-30 2004-08-17 Micron Technology, Inc. Integrated circuit memory device and method
US7160817B2 (en) 2001-08-30 2007-01-09 Micron Technology, Inc. Dielectric material forming methods
US7042043B2 (en) 2001-08-30 2006-05-09 Micron Technology, Inc. Programmable array logic or memory devices with asymmetrical tunnel barriers
US6730575B2 (en) 2001-08-30 2004-05-04 Micron Technology, Inc. Methods of forming perovskite-type material and capacitor dielectric having perovskite-type crystalline structure
US6806145B2 (en) 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US6605549B2 (en) 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US6656282B2 (en) 2001-10-11 2003-12-02 Moohan Co., Ltd. Atomic layer deposition apparatus and process using remote plasma
US6498063B1 (en) 2001-10-12 2002-12-24 Micron Technology, Inc. Even nucleation between silicon and oxide surfaces for thin silicon nitride film growth
US6559014B1 (en) 2001-10-15 2003-05-06 Advanced Micro Devices, Inc. Preparation of composite high-K / standard-K dielectrics for semiconductor devices
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6423619B1 (en) 2001-11-30 2002-07-23 Motorola, Inc. Transistor metal gate structure that minimizes non-planarity effects and method of formation
US20030111678A1 (en) 2001-12-14 2003-06-19 Luigi Colombo CVD deposition of M-SION gate dielectrics
US6953730B2 (en) 2001-12-20 2005-10-11 Micron Technology, Inc. Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics
US6900122B2 (en) 2001-12-20 2005-05-31 Micron Technology, Inc. Low-temperature grown high-quality ultra-thin praseodymium gate dielectrics
US6696332B2 (en) 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US20030124783A1 (en) * 2001-12-28 2003-07-03 Rotondaro Antonio L. P. System for creating ultra-shallow dopant profiles
US6674138B1 (en) 2001-12-31 2004-01-06 Advanced Micro Devices, Inc. Use of high-k dielectric materials in modified ONO structure for semiconductor devices
US6821873B2 (en) 2002-01-10 2004-11-23 Texas Instruments Incorporated Anneal sequence for high-κ film property optimization
US6555879B1 (en) * 2002-01-11 2003-04-29 Advanced Micro Devices, Inc. SOI device with metal source/drain and method of fabrication
US6767795B2 (en) 2002-01-17 2004-07-27 Micron Technology, Inc. Highly reliable amorphous high-k gate dielectric ZrOXNY
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6893984B2 (en) 2002-02-20 2005-05-17 Micron Technology Inc. Evaporated LaA1O3 films for gate dielectrics
US6586349B1 (en) 2002-02-21 2003-07-01 Advanced Micro Devices, Inc. Integrated process for fabrication of graded composite dielectric material layers for semiconductor devices
US6873020B2 (en) 2002-02-22 2005-03-29 North Carolina State University High/low work function metal alloys for integrated circuit electrodes
US6660577B2 (en) 2002-02-23 2003-12-09 Taiwan Semiconductor Manufacturing Co. Ltd Method for fabricating metal gates in deep sub-micron devices
US6787185B2 (en) 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
US6451641B1 (en) 2002-02-27 2002-09-17 Advanced Micro Devices, Inc. Non-reducing process for deposition of polysilicon gate electrode over high-K gate dielectric material
US6812100B2 (en) 2002-03-13 2004-11-02 Micron Technology, Inc. Evaporation of Y-Si-O films for medium-k dielectrics
US7220312B2 (en) 2002-03-13 2007-05-22 Micron Technology, Inc. Methods for treating semiconductor substrates
US6642573B1 (en) 2002-03-13 2003-11-04 Advanced Micro Devices, Inc. Use of high-K dielectric material in modified ONO structure for semiconductor devices
US6730163B2 (en) 2002-03-14 2004-05-04 Micron Technology, Inc. Aluminum-containing material and atomic layer deposition methods
JP4090347B2 (en) 2002-03-18 2008-05-28 株式会社日立国際電気 Semiconductor device manufacturing method and substrate processing apparatus
US6750066B1 (en) 2002-04-08 2004-06-15 Advanced Micro Devices, Inc. Precision high-K intergate dielectric layer
US20030235961A1 (en) 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US6570787B1 (en) 2002-04-19 2003-05-27 Advanced Micro Devices, Inc. Programming with floating source for low power, low leakage and high density flash memory devices
US7374617B2 (en) 2002-04-25 2008-05-20 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
US7045430B2 (en) 2002-05-02 2006-05-16 Micron Technology Inc. Atomic layer-deposited LaAlO3 films for gate dielectrics
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US6784101B1 (en) 2002-05-16 2004-08-31 Advanced Micro Devices Inc Formation of high-k gate dielectric layers for MOS devices fabricated on strained lattice semiconductor substrates with minimized stress relaxation
US7164165B2 (en) 2002-05-16 2007-01-16 Micron Technology, Inc. MIS capacitor
US7205218B2 (en) 2002-06-05 2007-04-17 Micron Technology, Inc. Method including forming gate dielectrics having multiple lanthanide oxide layers
US7135421B2 (en) 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
US6559017B1 (en) 2002-06-13 2003-05-06 Advanced Micro Devices, Inc. Method of using amorphous carbon as spacer material in a disposable spacer process
US7067439B2 (en) 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US6970370B2 (en) 2002-06-21 2005-11-29 Micron Technology, Inc. Ferroelectric write once read only memory for archival storage
US6996009B2 (en) 2002-06-21 2006-02-07 Micron Technology, Inc. NOR flash memory cell with high storage density
US6804136B2 (en) 2002-06-21 2004-10-12 Micron Technology, Inc. Write once read only memory employing charge trapping in insulators
US7154140B2 (en) 2002-06-21 2006-12-26 Micron Technology, Inc. Write once read only memory with large work function floating gates
US6888739B2 (en) 2002-06-21 2005-05-03 Micron Technology Inc. Nanocrystal write once read only memory for archival storage
US7193893B2 (en) 2002-06-21 2007-03-20 Micron Technology, Inc. Write once read only memory employing floating gates
US6617639B1 (en) 2002-06-21 2003-09-09 Advanced Micro Devices, Inc. Use of high-K dielectric material for ONO and tunnel oxide to improve floating gate flash memory coupling
US6664154B1 (en) 2002-06-28 2003-12-16 Advanced Micro Devices, Inc. Method of using amorphous carbon film as a sacrificial layer in replacement gate integration processes
US6500756B1 (en) 2002-06-28 2002-12-31 Advanced Micro Devices, Inc. Method of forming sub-lithographic spaces between polysilicon lines
US7221586B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US7847344B2 (en) 2002-07-08 2010-12-07 Micron Technology, Inc. Memory utilizing oxide-nitride nanolaminates
US7221017B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide-conductor nanolaminates
US6828632B2 (en) 2002-07-18 2004-12-07 Micron Technology, Inc. Stable PD-SOI devices and methods
JP3790751B2 (en) 2002-07-19 2006-06-28 インターナショナル・ビジネス・マシーンズ・コーポレーション Electronic device and field effect transistor device
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US6605514B1 (en) 2002-07-31 2003-08-12 Advanced Micro Devices, Inc. Planar finFET patterning using amorphous carbon
US6783591B1 (en) * 2002-08-06 2004-08-31 Advanced Micro Devices, Inc. Laser thermal annealing method for high dielectric constant gate oxide films
US6884739B2 (en) 2002-08-15 2005-04-26 Micron Technology Inc. Lanthanide doped TiOx dielectric films by plasma oxidation
US6790791B2 (en) 2002-08-15 2004-09-14 Micron Technology, Inc. Lanthanide doped TiOx dielectric films
US6960538B2 (en) 2002-08-21 2005-11-01 Micron Technology, Inc. Composite dielectric forming methods and composite dielectrics
US20040036129A1 (en) 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US6967154B2 (en) 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US6972599B2 (en) 2002-08-27 2005-12-06 Micron Technology Inc. Pseudo CMOS dynamic logic with delayed clocks
US6673701B1 (en) 2002-08-27 2004-01-06 Micron Technology, Inc. Atomic layer deposition methods
US6730164B2 (en) 2002-08-28 2004-05-04 Micron Technology, Inc. Systems and methods for forming strontium- and/or barium-containing layers
US7030042B2 (en) 2002-08-28 2006-04-18 Micron Technology, Inc. Systems and methods for forming tantalum oxide layers and tantalum precursor compounds
US6784049B2 (en) 2002-08-28 2004-08-31 Micron Technology, Inc. Method for forming refractory metal oxide layers with tetramethyldisiloxane
US7253122B2 (en) 2002-08-28 2007-08-07 Micron Technology, Inc. Systems and methods for forming metal oxides using metal diketonates and/or ketoimines
US7112485B2 (en) 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US7041609B2 (en) 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
US8617312B2 (en) 2002-08-28 2013-12-31 Micron Technology, Inc. Systems and methods for forming layers that contain niobium and/or tantalum
US7199023B2 (en) 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US6958300B2 (en) 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US7087481B2 (en) 2002-08-28 2006-08-08 Micron Technology, Inc. Systems and methods for forming metal oxides using metal compounds containing aminosilane ligands
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6995081B2 (en) 2002-08-28 2006-02-07 Micron Technology, Inc. Systems and methods for forming tantalum silicide layers
US6984592B2 (en) 2002-08-28 2006-01-10 Micron Technology, Inc. Systems and methods for forming metal-doped alumina
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6830983B2 (en) 2002-08-29 2004-12-14 Micron Technology, Inc. Method of making an oxygen diffusion barrier for semiconductor devices using platinum, rhodium, or iridium stuffed with silicon oxide
US6812517B2 (en) 2002-08-29 2004-11-02 Freescale Semiconductor, Inc. Dielectric storage memory cell having high permittivity top dielectric and method therefor
US7084078B2 (en) 2002-08-29 2006-08-01 Micron Technology, Inc. Atomic layer deposited lanthanide doped TiOx dielectric films
US6818519B2 (en) 2002-09-23 2004-11-16 Infineon Technologies Ag Method of forming organic spacers and using organic spacers to form semiconductor device features
JP2004119832A (en) * 2002-09-27 2004-04-15 Toshiba Corp Semiconductor device
KR100460841B1 (en) 2002-10-22 2004-12-09 한국전자통신연구원 Method for forming nitrogen containing oxide thin film by plasma enhanced atomic layer deposition
US6982230B2 (en) 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
US6885065B2 (en) 2002-11-20 2005-04-26 Freescale Semiconductor, Inc. Ferromagnetic semiconductor structure and method for forming the same
US7101813B2 (en) 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US6958302B2 (en) 2002-12-04 2005-10-25 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
US6864164B1 (en) 2002-12-17 2005-03-08 Advanced Micro Devices, Inc. Finfet gate formation using reverse trim of dummy gate
US20040126944A1 (en) 2002-12-31 2004-07-01 Pacheco Rotondaro Antonio Luis Methods for forming interfacial layer for deposition of high-k dielectrics
US6762114B1 (en) 2002-12-31 2004-07-13 Texas Instruments Incorporated Methods for transistor gate fabrication and for reducing high-k gate dielectric roughness
US6750126B1 (en) 2003-01-08 2004-06-15 Texas Instruments Incorporated Methods for sputter deposition of high-k dielectric films
JP4209206B2 (en) 2003-01-14 2009-01-14 富士通マイクロエレクトロニクス株式会社 Manufacturing method of semiconductor device
US7473640B2 (en) 2003-01-15 2009-01-06 Sharp Laboratories Of America, Inc. Reactive gate electrode conductive barrier
JP2004220699A (en) 2003-01-15 2004-08-05 Tdk Corp Optical recording medium
US20040144980A1 (en) 2003-01-27 2004-07-29 Ahn Kie Y. Atomic layer deposition of metal oxynitride layers as gate dielectrics and semiconductor device structures utilizing metal oxynitride layers
US6863725B2 (en) 2003-02-04 2005-03-08 Micron Technology, Inc. Method of forming a Ta2O5 comprising layer
US6949433B1 (en) 2003-02-07 2005-09-27 Fasl Llc Method of formation of semiconductor resistant to hot carrier injection stress
US6727560B1 (en) 2003-02-10 2004-04-27 Advanced Micro Devices, Inc. Engineered metal gate electrode
US6852645B2 (en) 2003-02-13 2005-02-08 Texas Instruments Incorporated High temperature interface layer growth for high-k gate dielectric
US6884685B2 (en) 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
JP2004265561A (en) 2003-03-04 2004-09-24 Tdk Corp Optical recording medium
US7192892B2 (en) 2003-03-04 2007-03-20 Micron Technology, Inc. Atomic layer deposited dielectric layers
JP2004273067A (en) 2003-03-11 2004-09-30 Tdk Corp Optical recording medium
US7019351B2 (en) 2003-03-12 2006-03-28 Micron Technology, Inc. Transistor devices, and methods of forming transistor devices and circuit devices
US20040191687A1 (en) 2003-03-28 2004-09-30 Tdk Corporation Optical recording medium
US7135369B2 (en) 2003-03-31 2006-11-14 Micron Technology, Inc. Atomic layer deposited ZrAlxOy dielectric layers including Zr4AlO9
JP3974547B2 (en) 2003-03-31 2007-09-12 株式会社東芝 Semiconductor device and manufacturing method of semiconductor device
US7183186B2 (en) 2003-04-22 2007-02-27 Micro Technology, Inc. Atomic layer deposited ZrTiO4 films
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US6970053B2 (en) 2003-05-22 2005-11-29 Micron Technology, Inc. Atomic layer deposition (ALD) high permeability layered magnetic films to reduce noise in high speed interconnection
US7049192B2 (en) 2003-06-24 2006-05-23 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectrics
US7192824B2 (en) 2003-06-24 2007-03-20 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectric layers
US6785120B1 (en) 2003-07-03 2004-08-31 Micron Technology, Inc. Methods of forming hafnium-containing materials, methods of forming hafnium oxide, and capacitor constructions comprising hafnium oxide
US7125815B2 (en) 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US7368392B2 (en) * 2003-07-10 2008-05-06 Applied Materials, Inc. Method of fabricating a gate structure of a field effect transistor having a metal-containing gate electrode
JP2005044397A (en) 2003-07-23 2005-02-17 Tdk Corp Optical information recording medium
JP2005044450A (en) 2003-07-24 2005-02-17 Tdk Corp Optical recording medium and method for manufacturing same, and data recording method and data reproducing method for optical recording medium
US6809370B1 (en) 2003-07-31 2004-10-26 Texas Instruments Incorporated High-k gate dielectric with uniform nitrogen profile and methods for making the same
US7378129B2 (en) 2003-08-18 2008-05-27 Micron Technology, Inc. Atomic layer deposition methods of forming conductive metal nitride comprising layers
JP2005079223A (en) * 2003-08-29 2005-03-24 Toshiba Corp Semiconductor device and its manufacturing method
US6921711B2 (en) 2003-09-09 2005-07-26 International Business Machines Corporation Method for forming metal replacement gate of high performance
US6936508B2 (en) 2003-09-12 2005-08-30 Texas Instruments Incorporated Metal gate MOS transistors and methods for making the same
US6999298B2 (en) * 2003-09-18 2006-02-14 American Semiconductor, Inc. MIM multilayer capacitor
US7183182B2 (en) 2003-09-24 2007-02-27 International Business Machines Corporation Method and apparatus for fabricating CMOS field effect transistors
US7148546B2 (en) 2003-09-30 2006-12-12 Texas Instruments Incorporated MOS transistor gates with doped silicide and methods for making the same
US6989573B2 (en) 2003-10-10 2006-01-24 Micron Technology, Inc. Lanthanide oxide/zirconium oxide atomic layer deposited nanolaminate gate dielectrics
US7015534B2 (en) 2003-10-14 2006-03-21 Texas Instruments Incorporated Encapsulated MOS transistor gate structures and methods for making the same
US7144825B2 (en) 2003-10-16 2006-12-05 Freescale Semiconductor, Inc. Multi-layer dielectric containing diffusion barrier material
JP4064905B2 (en) 2003-10-29 2008-03-19 株式会社東芝 Phase change optical recording medium
US6849546B1 (en) 2003-11-04 2005-02-01 Taiwan Semiconductor Manufacturing Co. Method for improving interlevel dielectric gap filling over semiconductor structures having high aspect ratios
US7510942B2 (en) 2003-11-25 2009-03-31 Arizona Board Of Regents, Acting For And On Behalf Of Arizona State University Molecular modifications of metal/dielectric interfaces
US7115530B2 (en) 2003-12-03 2006-10-03 Texas Instruments Incorporated Top surface roughness reduction of high-k dielectric materials using plasma based processes
WO2005057568A1 (en) 2003-12-10 2005-06-23 Tdk Corporation Information recording medium evaluation method and information recording medium evaluation device
US7135361B2 (en) 2003-12-11 2006-11-14 Texas Instruments Incorporated Method for fabricating transistor gate structures and gate dielectrics thereof
EP2059094A1 (en) 2003-12-16 2009-05-13 Panasonic Corporation Organic electroluminescent device and method for manufacturing the same
US6979623B2 (en) 2003-12-17 2005-12-27 Texas Instruments Incorporated Method for fabricating split gate transistor device having high-k dielectrics
US7045431B2 (en) 2003-12-17 2006-05-16 Texas Instruments Incorporated Method for integrating high-k dielectrics in transistor devices
US7157769B2 (en) 2003-12-18 2007-01-02 Micron Technology, Inc. Flash memory having a high-permittivity tunnel dielectric
US7078282B2 (en) 2003-12-30 2006-07-18 Intel Corporation Replacement gate flow facilitating high yield and incorporation of etch stop layers and/or stressed films
US7033869B1 (en) 2004-01-13 2006-04-25 Advanced Micro Devices Strained silicon semiconductor on insulator MOSFET
US7154779B2 (en) 2004-01-21 2006-12-26 Sandisk Corporation Non-volatile memory cell using high-k material inter-gate programming
US7221018B2 (en) 2004-02-10 2007-05-22 Micron Technology, Inc. NROM flash memory with a high-permittivity gate dielectric
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
WO2005096403A2 (en) 2004-03-31 2005-10-13 Matsushita Electric Industrial Co., Ltd. Organic photoelectric conversion element utilizing an inorganic buffer layer placed between an electrode and the active material
US7629640B2 (en) 2004-05-03 2009-12-08 The Regents Of The University Of California Two bit/four bit SONOS flash memory cell
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7372070B2 (en) 2004-05-12 2008-05-13 Matsushita Electric Industrial Co., Ltd. Organic field effect transistor and method of manufacturing the same
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060019033A1 (en) 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US20060062917A1 (en) 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US20050272191A1 (en) 2004-06-03 2005-12-08 Uday Shah Replacement gate process for making a semiconductor device that includes a metal gate electrode
US20050270963A1 (en) 2004-06-04 2005-12-08 Tdk Corporation Optical recording medium
US7592678B2 (en) 2004-06-17 2009-09-22 Infineon Technologies Ag CMOS transistors with dual high-k gate dielectric and methods of manufacture thereof
US20050285208A1 (en) 2004-06-25 2005-12-29 Chi Ren Metal gate electrode for semiconductor devices
KR100624906B1 (en) 2004-06-25 2006-09-19 매그나칩 반도체 유한회사 Parallel Capacitor in a semiconductor device
US7323424B2 (en) 2004-06-29 2008-01-29 Micron Technology, Inc. Semiconductor constructions comprising cerium oxide and titanium oxide
US7135370B2 (en) 2004-07-01 2006-11-14 Freescale Semiconductor, Inc. Dielectric storage memory cell having high permittivity top dielectric and method therefor
US7138681B2 (en) 2004-07-27 2006-11-21 Micron Technology, Inc. High density stepped, non-planar nitride read only memory
US7601649B2 (en) 2004-08-02 2009-10-13 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US7151294B2 (en) 2004-08-03 2006-12-19 Micron Technology, Inc. High density stepped, non-planar flash memory
US7164168B2 (en) 2004-08-03 2007-01-16 Micron Technology, Inc. Non-planar flash memory having shielding between floating gates
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7494939B2 (en) 2004-08-31 2009-02-24 Micron Technology, Inc. Methods for forming a lanthanum-metal oxide dielectric layer
TW200610059A (en) 2004-09-01 2006-03-16 Au Optronics Corp Semiconductor device and method of fabricating an LTPS layer
US7250367B2 (en) 2004-09-01 2007-07-31 Micron Technology, Inc. Deposition methods using heteroleptic precursors
US20060043463A1 (en) * 2004-09-01 2006-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Floating gate having enhanced charge retention
US20060080682A1 (en) 2004-10-12 2006-04-13 Picsel Research Ltd. Run time dynamic linking
US7611943B2 (en) 2004-10-20 2009-11-03 Texas Instruments Incorporated Transistors, integrated circuits, systems, and processes of manufacture with improved work function modulation
JP4177803B2 (en) 2004-10-21 2008-11-05 株式会社東芝 Manufacturing method of semiconductor device
US7208793B2 (en) 2004-11-23 2007-04-24 Micron Technology, Inc. Scalable integrated logic and non-volatile memory
US20060113603A1 (en) 2004-12-01 2006-06-01 Amberwave Systems Corporation Hybrid semiconductor-on-insulator structures and related methods
US7393733B2 (en) 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
US7291526B2 (en) 2004-12-06 2007-11-06 Infineon Technologies Ag Semiconductor device and method of manufacture thereof
US20060125030A1 (en) 2004-12-13 2006-06-15 Micron Technology, Inc. Hybrid ALD-CVD of PrxOy/ZrO2 films as gate dielectrics
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US7253050B2 (en) 2004-12-20 2007-08-07 Infineon Technologies Ag Transistor device and method of manufacture thereof
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
KR100648632B1 (en) 2005-01-25 2006-11-23 삼성전자주식회사 Method for forming a dielectric structure having a high dielectric constant and method of manufacturing a semiconductor device having the dielectric structure
US7508648B2 (en) 2005-02-08 2009-03-24 Micron Technology, Inc. Atomic layer deposition of Dy doped HfO2 films as gate dielectrics
US7374964B2 (en) 2005-02-10 2008-05-20 Micron Technology, Inc. Atomic layer deposition of CeO2/Al2O3 films as gate dielectrics
US7399666B2 (en) 2005-02-15 2008-07-15 Micron Technology, Inc. Atomic layer deposition of Zr3N4/ZrO2 films as gate dielectrics
US7498247B2 (en) 2005-02-23 2009-03-03 Micron Technology, Inc. Atomic layer deposition of Hf3N4/HfO2 films as gate dielectrics
US8330202B2 (en) 2005-02-23 2012-12-11 Micron Technology, Inc. Germanium-silicon-carbide floating gates in memories
US7407850B2 (en) 2005-03-29 2008-08-05 Texas Instruments Incorporated N+ poly on high-k dielectric for semiconductor devices
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7365027B2 (en) 2005-03-29 2008-04-29 Micron Technology, Inc. ALD of amorphous lanthanide doped TiOx films
KR20080003387A (en) 2005-04-07 2008-01-07 에비자 테크놀로지, 인크. Multilayer, multicomponent high-k films and methods for depositing the same
US7598545B2 (en) 2005-04-21 2009-10-06 International Business Machines Corporation Using metal/metal nitride bilayers as gate electrodes in self-aligned aggressively scaled CMOS devices
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7390756B2 (en) 2005-04-28 2008-06-24 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
US7351632B2 (en) 2005-04-29 2008-04-01 Texas Instruments Incorporated Semiconductor CMOS devices and methods with NMOS high-k dielectric formed prior to core PMOS silicon oxynitride dielectric formation using direct nitridation of silicon
US7176076B2 (en) 2005-04-29 2007-02-13 Texas Instruments Incorporated Semiconductor CMOS devices and methods with NMOS high-k dielectric present in core region that mitigate damage to dielectric materials
US7226830B2 (en) 2005-04-29 2007-06-05 Texas Instruments Incorporated Semiconductor CMOS devices and methods with NMOS high-k dielectric formed prior to core PMOS dielectric formation
US7572695B2 (en) 2005-05-27 2009-08-11 Micron Technology, Inc. Hafnium titanium oxide films
US7606816B2 (en) 2005-06-03 2009-10-20 Yahoo! Inc. Record boundary identification and extraction through pattern mining
JP2006344804A (en) 2005-06-09 2006-12-21 Seiko Epson Corp Semiconductor device and method of manufacturing semiconductor device
JP4703277B2 (en) 2005-06-13 2011-06-15 株式会社東芝 Manufacturing method of semiconductor device
US7510983B2 (en) 2005-06-14 2009-03-31 Micron Technology, Inc. Iridium/zirconium oxide structure
US7361561B2 (en) 2005-06-24 2008-04-22 Freescale Semiconductor, Inc. Method of making a metal gate semiconductor device
US20070069241A1 (en) 2005-07-01 2007-03-29 Matrix Semiconductor, Inc. Memory with high dielectric constant antifuses and method for using at low voltage
US7195999B2 (en) 2005-07-07 2007-03-27 Micron Technology, Inc. Metal-substituted transistor gates
US20070018214A1 (en) 2005-07-25 2007-01-25 Micron Technology, Inc. Magnesium titanium oxide films
US7575978B2 (en) 2005-08-04 2009-08-18 Micron Technology, Inc. Method for making conductive nanoparticle charge storage element
US7470577B2 (en) 2005-08-15 2008-12-30 Texas Instruments Incorporated Dual work function CMOS devices utilizing carbide based electrodes
US7393736B2 (en) 2005-08-29 2008-07-01 Micron Technology, Inc. Atomic layer deposition of Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics
US20070049023A1 (en) 2005-08-29 2007-03-01 Micron Technology, Inc. Zirconium-doped gadolinium oxide films
US7214994B2 (en) 2005-08-31 2007-05-08 Micron Technology, Inc. Self aligned metal gates on high-k dielectrics
US7410910B2 (en) 2005-08-31 2008-08-12 Micron Technology, Inc. Lanthanum aluminum oxynitride dielectric films
US8071476B2 (en) 2005-08-31 2011-12-06 Micron Technology, Inc. Cobalt titanium oxide dielectric films
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US7615438B2 (en) 2005-12-08 2009-11-10 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
US7510943B2 (en) 2005-12-16 2009-03-31 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US7972974B2 (en) 2006-01-10 2011-07-05 Micron Technology, Inc. Gallium lanthanide oxide films
US20070164323A1 (en) 2006-01-18 2007-07-19 Micron Technology, Inc. CMOS gates with intermetallic compound tunable work functions
US20070164367A1 (en) 2006-01-18 2007-07-19 Micron Technology, Inc. CMOS gates with solid-solution alloy tunable work functions
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7582161B2 (en) 2006-04-07 2009-09-01 Micron Technology, Inc. Atomic layer deposited titanium-doped indium oxide films
US7985995B2 (en) 2006-08-03 2011-07-26 Micron Technology, Inc. Zr-substituted BaTiO3 films
US7749879B2 (en) 2006-08-03 2010-07-06 Micron Technology, Inc. ALD of silicon films on germanium
US7727908B2 (en) 2006-08-03 2010-06-01 Micron Technology, Inc. Deposition of ZrA1ON films
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
US7759747B2 (en) 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
US7432548B2 (en) 2006-08-31 2008-10-07 Micron Technology, Inc. Silicon lanthanide oxynitride films
US7776765B2 (en) 2006-08-31 2010-08-17 Micron Technology, Inc. Tantalum silicon oxynitride high-k dielectrics and metal gates
US7544604B2 (en) 2006-08-31 2009-06-09 Micron Technology, Inc. Tantalum lanthanide oxynitride films
US7563730B2 (en) 2006-08-31 2009-07-21 Micron Technology, Inc. Hafnium lanthanide oxynitride films
US20080057659A1 (en) 2006-08-31 2008-03-06 Micron Technology, Inc. Hafnium aluminium oxynitride high-K dielectric and metal gates

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6562491B1 (en) * 2001-10-15 2003-05-13 Advanced Micro Devices, Inc. Preparation of composite high-K dielectrics
US6645882B1 (en) * 2002-01-17 2003-11-11 Advanced Micro Devices, Inc. Preparation of composite high-K/standard-K dielectrics for semiconductor devices
US7374696B2 (en) * 2003-02-14 2008-05-20 Applied Materials, Inc. Method and apparatus for removing a halogen-containing residue
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US20050067704A1 (en) * 2003-09-26 2005-03-31 Akio Kaneko Semiconductor device and method of manufacturing the same
US7375403B2 (en) * 2003-09-26 2008-05-20 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US20050280104A1 (en) * 2004-06-17 2005-12-22 Hong-Jyh Li CMOS transistor with dual high-k gate dielectric and method of manufacture thereof

Also Published As

Publication number Publication date
US20100207181A1 (en) 2010-08-19
US8067794B2 (en) 2011-11-29
US7709402B2 (en) 2010-05-04
US8785312B2 (en) 2014-07-22
US20070187831A1 (en) 2007-08-16
US20120068272A1 (en) 2012-03-22

Similar Documents

Publication Publication Date Title
US8785312B2 (en) Conductive layers for hafnium silicon oxynitride
US8685815B2 (en) Hafnium tantalum titanium oxide films
US8524618B2 (en) Hafnium tantalum oxide dielectrics
US7510983B2 (en) Iridium/zirconium oxide structure
US8481395B2 (en) Methods of forming a dielectric containing dysprosium doped hafnium oxide
US8455959B2 (en) Apparatus containing cobalt titanium oxide
US7605030B2 (en) Hafnium tantalum oxynitride high-k dielectric and metal gates
US7700989B2 (en) Hafnium titanium oxide films
US20070049023A1 (en) Zirconium-doped gadolinium oxide films
US20060244082A1 (en) Atomic layer desposition of a ruthenium layer to a lanthanide oxide dielectric layer
US20060125030A1 (en) Hybrid ALD-CVD of PrxOy/ZrO2 films as gate dielectrics

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO PAY ISSUE FEE