US20140306274A1 - SELF-ALIGNED STRUCTURE FOR BULK FinFET - Google Patents

SELF-ALIGNED STRUCTURE FOR BULK FinFET Download PDF

Info

Publication number
US20140306274A1
US20140306274A1 US14/015,967 US201314015967A US2014306274A1 US 20140306274 A1 US20140306274 A1 US 20140306274A1 US 201314015967 A US201314015967 A US 201314015967A US 2014306274 A1 US2014306274 A1 US 2014306274A1
Authority
US
United States
Prior art keywords
fins
silicon
bulk
finfet structure
doped
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/015,967
Inventor
Veeraraghavan S. Basker
Effendi Leobandung
Tenko Yamashita
Chun-Chen Yeh
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Elpis Technologies Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US14/015,967 priority Critical patent/US20140306274A1/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BASKER, VEERARAGHAVAN S., LEOBANDUNG, EFFENDI, YAMASHITA, TENKO, YEH, CHUN-CHEN
Publication of US20140306274A1 publication Critical patent/US20140306274A1/en
Assigned to ELPIS TECHNOLOGIES INC. reassignment ELPIS TECHNOLOGIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Definitions

  • the present invention relates to bulk FinFET devices and, more particularly, relates to bulk FinFET devices having uniform high concentration well doping to block the electrical path between the source and drain and minimize the junction leakage current.
  • nonplanar FETs In contrast to traditional planar metal-oxide-semiconductor field-effect transistors (MOSFETS), which are fabricated using conventional lithographic fabrication methods, nonplanar FETs (Field-Effect Transistors) incorporate various vertical transistor structures, and typically include two or more gate structures formed in parallel.
  • MOSFETS planar metal-oxide-semiconductor field-effect transistors
  • FET Field-Effect Transistors
  • a FinFET device generally includes one or more parallel silicon fin structures (or simply “fins”).
  • the fins extend between a common source electrode and a common drain electrode.
  • a conductive gate structure “wraps around” three sides of the fins, and may be separated from the fins by a standard gate insulator layer. Fins may be suitably doped to produce the desired FET polarity, as is known in the art, such that a gate channel is formed within the fins adjacent to the gate insulator.
  • Fin structures may be formed on a semiconductor substrate.
  • the semiconductor substrate may be a silicon on insulator (SOI) wafer.
  • the silicon on insulator (SOI) wafer comprises a silicon-comprising material layer overlying a silicon oxide layer. Fin structures are formed from the silicon-comprising material layer.
  • the SOI wafer is supported by a support substrate which may also be silicon or another semiconducting material.
  • the semiconductor substrate may be a bulk silicon wafer from which the fin structures are formed.
  • the bulk silicon wafer comprises a monolithic block of single crystal silicon.
  • a FinFET device formed from a bulk silicon wafer is referred to herein as a “bulk FinFET device”.
  • Unrelated FinFET devices Electrical isolation between adjacent fins and between the source and drain electrodes of unrelated FinFET devices is needed. “Unrelated” as used herein means that the devices are not intended to be coupled together. Electrical current leakage is a parasitic effect, which degrades performance of an integrated circuit.
  • a FinFET structure which includes: a bulk semiconductor substrate; a plurality of semiconductor fins extending from the bulk semiconductor substrate, each of the plurality of semiconductor fins having a top portion and a bottom portion such that the bottom portion of the semiconductor fins is doped and the top portion of the semiconductor fins is undoped; a portion of the bulk semiconductor substrate directly underneath the plurality of semiconductor fins being doped to form an n+ or p+ well; and an oxide formed between the bottom portions of the fins.
  • FIGS. 1A to 1H illustrate a process for forming fins on a bulk silicon substrate wherein:
  • FIG. 1A illustrates a starting structure including a bulk silicon substrate, an oxide layer, an amorphous silicon layer and a hard mask layer;
  • FIG. 1B illustrates the patterning of the amorphous silicon layer and the hard mask layer
  • FIG. 1C illustrates the removal of the hard mask layer, leaving only stripes of amorphous silicon
  • FIG. 1D illustrates the deposition of a conformal layer of nitride
  • FIG. 1E illustrates the etching of the nitride to form sidewall spacers
  • FIG. 1F illustrates the etching of the stripes of amorphous silicon to leave only the sidewall spacers
  • FIG. 1G illustrates the etching of the oxide layer and the silicon bulk substrate using the sidewall spacers as a mask to result in stripes of oxide on silicon fins
  • FIG. 1H illustrates the etching of the sidewall spacers and the oxide stripes to result in silicon fins formed from the bulk silicon substrate.
  • FIG. 2 is a plan view of a beginning FinFET structure comprising a plurality of silicon fins on a bulk silicon substrate.
  • FIG. 3 is a side view of the FinFET structure of FIG. 2 in the direction of arrow B illustrating a fin on the bulk silicon substrate.
  • FIGS. 4 to 10 illustrate a first exemplary process for forming a self-aligned structure for a FinFET wherein FIGS. 4 to 10 are cross-sectional views in the direction of arrows A-A in FIG. 2 wherein:
  • FIG. 4 illustrates the formation of an oxide layer between a plurality of silicon fins formed from a bulk silicon substrate
  • FIG. 5 illustrates the formation of a dummy spacer on each of the silicon fins
  • FIG. 6 illustrates the removal of the oxide layer
  • FIG. 7 illustrates the formation of an epitaxial layer in the space formerly occupied by the oxide layer
  • FIG. 8 illustrates the drive-in of the dopants from the epitaxial layer
  • FIG. 9 illustrates the removal of the epitaxial layer and, after removal of the epitaxial layer, the resulting doped portions of the silicon fins and the bulk silicon substrate.
  • FIGS. 11 to 14 illustrate a second exemplary process for forming a self-aligned structure for a FinFET wherein FIGS. 11 to 14 are cross-sectional views in the direction of arrows A-A in FIG. 2 wherein:
  • FIG. 11 illustrates a starting structure as illustrated in FIG. 6 and then adding a plasma doping layer in the space formerly occupied by the oxide layer;
  • FIG. 12 illustrates the drive-in of the dopants from the plasma doping layer
  • FIG. 13 illustrates the removal of the plasma doping layer and, after removal of the plasma doping layer, the resulting doped portions of the silicon fins and the bulk silicon substrate;
  • FIG. 14 illustrates the removal of the dummy spacer and the deposition of a second oxide layer.
  • FIGS. 15 to 18 illustrate a third exemplary process for forming a self-aligned structure for a FinFET wherein FIGS. 15 to 18 are cross-sectional views in the direction of arrows A-A in FIG. 2 wherein:
  • FIG. 15 illustrates a starting structure as illustrated in FIG. 6 and then thinning bottom portions of the semiconductor fins
  • FIG. 16 illustrates the deposition of an epitaxial layer and the drive-in of the dopants from the epitaxial layer
  • FIG. 17 illustrates the removal of the epitaxial layer and, after removal of the epitaxial layer, the resulting doped portions of the silicon fins and the bulk silicon substrate;
  • FIG. 18 illustrates the removal of the dummy spacer and the deposition of a second oxide layer.
  • FIGS. 19 and 20 are views similar to FIGS. 2 and 3 , respectively, except that FIGS. 19 and 20 illustrate the addition of a gate structure.
  • FIGS. 1A to 1H there is illustrated a preferred process for fabricating a bulk semiconductor substrate having fins for practicing the exemplary embodiments.
  • the preferred process may be referred to as the sidewall image transfer process.
  • the process begins with a bulk semiconductor substrate 102 which preferably is silicon but may be any other semiconductor material known now or in the future.
  • the bulk semiconductor substrate 102 is silicon and will be referred to as such in the discussion that follows.
  • an oxide layer 110 On top of bulk silicon substrate 102 is an oxide layer 110 , followed by an amorphous silicon layer 112 and hard mask layer 114 , usually a nitride.
  • photoresist and other layers which may be used to pattern the hard mask layer 114 .
  • the hard mask layer 114 has been patterned and etched down through the amorphous silicon layer 112 , stopping on the oxide layer 110 .
  • the hard mask layer 114 has been conventionally stripped, leaving only stripes of amorphous silicon 112 . Shown in FIG. 1C are only the ends of the stripes of amorphous silicon 112 which run perpendicular to the page.
  • a conformal layer of nitride 116 is deposited over the stripes of amorphous silicon 112 , as shown in FIG. 1D .
  • the conformal layer of nitride 116 is conventionally etched to form sidewall spacers 118 , as shown in FIG. 1E , followed by conventionally etching the stripes of amorphous silicon 112 to result in only the spacers 118 left on the surface of oxide layer 110 , as shown in FIG. 1F .
  • the bulk silicon substrate 102 is etched to form silicon fins 120 extending from the bulk silicon substrate 102 and stripes of oxide 122 on the silicon fins 120 as shown in FIG. 1G .
  • the spacers 118 and stripes of oxide 122 are conventionally etched to result in silicon fins 120 on the remaining bulk silicon substrate 102 .
  • FIG. 2 there is illustrated a plan view of a starting FinFET structure 200 comprising a plurality of spaced-apart fins 202 on bulk silicon substrate 204 .
  • the fins 202 may be formed in a process such as that illustrated in FIGS. 1A to 1H .
  • FIG. 3 is a side view of the FinFET structure 200 of FIG. 2 in the direction of arrow B illustrating a fin 202 on bulk silicon substrate 204 .
  • the fins 202 will receive a gate structure (not shown) wrapping around the fins 202 .
  • the fins 202 of FinFET structure 200 may be doped as will be described in the following description. Some of the doped fins and gate structures formed thereon may result in N-type FinFETs (NFETS) while others of the doped fins and gate structures may result in P-type FinFETs (PFETS).
  • the present exemplary embodiments are applicable to both NFET and PFET devices.
  • FIGS. 4 to 10 illustrate a first exemplary process for doping of the fins wherein FIGS. 4 to 10 are cross-sectional views in the direction of arrows A-A in FIG. 2 .
  • FIG. 4 there is illustrated a starting FinFET structure 400 which includes a bulk silicon substrate 402 having a plurality of silicon fins 404 .
  • the silicon fins 404 preferably may be formed using the process in FIGS. 1A to 1H .
  • An oxide 406 has been deposited over and between the silicon fins 404 , planarized by a conventional process such as chemical mechanical polishing so that the oxide 406 is level with the tops of the silicon fins 404 and then etched back by a conventional process such as reactive ion etching (RIE) so that the oxide 406 has been pulled back to expose the sidewalls 408 of the silicon fins 404 .
  • the oxide 406 may be about one half of the height of the silicon fins 404 .
  • dummy nitride spacers 410 have been formed on the sidewalls 408 and tops 412 of the silicon fins 408 .
  • nitride such as silicon nitride has been deposited over the silicon fins 404 and bulk silicon substrate 402 and then etched back by a conventional process such as RIE to leave a dummy spacer on the sidewalls 408 and tops 412 of the silicon fins 404 .
  • the tops 412 of silicon fins 404 may have a hard mask (not shown) and then additional nitride is deposited and etched by RIE to form dummy spacers 410 on the sidewalls 408 of the silicon fins 404 .
  • the oxide 406 is stripped using, for example, a wet etch of dilute hydrofluoric acid (dHF) to result in the structure shown in FIG. 6 .
  • dHF dilute hydrofluoric acid
  • the FinFET structure 400 then undergoes an epitaxial process to grow either phosphorous-doped silicon (P-silicon) or boron-doped silicon germanium (B—SiGe) on the exposed portions of the silicon fins 404 and the bulk silicon substrate 402 .
  • the FinFET structure 400 is contacted with hydrofluoric acid (HF) to remove native oxide then undergoes a 700 to 800° C. prebake to completely purge out the oxygen on the surface.
  • HF hydrofluoric acid
  • SiH 4 (or GeH 4 ) and B 2 H 6 or SiH 4 and PH 3 is flowed into the chamber at a control temperature of 600° C.
  • the epitaxial material 414 is shown in FIG. 7 where the oxide 406 (shown in FIG. 5 ) used to be.
  • the FinFET structure 400 undergoes either a rapid thermal anneal (RTA) at about 1025° C. for a very short time, about a millisecond or a furnace anneal at about 700° C. for about 30 minutes to drive in the dopants (represented by arrows 416 ) to the bulk silicon substrate 402 and the portions of the silicon fins 404 exposed to the epitaxial material 414 .
  • RTA rapid thermal anneal
  • the dummy spacer 410 protects the upper sidewalls 408 and the tops 412 of the fins 404 from the epitaxial material 414 and the drive in of the dopants from the epitaxial material 414 .
  • the epitaxial material 414 may be stripped by, for example, hydrochloric acid.
  • the bottom portions 418 of the fins 404 become doped after the drive in of the dopants from the epitaxial material 414 .
  • the doped portion of the bulk silicon substrate 402 forms a well indicated by reference number 420 .
  • the well may have a thickness of about 30 nm.
  • P-silicon may be used as the epitaxial material 414 and an n+ well 420 is formed.
  • the bulk silicon substrate 402 is p ⁇ .
  • B-silicon germanium or B-silicon may be used as the epitaxial material 414 and a p+ well 420 is formed.
  • the bulk silicon substrate 402 is p ⁇ .
  • the dopant concentration in the bottom portions 418 of the fins 404 and well 420 is about 1 ⁇ 10 20 atoms/cm 3 while for the bulk silicon substrate 402 the dopant concentration is about 1 ⁇ 10 16 atoms/cm 3 .
  • the actual dopant is boron for the NFET device and phosphorous for the PFET device.
  • boron would be the dopant for a PFET and phosphorous for the NFET but the reverse (boron for NFET and phosphorous for PFET) is desired for the well doping.
  • the structure thus far is shown in FIG. 9 .
  • the dummy spacer 410 may be conventionally etched by a combination of physical ion bombardment and chemical reaction at the surface by flowing in CF 4 and O 2 /H 2 for a silicon nitride etch. Thereafter, another layer of oxide 422 may be deposited by a process such as that used to deposit the oxide 406 in FIG. 4 .
  • FIGS. 11 to 14 illustrate a second exemplary process for doping of the fins wherein FIGS. 11 to 14 are cross-sectional views in the direction of arrows A-A in FIG. 2 .
  • FIG. 11 there is illustrated a starting FinFET structure 600 which includes a bulk silicon substrate 602 having a plurality of silicon fins 604 .
  • the silicon fins 604 preferably may be formed using the process in FIGS. 1A to 1H .
  • the process begins with a structure substantially identical to that shown in FIG. 6 and having dummy spacers 606 .
  • the FinFET structure 600 undergoes plasma doping to deposit a doping layer 612 in contact with the exposed bottom portions of the silicon fins 604 and bulk silicon substrate 602 .
  • Plasma doping is a technique characterized by the implantation of energetic impurity ions that are generated by immersing the substrate into a plasma and applying a negative bias voltage—pulsed bias in general—to the substrate.
  • the system consists of a chamber, a RF power and a high vacuum pumping system, a high voltage pulse supply and gas supply system.
  • the plasma doping source is a gas mixture of PH 3 or B 2 H 6 and He gas.
  • the doping will be either impinging into or deposit onto the surface to achieve very shallow junction formation either in planar or vertical structure.
  • the plasma doping will deposit either layers of phosphorous or boron dopants.
  • the dummy spacers 606 protect the sides 608 and tops 610 of the silicon fins 604 from being in contact with the doping layer 612 .
  • the FinFET structure 600 undergoes either a rapid thermal anneal (RTA) or a furnace anneal, as described previously with respect to FIG. 8 , to drive in the dopants (represented by arrows 614 ) to the bulk silicon substrate 602 and the portions of the silicon fins 604 exposed to the doping layer 612 .
  • RTA rapid thermal anneal
  • furnace anneal as described previously with respect to FIG. 8 .
  • the dummy spacers 606 protect the upper sidewalls 608 and the tops 610 of the fins 604 from the doping layer 612 and the drive in of the dopants from the doping layer 612 .
  • the doping layer 612 may be stripped by, for example, ozone plasma ashing.
  • the bottom portions 616 (in contact with the doping material 612 ) of the fins 604 become doped after the drive in of the dopants from the doping layer 612 .
  • the doped portion of the bulk silicon substrate 602 forms a well indicated by reference number 618 .
  • the well may have a thickness of about 30 nm.
  • the FinFET structure may be doped in the same manner as the first exemplary embodiment. The structure thus far is shown in FIG. 13 .
  • the dummy spacer 606 may be conventionally etched as described previously. Thereafter, another layer of oxide 620 may be deposited by a process such as that used to deposit the oxide 406 in FIG. 4 .
  • FIGS. 15 to 18 illustrate a third exemplary process for doping of the fins wherein FIGS. 15 to 18 are cross-sectional views in the direction of arrows A-A in FIG. 2 .
  • FIG. 15 there is illustrated a starting FinFET structure 800 which includes a bulk silicon substrate 802 having a plurality of silicon fins 804 .
  • the silicon fins 804 preferably may be formed using the process in FIGS. 1A to 1H .
  • the process begins with the structure substantially identical to that shown in FIG. 6 and having dummy spacers 806 .
  • the bottom portions 808 of the silicon fins 804 have been thinned by exposing the FinFET structure 800 to an etchant that anisotropically etches the exposed silicon.
  • the etchant may be an etchant comprising a 25 weight percent solution of potassium hydroxide and water.
  • the FinFET structure 800 is exposed to the etchant for a sufficient time to reduce each exposed silicon surface by about 2 to 3 nanometers (nm).
  • the starting thickness of the silicon fins 804 is about 10 nm and after exposure to the etchant, the thickness of the bottom portion 808 is now about 4 to 6 nm.
  • the surface 810 of the bulk silicon substrate 802 is also reduced by about 2 to 3 nm due to exposure to the silicon etchant.
  • the FinFET structure 800 then undergoes an epitaxial process to grow either phosphorous-doped silicon (P-silicon), boron-doped silicon germanium (B—SiGe) or boron-doped silicon (B—Si) on the exposed bottom portions 808 of the silicon fins 804 and the bulk silicon substrate 802 .
  • the epitaxial process is the same as described previously.
  • the epitaxial material 812 is shown in FIG. 16 .
  • the FinFET structure 800 then undergoes either a rapid thermal anneal (RTA) or a furnace anneal, as described previously, to drive in the dopants (represented by arrows 814 ) to the bulk silicon substrate 802 and the exposed bottom portions 808 of the silicon fins 804 .
  • RTA rapid thermal anneal
  • the dummy spacer 806 protects the upper sidewalls 816 and the tops 818 of the fins 804 from the epitaxial material 812 and the drive in of the dopants from the
  • the epitaxial material 812 may be stripped by, for example, hydrochloric acid.
  • the bottom portions 808 of the fins 804 become doped after the drive in of the dopants from the epitaxial material 812 .
  • the doped portion of the bulk silicon substrate 802 forms a well indicated by reference number 820 .
  • the well may have a thickness of about 30 nm.
  • the FinFET structure 800 may be doped in the same manner as the first exemplary embodiment. The structure thus far is shown in FIG. 17 .
  • the dummy spacer 806 may be conventionally etched as described previously. Thereafter, another layer of oxide 822 may be deposited by a process such as that used to deposit the oxide 406 in FIG. 4 . The resulting structure is shown in FIG. 18 .
  • FIGS. 19 and 20 illustrate the formation of a gate structure 206 that wraps around the plurality of fins 202 .
  • the gate structure 206 may wrap around all or a plurality of fins 202 , as shown in FIGS. 19 and 20 , or there may be a separate gate structure for each of the fins 202 .
  • the gate structure 206 may also include a hard mask 208 , such as a silicon nitride.
  • the gate structure 206 may be formed in conjunction with any of the exemplary embodiments.
  • the ends of the fins 202 may comprise a source and a drain and may further include P-silicon or B—SiGe epitaxial material (not shown).
  • the exemplary embodiments are advantageous in that uniform high concentration well doping is achieved to block the electrical path between the source and drain and minimize the junction leakage current.
  • the third exemplary embodiment is particularly advantageous in that parasitic capacitance is reduced because the proportion of the channel exposed to the well is less due to the thinning of the fins.

Abstract

A FinFET structure which includes a bulk semiconductor substrate; semiconductor fins extending from the bulk semiconductor substrate, each of the semiconductor fins having a top portion and a bottom portion such that the bottom portion of the semiconductor fins is doped and the top portion of the semiconductor fins is undoped; a portion of the bulk semiconductor substrate directly underneath the plurality of semiconductor fins being doped to form an n+ or p+ well; and an oxide formed between the bottom portions of the fins.

Description

    RELATED APPLICATION
  • This application is a continuation of U.S. patent application Ser. No. 13/860,832 (Attorney docket no. YOR920120148US1), entitled “SELF-ALIGNED STRUCTURE FOR BULK FinFET”, filed Apr. 11, 2013, the disclosure of which is incorporated by reference herein.
  • BACKGROUND
  • The present invention relates to bulk FinFET devices and, more particularly, relates to bulk FinFET devices having uniform high concentration well doping to block the electrical path between the source and drain and minimize the junction leakage current.
  • In contrast to traditional planar metal-oxide-semiconductor field-effect transistors (MOSFETS), which are fabricated using conventional lithographic fabrication methods, nonplanar FETs (Field-Effect Transistors) incorporate various vertical transistor structures, and typically include two or more gate structures formed in parallel. One such semiconductor structure is the “FinFET” which takes its name from the multiple thin silicon “fins” that are used to form the respective gate channels.
  • More particularly, a FinFET device generally includes one or more parallel silicon fin structures (or simply “fins”). The fins extend between a common source electrode and a common drain electrode. A conductive gate structure “wraps around” three sides of the fins, and may be separated from the fins by a standard gate insulator layer. Fins may be suitably doped to produce the desired FET polarity, as is known in the art, such that a gate channel is formed within the fins adjacent to the gate insulator.
  • Fin structures (and thus FinFET devices) may be formed on a semiconductor substrate. The semiconductor substrate may be a silicon on insulator (SOI) wafer. The silicon on insulator (SOI) wafer comprises a silicon-comprising material layer overlying a silicon oxide layer. Fin structures are formed from the silicon-comprising material layer. The SOI wafer is supported by a support substrate which may also be silicon or another semiconducting material.
  • Alternatively, the semiconductor substrate may be a bulk silicon wafer from which the fin structures are formed. The bulk silicon wafer comprises a monolithic block of single crystal silicon. A FinFET device formed from a bulk silicon wafer is referred to herein as a “bulk FinFET device”.
  • Electrical isolation between adjacent fins and between the source and drain electrodes of unrelated FinFET devices is needed. “Unrelated” as used herein means that the devices are not intended to be coupled together. Electrical current leakage is a parasitic effect, which degrades performance of an integrated circuit.
  • BRIEF SUMMARY
  • The various advantages and purposes of the exemplary embodiments as described above and hereafter are achieved by providing a FinFET structure which includes: a bulk semiconductor substrate; a plurality of semiconductor fins extending from the bulk semiconductor substrate, each of the plurality of semiconductor fins having a top portion and a bottom portion such that the bottom portion of the semiconductor fins is doped and the top portion of the semiconductor fins is undoped; a portion of the bulk semiconductor substrate directly underneath the plurality of semiconductor fins being doped to form an n+ or p+ well; and an oxide formed between the bottom portions of the fins.
  • BRIEF DESCRIPTION OF SEVERAL VIEWS OF THE DRAWINGS
  • The features of the exemplary embodiments believed to be novel and the elements characteristic of the exemplary embodiments are set forth with particularity in the appended claims. The Figures are for illustration purposes only and are not drawn to scale. The exemplary embodiments, both as to organization and method of operation, may best be understood by reference to the detailed description which follows taken in conjunction with the accompanying drawings in which:
  • FIGS. 1A to 1H illustrate a process for forming fins on a bulk silicon substrate wherein:
  • FIG. 1A illustrates a starting structure including a bulk silicon substrate, an oxide layer, an amorphous silicon layer and a hard mask layer;
  • FIG. 1B illustrates the patterning of the amorphous silicon layer and the hard mask layer;
  • FIG. 1C illustrates the removal of the hard mask layer, leaving only stripes of amorphous silicon;
  • FIG. 1D illustrates the deposition of a conformal layer of nitride;
  • FIG. 1E illustrates the etching of the nitride to form sidewall spacers;
  • FIG. 1F illustrates the etching of the stripes of amorphous silicon to leave only the sidewall spacers;
  • FIG. 1G illustrates the etching of the oxide layer and the silicon bulk substrate using the sidewall spacers as a mask to result in stripes of oxide on silicon fins; and
  • FIG. 1H illustrates the etching of the sidewall spacers and the oxide stripes to result in silicon fins formed from the bulk silicon substrate.
  • FIG. 2 is a plan view of a beginning FinFET structure comprising a plurality of silicon fins on a bulk silicon substrate.
  • FIG. 3 is a side view of the FinFET structure of FIG. 2 in the direction of arrow B illustrating a fin on the bulk silicon substrate.
  • FIGS. 4 to 10 illustrate a first exemplary process for forming a self-aligned structure for a FinFET wherein FIGS. 4 to 10 are cross-sectional views in the direction of arrows A-A in FIG. 2 wherein:
  • FIG. 4 illustrates the formation of an oxide layer between a plurality of silicon fins formed from a bulk silicon substrate;
  • FIG. 5 illustrates the formation of a dummy spacer on each of the silicon fins;
  • FIG. 6 illustrates the removal of the oxide layer;
  • FIG. 7 illustrates the formation of an epitaxial layer in the space formerly occupied by the oxide layer;
  • FIG. 8 illustrates the drive-in of the dopants from the epitaxial layer;
  • FIG. 9 illustrates the removal of the epitaxial layer and, after removal of the epitaxial layer, the resulting doped portions of the silicon fins and the bulk silicon substrate; and
  • FIG. 10 illustrates the removal of the dummy spacer and the deposition of a second oxide layer.
  • FIGS. 11 to 14 illustrate a second exemplary process for forming a self-aligned structure for a FinFET wherein FIGS. 11 to 14 are cross-sectional views in the direction of arrows A-A in FIG. 2 wherein:
  • FIG. 11 illustrates a starting structure as illustrated in FIG. 6 and then adding a plasma doping layer in the space formerly occupied by the oxide layer;
  • FIG. 12 illustrates the drive-in of the dopants from the plasma doping layer;
  • FIG. 13 illustrates the removal of the plasma doping layer and, after removal of the plasma doping layer, the resulting doped portions of the silicon fins and the bulk silicon substrate; and
  • FIG. 14 illustrates the removal of the dummy spacer and the deposition of a second oxide layer.
  • FIGS. 15 to 18 illustrate a third exemplary process for forming a self-aligned structure for a FinFET wherein FIGS. 15 to 18 are cross-sectional views in the direction of arrows A-A in FIG. 2 wherein:
  • FIG. 15 illustrates a starting structure as illustrated in FIG. 6 and then thinning bottom portions of the semiconductor fins;
  • FIG. 16 illustrates the deposition of an epitaxial layer and the drive-in of the dopants from the epitaxial layer;
  • FIG. 17 illustrates the removal of the epitaxial layer and, after removal of the epitaxial layer, the resulting doped portions of the silicon fins and the bulk silicon substrate; and
  • FIG. 18 illustrates the removal of the dummy spacer and the deposition of a second oxide layer.
  • FIGS. 19 and 20 are views similar to FIGS. 2 and 3, respectively, except that FIGS. 19 and 20 illustrate the addition of a gate structure.
  • DETAILED DESCRIPTION
  • Referring now to FIGS. 1A to 1H, there is illustrated a preferred process for fabricating a bulk semiconductor substrate having fins for practicing the exemplary embodiments. The preferred process may be referred to as the sidewall image transfer process.
  • In FIG. 1A, the process begins with a bulk semiconductor substrate 102 which preferably is silicon but may be any other semiconductor material known now or in the future. For the purposes of the present exemplary embodiments, it is preferred that the bulk semiconductor substrate 102 is silicon and will be referred to as such in the discussion that follows. On top of bulk silicon substrate 102 is an oxide layer 110, followed by an amorphous silicon layer 112 and hard mask layer 114, usually a nitride. Not shown in FIG. 1A are photoresist and other layers which may be used to pattern the hard mask layer 114.
  • Referring now to FIG. 1B, the hard mask layer 114 has been patterned and etched down through the amorphous silicon layer 112, stopping on the oxide layer 110.
  • Referring now to FIG. 1C, the hard mask layer 114 has been conventionally stripped, leaving only stripes of amorphous silicon 112. Shown in FIG. 1C are only the ends of the stripes of amorphous silicon 112 which run perpendicular to the page.
  • Thereafter, a conformal layer of nitride 116 is deposited over the stripes of amorphous silicon 112, as shown in FIG. 1D.
  • The conformal layer of nitride 116 is conventionally etched to form sidewall spacers 118, as shown in FIG. 1E, followed by conventionally etching the stripes of amorphous silicon 112 to result in only the spacers 118 left on the surface of oxide layer 110, as shown in FIG. 1F.
  • Using the spacers 118 as a mask, the bulk silicon substrate 102 is etched to form silicon fins 120 extending from the bulk silicon substrate 102 and stripes of oxide 122 on the silicon fins 120 as shown in FIG. 1G.
  • Referring now to FIG. 1H, the spacers 118 and stripes of oxide 122 are conventionally etched to result in silicon fins 120 on the remaining bulk silicon substrate 102.
  • Referring now to FIG. 2, there is illustrated a plan view of a starting FinFET structure 200 comprising a plurality of spaced-apart fins 202 on bulk silicon substrate 204. The fins 202 may be formed in a process such as that illustrated in FIGS. 1A to 1H.
  • FIG. 3 is a side view of the FinFET structure 200 of FIG. 2 in the direction of arrow B illustrating a fin 202 on bulk silicon substrate 204.
  • In a subsequent process flow, the fins 202 will receive a gate structure (not shown) wrapping around the fins 202. Prior to forming of the gate structure, the fins 202 of FinFET structure 200 may be doped as will be described in the following description. Some of the doped fins and gate structures formed thereon may result in N-type FinFETs (NFETS) while others of the doped fins and gate structures may result in P-type FinFETs (PFETS). The present exemplary embodiments are applicable to both NFET and PFET devices.
  • FIGS. 4 to 10 illustrate a first exemplary process for doping of the fins wherein FIGS. 4 to 10 are cross-sectional views in the direction of arrows A-A in FIG. 2.
  • Referring now to FIG. 4, there is illustrated a starting FinFET structure 400 which includes a bulk silicon substrate 402 having a plurality of silicon fins 404. The silicon fins 404 preferably may be formed using the process in FIGS. 1A to 1H. An oxide 406 has been deposited over and between the silicon fins 404, planarized by a conventional process such as chemical mechanical polishing so that the oxide 406 is level with the tops of the silicon fins 404 and then etched back by a conventional process such as reactive ion etching (RIE) so that the oxide 406 has been pulled back to expose the sidewalls 408 of the silicon fins 404. The oxide 406 may be about one half of the height of the silicon fins 404.
  • Referring now to FIG. 5, dummy nitride spacers 410 have been formed on the sidewalls 408 and tops 412 of the silicon fins 408. In one exemplary embodiment, nitride such as silicon nitride has been deposited over the silicon fins 404 and bulk silicon substrate 402 and then etched back by a conventional process such as RIE to leave a dummy spacer on the sidewalls 408 and tops 412 of the silicon fins 404. In another exemplary embodiment, the tops 412 of silicon fins 404 may have a hard mask (not shown) and then additional nitride is deposited and etched by RIE to form dummy spacers 410 on the sidewalls 408 of the silicon fins 404.
  • Thereafter, the oxide 406 is stripped using, for example, a wet etch of dilute hydrofluoric acid (dHF) to result in the structure shown in FIG. 6.
  • The FinFET structure 400 then undergoes an epitaxial process to grow either phosphorous-doped silicon (P-silicon) or boron-doped silicon germanium (B—SiGe) on the exposed portions of the silicon fins 404 and the bulk silicon substrate 402. The FinFET structure 400 is contacted with hydrofluoric acid (HF) to remove native oxide then undergoes a 700 to 800° C. prebake to completely purge out the oxygen on the surface. Once that part is completed, SiH4 (or GeH4) and B2H6 or SiH4 and PH3 is flowed into the chamber at a control temperature of 600° C. for about 800 seconds for the epitaxial process at the surface of the silicon to form either epitaxial P-silicon, B-silicon, or B—SiGe. The epitaxial material 414 is shown in FIG. 7 where the oxide 406 (shown in FIG. 5) used to be.
  • Referring to FIG. 8, the FinFET structure 400 undergoes either a rapid thermal anneal (RTA) at about 1025° C. for a very short time, about a millisecond or a furnace anneal at about 700° C. for about 30 minutes to drive in the dopants (represented by arrows 416) to the bulk silicon substrate 402 and the portions of the silicon fins 404 exposed to the epitaxial material 414. The dummy spacer 410 protects the upper sidewalls 408 and the tops 412 of the fins 404 from the epitaxial material 414 and the drive in of the dopants from the epitaxial material 414.
  • The epitaxial material 414 may be stripped by, for example, hydrochloric acid. The bottom portions 418 of the fins 404 become doped after the drive in of the dopants from the epitaxial material 414. The doped portion of the bulk silicon substrate 402 forms a well indicated by reference number 420. The well may have a thickness of about 30 nm. For a PFET device, P-silicon may be used as the epitaxial material 414 and an n+ well 420 is formed. The bulk silicon substrate 402 is p−. For an NFET device, B-silicon germanium or B-silicon may be used as the epitaxial material 414 and a p+ well 420 is formed. The bulk silicon substrate 402 is p−. For this process step, it doesn't matter whether B-silicon or B-silicon germanium is epitaxially deposited since it is the boron dopant that is of interest; the epitaxial layer is removed in a subsequent process step. For both PFET and NFET devices, the dopant concentration in the bottom portions 418 of the fins 404 and well 420 is about 1×1020 atoms/cm3 while for the bulk silicon substrate 402 the dopant concentration is about 1×1016 atoms/cm3. The actual dopant is boron for the NFET device and phosphorous for the PFET device. Normally, boron would be the dopant for a PFET and phosphorous for the NFET but the reverse (boron for NFET and phosphorous for PFET) is desired for the well doping. The structure thus far is shown in FIG. 9.
  • Referring now to FIG. 10, the dummy spacer 410 may be conventionally etched by a combination of physical ion bombardment and chemical reaction at the surface by flowing in CF4 and O2/H2for a silicon nitride etch. Thereafter, another layer of oxide 422 may be deposited by a process such as that used to deposit the oxide 406 in FIG. 4.
  • Further processing may now take place to form a gate structure that wraps around each of the fins 404 and additional conventional semiconductor processing steps to complete the FinFET structure 400.
  • FIGS. 11 to 14 illustrate a second exemplary process for doping of the fins wherein FIGS. 11 to 14 are cross-sectional views in the direction of arrows A-A in FIG. 2.
  • Referring now to FIG. 11, there is illustrated a starting FinFET structure 600 which includes a bulk silicon substrate 602 having a plurality of silicon fins 604. The silicon fins 604 preferably may be formed using the process in FIGS. 1A to 1H. In this second exemplary embodiment of FinFET structure 600, the process begins with a structure substantially identical to that shown in FIG. 6 and having dummy spacers 606. The FinFET structure 600 undergoes plasma doping to deposit a doping layer 612 in contact with the exposed bottom portions of the silicon fins 604 and bulk silicon substrate 602. Plasma doping is a technique characterized by the implantation of energetic impurity ions that are generated by immersing the substrate into a plasma and applying a negative bias voltage—pulsed bias in general—to the substrate. The system consists of a chamber, a RF power and a high vacuum pumping system, a high voltage pulse supply and gas supply system. The plasma doping source is a gas mixture of PH3 or B2H6 and He gas. When the substrate is exposed to the plasma, the doping will be either impinging into or deposit onto the surface to achieve very shallow junction formation either in planar or vertical structure. The plasma doping will deposit either layers of phosphorous or boron dopants. The dummy spacers 606 protect the sides 608 and tops 610 of the silicon fins 604 from being in contact with the doping layer 612.
  • Referring to FIG. 12, the FinFET structure 600 undergoes either a rapid thermal anneal (RTA) or a furnace anneal, as described previously with respect to FIG. 8, to drive in the dopants (represented by arrows 614) to the bulk silicon substrate 602 and the portions of the silicon fins 604 exposed to the doping layer 612. The dummy spacers 606 protect the upper sidewalls 608 and the tops 610 of the fins 604 from the doping layer 612 and the drive in of the dopants from the doping layer 612.
  • The doping layer 612 may be stripped by, for example, ozone plasma ashing. The bottom portions 616 (in contact with the doping material 612) of the fins 604 become doped after the drive in of the dopants from the doping layer 612. The doped portion of the bulk silicon substrate 602 forms a well indicated by reference number 618. The well may have a thickness of about 30 nm. The FinFET structure may be doped in the same manner as the first exemplary embodiment. The structure thus far is shown in FIG. 13.
  • Referring now to FIG. 14, the dummy spacer 606 may be conventionally etched as described previously. Thereafter, another layer of oxide 620 may be deposited by a process such as that used to deposit the oxide 406 in FIG. 4.
  • Further processing may now take place to form a gate structure that wraps around each of the fins 604 and additional conventional semiconductor processing steps to complete the FinFET structure 600.
  • FIGS. 15 to 18 illustrate a third exemplary process for doping of the fins wherein FIGS. 15 to 18 are cross-sectional views in the direction of arrows A-A in FIG. 2.
  • Referring now to FIG. 15, there is illustrated a starting FinFET structure 800 which includes a bulk silicon substrate 802 having a plurality of silicon fins 804. The silicon fins 804 preferably may be formed using the process in FIGS. 1A to 1H. In this third exemplary embodiment of FinFET structure 800, the process begins with the structure substantially identical to that shown in FIG. 6 and having dummy spacers 806.
  • Subsequently, the bottom portions 808 of the silicon fins 804 have been thinned by exposing the FinFET structure 800 to an etchant that anisotropically etches the exposed silicon. For purposes of illustration and not limitation, the etchant may be an etchant comprising a 25 weight percent solution of potassium hydroxide and water. The FinFET structure 800 is exposed to the etchant for a sufficient time to reduce each exposed silicon surface by about 2 to 3 nanometers (nm). The starting thickness of the silicon fins 804 is about 10 nm and after exposure to the etchant, the thickness of the bottom portion 808 is now about 4 to 6 nm. The surface 810 of the bulk silicon substrate 802 is also reduced by about 2 to 3 nm due to exposure to the silicon etchant.
  • The FinFET structure 800 then undergoes an epitaxial process to grow either phosphorous-doped silicon (P-silicon), boron-doped silicon germanium (B—SiGe) or boron-doped silicon (B—Si) on the exposed bottom portions 808 of the silicon fins 804 and the bulk silicon substrate 802. The epitaxial process is the same as described previously. The epitaxial material 812 is shown in FIG. 16. The FinFET structure 800 then undergoes either a rapid thermal anneal (RTA) or a furnace anneal, as described previously, to drive in the dopants (represented by arrows 814) to the bulk silicon substrate 802 and the exposed bottom portions 808 of the silicon fins 804. The dummy spacer 806 protects the upper sidewalls 816 and the tops 818 of the fins 804 from the epitaxial material 812 and the drive in of the dopants from the epitaxial material 812.
  • The epitaxial material 812 may be stripped by, for example, hydrochloric acid. The bottom portions 808 of the fins 804 become doped after the drive in of the dopants from the epitaxial material 812. The doped portion of the bulk silicon substrate 802 forms a well indicated by reference number 820. The well may have a thickness of about 30 nm. The FinFET structure 800 may be doped in the same manner as the first exemplary embodiment. The structure thus far is shown in FIG. 17.
  • The dummy spacer 806 may be conventionally etched as described previously. Thereafter, another layer of oxide 822 may be deposited by a process such as that used to deposit the oxide 406 in FIG. 4. The resulting structure is shown in FIG. 18.
  • Further processing may now take place to form the gate structure that wraps around each of the fins 804 and additional conventional semiconductor processing steps to complete the FinFET structure 800.
  • FIGS. 19 and 20 illustrate the formation of a gate structure 206 that wraps around the plurality of fins 202. The gate structure 206 may wrap around all or a plurality of fins 202, as shown in FIGS. 19 and 20, or there may be a separate gate structure for each of the fins 202. The gate structure 206 may also include a hard mask 208, such as a silicon nitride. The gate structure 206 may be formed in conjunction with any of the exemplary embodiments. The ends of the fins 202 may comprise a source and a drain and may further include P-silicon or B—SiGe epitaxial material (not shown).
  • The exemplary embodiments are advantageous in that uniform high concentration well doping is achieved to block the electrical path between the source and drain and minimize the junction leakage current. The third exemplary embodiment is particularly advantageous in that parasitic capacitance is reduced because the proportion of the channel exposed to the well is less due to the thinning of the fins.
  • It will be apparent to those skilled in the art having regard to this disclosure that other modifications of the exemplary embodiments beyond those embodiments specifically described here may be made without departing from the spirit of the invention. Accordingly, such modifications are considered within the scope of the invention as limited solely by the appended claims.

Claims (8)

What is claimed is:
1. A FinFET structure comprising:
a bulk semiconductor substrate;
a plurality of semiconductor fins extending from the bulk semiconductor substrate, each of the plurality of semiconductor fins having a top portion and a bottom portion such that the bottom portion of the semiconductor fins is doped and the top portion of the semiconductor fins is undoped;
a portion of the bulk semiconductor substrate directly underneath the plurality of semiconductor fins being doped to form an n+ or p+ well; and
an oxide formed between the bottom portions of the fins.
2. The FinFET structure of claim 1 wherein the bottom portion of each of the plurality of semiconductor fins is thinned compared to the top portion of the plurality of semiconductor fins.
3. The FinFET structure of claim 2 wherein a parasitic capacitance of the FinFET structure is reduced due to the thinned bottom portion of each of the plurality of semiconductor fins.
4. The FinFET structure of claim 1 wherein the semiconductor fins and bulk semiconductor substrate are silicon.
5. The FinFET structure of claim 1 further comprising a gate that wraps around at least one of the semiconductor fins.
6. The FinFET structure of claim 1 wherein each of the plurality of semiconductor fins has a source and a drain and wherein the well blocks the electrical path between the source and drain and minimizes junction leakage current.
7. The FinFET structure of claim 1 wherein the oxide is only in contact with the bulk semiconductor substrate and the bottom portions of the fins.
8. The FinFET structure of claim 1 wherein the oxide is only in contact with the doped portion of the bulk semiconductor substrate and the doped portion of the fins.
US14/015,967 2013-04-11 2013-08-30 SELF-ALIGNED STRUCTURE FOR BULK FinFET Abandoned US20140306274A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/015,967 US20140306274A1 (en) 2013-04-11 2013-08-30 SELF-ALIGNED STRUCTURE FOR BULK FinFET

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/860,832 US8940602B2 (en) 2013-04-11 2013-04-11 Self-aligned structure for bulk FinFET
US14/015,967 US20140306274A1 (en) 2013-04-11 2013-08-30 SELF-ALIGNED STRUCTURE FOR BULK FinFET

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/860,832 Continuation US8940602B2 (en) 2013-04-11 2013-04-11 Self-aligned structure for bulk FinFET

Publications (1)

Publication Number Publication Date
US20140306274A1 true US20140306274A1 (en) 2014-10-16

Family

ID=51671554

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/860,832 Expired - Fee Related US8940602B2 (en) 2013-04-11 2013-04-11 Self-aligned structure for bulk FinFET
US14/015,967 Abandoned US20140306274A1 (en) 2013-04-11 2013-08-30 SELF-ALIGNED STRUCTURE FOR BULK FinFET

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US13/860,832 Expired - Fee Related US8940602B2 (en) 2013-04-11 2013-04-11 Self-aligned structure for bulk FinFET

Country Status (2)

Country Link
US (2) US8940602B2 (en)
CN (1) CN104103520B (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160005813A1 (en) * 2014-07-02 2016-01-07 Sang-Su Kim Fin structures and methods of manfacturing the fin structures, and fin transistors having the fin structures and methods of manufacturing the fin transistors
US20160181247A1 (en) * 2014-12-18 2016-06-23 International Business Machines Corporation Field-isolated bulk finfet
US9530890B1 (en) 2015-11-02 2016-12-27 International Business Machines Corporation Parasitic capacitance reduction
US9583563B1 (en) 2015-10-26 2017-02-28 International Business Machines Corporation Conformal doping for punch through stopper in fin field effect transistor devices

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR3009130B1 (en) * 2013-07-26 2016-11-18 Commissariat Energie Atomique METHOD FOR MANUFACTURING A SPACER FOR A DOUBLE-SCALE ELECTRONIC MEMORY CELL AND A MEMORY ELECTRONIC MEMORY CELL
US9418902B2 (en) * 2013-10-10 2016-08-16 Globalfoundries Inc. Forming isolated fins from a substrate
US9865710B2 (en) 2015-03-31 2018-01-09 Stmicroelectronics, Inc. FinFET having a non-uniform fin
US10903210B2 (en) * 2015-05-05 2021-01-26 International Business Machines Corporation Sub-fin doped bulk fin field effect transistor (FinFET), Integrated Circuit (IC) and method of manufacture
US9805991B2 (en) 2015-08-20 2017-10-31 International Business Machines Corporation Strained finFET device fabrication
US10032869B2 (en) 2016-08-17 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device having position-dependent heat generation and method of making the same
US11017999B2 (en) 2016-10-05 2021-05-25 International Business Machines Corporation Method and structure for forming bulk FinFET with uniform channel height
CN108630523B (en) * 2017-03-22 2020-10-30 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
US10157800B2 (en) * 2017-04-24 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
CN113764277A (en) * 2020-06-03 2021-12-07 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
CN113782419A (en) * 2020-06-10 2021-12-10 上海华力集成电路制造有限公司 Self-aligned double-pattern manufacturing method
US11545575B2 (en) * 2020-07-02 2023-01-03 Globalfoundries U.S. Inc. IC structure with fin having subfin extents with different lateral dimensions

Citations (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020011612A1 (en) * 2000-07-31 2002-01-31 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US6642090B1 (en) * 2002-06-03 2003-11-04 International Business Machines Corporation Fin FET devices from bulk semiconductor and method for forming
US20040108523A1 (en) * 2002-12-06 2004-06-10 Hao-Yu Chen Multiple-gate transistor structure and method for fabricating
US20050250279A1 (en) * 2004-03-05 2005-11-10 Yong-Hoon Son Methods of forming semiconductor devices having buried oxide patterns and devices related thereto
US20050272192A1 (en) * 2004-06-04 2005-12-08 Chang-Woo Oh Methods of forming fin field effect transistors using oxidation barrier layers and related devices
US20070063224A1 (en) * 2005-09-16 2007-03-22 Kabushiki Kaisha Toshiba Metal insulator semiconductor field effect transistor having fin structure
US20070102763A1 (en) * 2003-09-24 2007-05-10 Yee-Chia Yeo Multiple-gate transistors formed on bulk substrates
US20080149984A1 (en) * 2006-12-22 2008-06-26 Chang Peter L D Floating body memory cell having gates favoring different conductivity type regions
US20080303095A1 (en) * 2007-06-07 2008-12-11 Weize Xiong Varying mugfet width to adjust device characteristics
US20090267155A1 (en) * 2008-04-24 2009-10-29 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US20110024828A1 (en) * 2008-04-16 2011-02-03 Kiyoshi Takeuchi Semiconductor storage device
US20110049613A1 (en) * 2009-09-01 2011-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Accumulation type finfet, circuits and fabrication method thereof
US20110068407A1 (en) * 2009-09-24 2011-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with Metal Gates and Stressors
US20110068401A1 (en) * 2009-09-24 2011-03-24 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US20110193159A1 (en) * 2010-02-08 2011-08-11 Elpida Memory, Inc. Semiconductor device having three-dimensional transistor and manufacturing method thereof
US20110193178A1 (en) * 2010-02-09 2011-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Bottom-Notched SiGe FinFET Formation Using Condensation
US20120043590A1 (en) * 2010-08-17 2012-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Linear-Cap Varactor Structures for High-Linearity Applications
US20120138886A1 (en) * 2010-12-01 2012-06-07 Kuhn Kelin J Silicon and silicon germanium nanowire structures
US20120292672A1 (en) * 2011-05-19 2012-11-22 Globalfoundries Inc. Finfet integrated circuits and methods for their fabrication
US20130049069A1 (en) * 2011-08-31 2013-02-28 Huilong Zhu Semiconductor device and method for manufacturing the same
US20130062699A1 (en) * 2011-09-08 2013-03-14 Huilong Zhu Semiconductor device and method for manufacturing the same
US20130105942A1 (en) * 2011-11-02 2013-05-02 Broadcom Corporation Finfet devices
US20140117462A1 (en) * 2012-10-31 2014-05-01 International Business Machines Corporation Bulk finfet with punchthrough stopper region and method of fabrication
US20140213037A1 (en) * 2013-01-31 2014-07-31 GlobalFoundries, Inc. Methods for fabricating integrated circuits having confined epitaxial growth regions
US20140217479A1 (en) * 2013-02-01 2014-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Finfet with dual workfunction gate structure

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5972758A (en) * 1997-12-04 1999-10-26 Intel Corporation Pedestal isolated junction structure and method of manufacture
DE10143283C1 (en) * 2001-09-04 2002-12-12 Infineon Technologies Ag Production of a trench capacitor comprises a preparing a substrate having a surface in which a trench is formed and having an upper region, a lower region and a side wall
US7135423B2 (en) * 2002-05-09 2006-11-14 Varian Semiconductor Equipment Associates, Inc Methods for forming low resistivity, ultrashallow junctions with low damage
US6885055B2 (en) * 2003-02-04 2005-04-26 Lee Jong-Ho Double-gate FinFET device and fabricating method thereof
KR100610496B1 (en) 2004-02-13 2006-08-09 삼성전자주식회사 Field Effect Transistor device with fin structure and method for manufacturing thereof
US7223653B2 (en) * 2004-06-15 2007-05-29 International Business Machines Corporation Process for forming a buried plate
US7101763B1 (en) 2005-05-17 2006-09-05 International Business Machines Corporation Low capacitance junction-isolation for bulk FinFET technology
US20070018239A1 (en) 2005-07-20 2007-01-25 International Business Machines Corporation Sea-of-fins structure on a semiconductor substrate and method of fabrication
US7531423B2 (en) 2005-12-22 2009-05-12 International Business Machines Corporation Reduced-resistance finFETs by sidewall silicidation and methods of manufacturing the same
JP2007258485A (en) * 2006-03-23 2007-10-04 Toshiba Corp Semiconductor device and its manufacturing method
US7517764B2 (en) 2006-06-29 2009-04-14 International Business Machines Corporation Bulk FinFET device
TW200849404A (en) * 2007-06-12 2008-12-16 Promos Technologies Inc Method for forming semiconductor device
KR101503861B1 (en) * 2008-04-18 2015-03-18 1366 테크놀로지 인코포레이티드 Methods to pattern diffusion layers in solar cells and solar cells made by such methods
US8994112B2 (en) 2008-09-16 2015-03-31 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (finFET)
KR101511933B1 (en) * 2008-10-31 2015-04-16 삼성전자주식회사 fabrication method of fin field effect transistor
US8030707B2 (en) * 2009-02-23 2011-10-04 International Business Machines Corporation Semiconductor structure
US8759943B2 (en) * 2010-10-08 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor having notched fin structure and method of making the same
US8980719B2 (en) * 2010-04-28 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping fin field-effect transistors
US8039326B2 (en) 2009-08-20 2011-10-18 Globalfoundries Inc. Methods for fabricating bulk FinFET devices having deep trench isolation
US8487378B2 (en) * 2011-01-21 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniform channel junction-less transistor
CN103000664B (en) * 2011-09-08 2015-12-16 中国科学院微电子研究所 Semiconductor device and manufacture method thereof
US8574995B2 (en) * 2011-11-10 2013-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain doping method in 3D devices
US8723266B2 (en) * 2011-12-13 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. Pinch-off control of gate edge dislocation
US8445356B1 (en) * 2012-01-05 2013-05-21 International Business Machines Corporation Integrated circuit having back gating, improved isolation and reduced well resistance and method to fabricate same
US20130316513A1 (en) * 2012-05-23 2013-11-28 International Business Machines Corporation Fin isolation for multigate transistors
US8987823B2 (en) * 2012-11-07 2015-03-24 International Business Machines Corporation Method and structure for forming a localized SOI finFET
US8691640B1 (en) * 2013-01-21 2014-04-08 Globalfoundries Inc. Methods of forming dielectrically isolated fins for a FinFET semiconductor by performing an etching process wherein the etch rate is modified via inclusion of a dopant material

Patent Citations (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020011612A1 (en) * 2000-07-31 2002-01-31 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US6642090B1 (en) * 2002-06-03 2003-11-04 International Business Machines Corporation Fin FET devices from bulk semiconductor and method for forming
US20040108523A1 (en) * 2002-12-06 2004-06-10 Hao-Yu Chen Multiple-gate transistor structure and method for fabricating
US20070102763A1 (en) * 2003-09-24 2007-05-10 Yee-Chia Yeo Multiple-gate transistors formed on bulk substrates
US20050250279A1 (en) * 2004-03-05 2005-11-10 Yong-Hoon Son Methods of forming semiconductor devices having buried oxide patterns and devices related thereto
US20050272192A1 (en) * 2004-06-04 2005-12-08 Chang-Woo Oh Methods of forming fin field effect transistors using oxidation barrier layers and related devices
US20070063224A1 (en) * 2005-09-16 2007-03-22 Kabushiki Kaisha Toshiba Metal insulator semiconductor field effect transistor having fin structure
US20080149984A1 (en) * 2006-12-22 2008-06-26 Chang Peter L D Floating body memory cell having gates favoring different conductivity type regions
US20080303095A1 (en) * 2007-06-07 2008-12-11 Weize Xiong Varying mugfet width to adjust device characteristics
US20110024828A1 (en) * 2008-04-16 2011-02-03 Kiyoshi Takeuchi Semiconductor storage device
US20090267155A1 (en) * 2008-04-24 2009-10-29 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US20110049613A1 (en) * 2009-09-01 2011-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Accumulation type finfet, circuits and fabrication method thereof
US20110068407A1 (en) * 2009-09-24 2011-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with Metal Gates and Stressors
US20110068401A1 (en) * 2009-09-24 2011-03-24 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US20110193159A1 (en) * 2010-02-08 2011-08-11 Elpida Memory, Inc. Semiconductor device having three-dimensional transistor and manufacturing method thereof
US20110193178A1 (en) * 2010-02-09 2011-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Bottom-Notched SiGe FinFET Formation Using Condensation
US20120043590A1 (en) * 2010-08-17 2012-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Linear-Cap Varactor Structures for High-Linearity Applications
US20120138886A1 (en) * 2010-12-01 2012-06-07 Kuhn Kelin J Silicon and silicon germanium nanowire structures
US20120292672A1 (en) * 2011-05-19 2012-11-22 Globalfoundries Inc. Finfet integrated circuits and methods for their fabrication
US20130049069A1 (en) * 2011-08-31 2013-02-28 Huilong Zhu Semiconductor device and method for manufacturing the same
US20130062699A1 (en) * 2011-09-08 2013-03-14 Huilong Zhu Semiconductor device and method for manufacturing the same
US20130105942A1 (en) * 2011-11-02 2013-05-02 Broadcom Corporation Finfet devices
US20140117462A1 (en) * 2012-10-31 2014-05-01 International Business Machines Corporation Bulk finfet with punchthrough stopper region and method of fabrication
US20140213037A1 (en) * 2013-01-31 2014-07-31 GlobalFoundries, Inc. Methods for fabricating integrated circuits having confined epitaxial growth regions
US20140217479A1 (en) * 2013-02-01 2014-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Finfet with dual workfunction gate structure

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Wire-channel and wrap-around-gate metal-oxide-semiconductor field-effect transistors with a significant reduction of short channel effects," J. Vacuum Science and Technology B, vol. 15, no. 6, pp. 2791-2794, 1997 *

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160005813A1 (en) * 2014-07-02 2016-01-07 Sang-Su Kim Fin structures and methods of manfacturing the fin structures, and fin transistors having the fin structures and methods of manufacturing the fin transistors
US20160181247A1 (en) * 2014-12-18 2016-06-23 International Business Machines Corporation Field-isolated bulk finfet
US9536882B2 (en) * 2014-12-18 2017-01-03 Globalfoundries Inc. Field-isolated bulk FinFET
US9583563B1 (en) 2015-10-26 2017-02-28 International Business Machines Corporation Conformal doping for punch through stopper in fin field effect transistor devices
US10453922B2 (en) 2015-10-26 2019-10-22 International Business Machines Corporation Conformal doping for punch through stopper in fin field effect transistor devices
US10741647B2 (en) 2015-10-26 2020-08-11 International Business Machines Corporation Conformal doping for punch through stopper in fin field effect transistor devices
US10937867B2 (en) 2015-10-26 2021-03-02 International Business Machines Corporation Conformal doping for punch through stopper in fin field effect transistor devices
US9530890B1 (en) 2015-11-02 2016-12-27 International Business Machines Corporation Parasitic capacitance reduction

Also Published As

Publication number Publication date
CN104103520A (en) 2014-10-15
US8940602B2 (en) 2015-01-27
US20140306289A1 (en) 2014-10-16
CN104103520B (en) 2017-04-05

Similar Documents

Publication Publication Date Title
US8940602B2 (en) Self-aligned structure for bulk FinFET
US9514995B1 (en) Implant-free punch through doping layer formation for bulk FinFET structures
US8835936B2 (en) Source and drain doping using doped raised source and drain regions
US9224737B2 (en) Dual epitaxial process for a finFET device
US8574995B2 (en) Source/drain doping method in 3D devices
US9105559B2 (en) Conformal doping for FinFET devices
US20090174002A1 (en) Mosfet having a high stress in the channel region
JP5671294B2 (en) Integrated circuit and manufacturing method thereof
US20130187207A1 (en) Replacement source/drain finfet fabrication
US7670914B2 (en) Methods for fabricating multiple finger transistors
JP2010527153A (en) Semiconductor device having chipless epitaxial source / drain regions
CN106033725B (en) Semiconductor element and manufacturing process thereof
US9634103B2 (en) CMOS in situ doped flow with independently tunable spacer thickness
US20050014314A1 (en) Ultra-thin channel device with raised source and drain and solid source extension doping
US10622357B2 (en) FinFET including tunable fin height and tunable fin width ratio
CN105826203A (en) Method of forming FinFET transistor device and FinFET transistor device
US9331159B1 (en) Fabricating transistor(s) with raised active regions having angled upper surfaces
US9054192B1 (en) Integration of Ge-containing fins and compound semiconductor fins
US20150221770A1 (en) Epitaxially forming a set of fins in a semiconductor device
WO2014008691A1 (en) Method for manufacturing semiconductor component
CN105408994B (en) Improved hardmask for source/drain epitaxy control
US20200044029A1 (en) Field-effect transistors with a grown silicon-germanium channel
TWI790476B (en) Integrated circuit die and method of manufacturing the same
US11444195B2 (en) Method for fabricating semiconductor device with asymmetric strained source/drain structure
KR20010004601A (en) Method of manufacturing SOI device having double gate

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:BASKER, VEERARAGHAVAN S.;LEOBANDUNG, EFFENDI;YAMASHITA, TENKO;AND OTHERS;REEL/FRAME:031121/0976

Effective date: 20130410

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: ELPIS TECHNOLOGIES INC., CANADA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:052557/0327

Effective date: 20200306