US20140191311A1 - Semiconductor structure and method for manufacturing the same - Google Patents

Semiconductor structure and method for manufacturing the same Download PDF

Info

Publication number
US20140191311A1
US20140191311A1 US13/878,655 US201213878655A US2014191311A1 US 20140191311 A1 US20140191311 A1 US 20140191311A1 US 201213878655 A US201213878655 A US 201213878655A US 2014191311 A1 US2014191311 A1 US 2014191311A1
Authority
US
United States
Prior art keywords
gate stack
source
drain regions
dummy gate
spacers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/878,655
Inventor
Lijun Dong
Dapeng Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Institute of Microelectronics of CAS
Original Assignee
Institute of Microelectronics of CAS
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Institute of Microelectronics of CAS filed Critical Institute of Microelectronics of CAS
Assigned to Institute of Microelectronics, Chinese Academy of Sciences reassignment Institute of Microelectronics, Chinese Academy of Sciences ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHEN, DAPENG, DONG, LIJUN
Publication of US20140191311A1 publication Critical patent/US20140191311A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • H01L29/4975Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2 being a silicide layer, e.g. TiSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material

Definitions

  • the present invention relates to the field of semiconductor manufacturing, and particularly, to a semiconductor structure and a method for manufacturing the same.
  • the present invention proposes to obtain the elevated source/drain MOSFET by means of a channel reestablishment.
  • This is a silicon planar process without requiring an SDE implantation, a spacer deposition or an epitaxy, thereby greatly reducing the cost and improving the efficiency.
  • an interlayer dielectric layer 300 is formed to cover the substrate 100 , the source/drain regions 110 and the dummy gate stack.
  • the interlayer dielectric layer 300 may be formed through Chemical Vapor Deposition (CVD), Plasma Enhanced Deposition CVD, High Density Plasma CVD, spin coating and/or other appropriate process.
  • the interlayer dielectric layer 300 may be made of one of silicon oxide (USG), doped silicon oxide (e.g., fluorinated silicate glass, borosilicate glass, phosphosilicate glass and borophosphosilicate glass) and low k dielectric materials (e.g., black diamond and coral), or combinations thereof.
  • the interlayer dielectric layer 300 may have a thickness ranging from about 40 nm to 150 nm, such as 80 nm, 100 nm or 120 nm, and may have a multi-layer structure (two adjacent layers may be made of different materials).

Abstract

Provided is a semiconductor structure and a method for manufacturing the same. By the channel reestablishment, the tops of the source/drain regions located on both sides of the spacers are higher than bottoms of the gate stack structure and the spacers, and the source/drain regions laterally extend below the bottoms of the gate stack structure and the spacers and exceed the spacers, thereby reaching the right below of the gate stack structure. Thus, the elevated source/drain MOSFET is obtained. The semiconductor structure reduces the number of process steps, improves efficiency and decreases the cost.

Description

    CROSS-REFERENCE TO RELATED PATENT APPLICATIONS
  • This application is a U.S. National Phase Application under 35 U.S.C. §371 of International Patent Application No. PCT/CN2012/075738, filed May 18, 2012, and claims the benefit of Chinese Patent Application No. 201210135261.5, filed on May 2, 2012, titled “SEMICONDUCTOR STRUCTURE AND METHOD FOR MANUFACTURING THE SAME” all of which are incorporated by reference herein in its entirety.
  • FIELD OF THE INVENTION
  • The present invention relates to the field of semiconductor manufacturing, and particularly, to a semiconductor structure and a method for manufacturing the same.
  • BACKGROUND OF THE INVENTION
  • The source/drain series resistance can be decreased by elevating the source/drain Metal Oxide Semiconductor Field Effect Transistor (MOSFET), so as to achieve better device characteristics. Generally, the elevated source/drain technology performs high concentration epitaxies in the source/drain expansion regions of n and p tubes through the selective epitaxial method, respectively. The two times of selective epitaxies greatly increase the process cost. In addition, the non-planar process caused by the epitaxies also brings difficulty to the subsequent lithography.
  • SUMMARY OF THE INVENTION
  • Since the current method for manufacturing the elevated source/drain MOSFET has the disadvantages of high process cost and difficulty and low efficiency, the present invention proposes to obtain the elevated source/drain MOSFET by means of a channel reestablishment. This is a silicon planar process without requiring an SDE implantation, a spacer deposition or an epitaxy, thereby greatly reducing the cost and improving the efficiency.
  • According to one aspect of the present invention, a method for manufacturing a semiconductor structure is provided, the method comprising:
  • a) providing a substrate;
  • b) forming a dummy gate stack and source/drain regions on the substrate; wherein the dummy gate stack at least comprises a dummy gate; and the source/drain regions are located on both sides of the dummy gate stack and extend to right below of the dummy gate stack;
  • c) forming an interlayer dielectric layer that covers the substrate, the source/drain regions and the dummy gate stack;
  • d) removing a part of the interlayer dielectric layer to expose the dummy gate stack;
  • e) removing the dummy gate stack and a part of the substrate right below the dummy gate stack, so as to form an opening, right below which parts of the source/drain regions are reserved;
  • f) forming spacers attached to inner sidewalls of the opening; and
  • g) forming a gate dielectric layer at a bottom of the opening, and filling a conductive material to form a gate stack structure.
  • Another aspect of the present invention further provides a semiconductor structure, comprising:
  • a substrate;
  • a gate stack structure partially embedded into the substrate and spacers; and
  • source/drain regions formed in the substrate; wherein tops of the source/drain regions located on both sides of the spacers are higher than bottoms of the gate stack structure and the spacers, and the source/drain regions laterally extend below the bottoms of the gate stack structure and the spacers and exceed the spacers, thereby reaching right below of the gate stack structure.
  • The method proposed by the present invention obtains the elevated source/drain MOSFET through the channel reestablishment, thereby greatly reduces the process steps, improving the production efficiency and decreasing the cost.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Other features, objectives and advantages of the present invention will be more apparent by reading the detailed descriptions of the non-limited embodiments made with reference to the following drawings:
  • FIG. 1 is a flowchart of a method for manufacturing a semiconductor structure according to the present invention; and
  • FIGS. 2 a to 7 are cross-sectional views of respective stages for manufacturing the semiconductor structure in accordance with the flow as illustrated in FIG. 1 according to one preferred embodiment of the present invention.
  • The same or similar parts are denoted with the same or similar reference signs in the drawings.
  • DETAILED DESCRIPTION OF THE INVENTION Embodiments
  • The embodiments of the present invention are described in detail as follows. The examples of the embodiments are illustrated in the drawings. The embodiments described as follows with reference to the drawings are exemplary, and are merely used to interpret the present invention, rather than limiting the present invention,
  • The following disclosure provides many different embodiments or examples to implement different structures of the present invention. In order to simplify the disclosure of the present invention, the components and arrangements of specific examples are described in the following text. Apparently, they are just exemplary, and do not intend to restrict the present invention. In addition, reference numbers and/or letters can be repeated in different examples of the present invention for the purposes of simplification and clearness, without indicating the relationships between the discussed embodiments and/or arrangements. Furthermore, the present invention provides the examples of various specific processes and materials, but a person skilled in the art can realize the availability of other processes and/or usage of other material's. To be noted, the components as illustrated in the drawings are not always drawn to scale. In the present invention, the descriptions of known assemblies as well as processing techniques and processes are omitted, so as to avoid any unnecessary restriction to the present invention.
  • Next, the method for manufacturing a semiconductor structure as illustrated in FIG. 1 is described in detail with reference to FIGS. 2 a to 7.
  • Referring to FIGS. 1, 2 a and 2 b, in step S101, a substrate 100 is provided.
  • In this embodiment, the substrate 100 comprises the silicon substrate (e.g., a silicon wafer). According to the design requirement known in the prior art (e.g., a P-type substrate or an N-type substrate), the substrate 100 may comprise various doped configurations. In other embodiments, the substrate 100 may further comprise other basic semiconductor such as germanium. Alternatively, the substrate 100 may comprise the compound semiconductors (e.g., III-V group materials) such as silicon carbide, gallium arsenide and indium arsenide. Typically, the semiconductor substrate 100 may have, but not limited to, a thickness of about several hundreds of microns, e.g., a thickness ranging from about 400 um to 800 um.
  • Specifically, isolation regions, such as shallow trench isolation (STI) structures 120, may be formed in the substrate 100, so as to electrically isolate the adjacent field effect transistor devices.
  • Referring to FIGS. 1, 2 a and 2 b, in step S102, a dummy gate stack and source/drain regions 110 are formed on the substrate 100. The dummy gate stack at least comprises a dummy gate 210. The source/drain regions 110 are located on both sides of the dummy gate stack and extend to right below of the dummy gate stack.
  • In this embodiment, the dummy gate stack comprises a dummy gate 210 and a cap layer 220, as illustrated in FIG. 2 a. A gate dielectric layer is not available and it may be formed in the subsequent replacement gate process after the dummy gate stack is removed. During the formation of the dummy gate stack, the dummy gate 210 is formed with a thickness of about 10 nm to 80 nm by depositing for example Poly-Si, Poly-SiGe, amorphous silicon, and/or doped or undoped silicon oxide, silicon nitride, silicon oxynitride, silicon carbide or even metals on the substrate 100. Next, the cap layer 220 is formed on the dummy gate 210, for example, by depositing silicon nitride, silicon oxide, silicon oxynitride, or silicon carbide, or combinations thereof, for protecting a top of the dummy gate 210, and preventing the top of the dummy gate 210 from reacting with the deposited metal layer in the subsequent process of forming the contact layer. In other embodiments, the cap layer 220 may also not be formed. The dummy gate stack is formed by patterning through the photolithographic process and etching the deposited multi-layer structure using the etching process. In another embodiment, the dummy gate stack may also comprise a dummy gate dielectric layer 201, as illustrated in FIG. 2 b, provided that during the formation of the dummy gate stack, the dummy gate dielectric layer 201 is firstly formed on the substrate 100 and then the above steps are repeated. The dummy gate dielectric layer 201 may be made of silicon oxide or silicon nitride, or a combination thereof. In other embodiments, the dummy gate dielectric layer 201 may also be made of high-k dielectrics, such as one of HfO2, HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, Al2O3, La2O3, ZrO2 and LaAlO, or combinations thereof, with a thickness of about 2 nm to 10 nm.
  • Being different from the prior art in the process steps, the present invention does not form a spacer on the sidewall of the dummy gate stack after the dummy gate stack is formed.
  • The source/drain regions 110 are located on both sides of the dummy gate stack, and may be formed by implanting P-type or N-type dopants or impurities into the substrate 100. For example, for the PMOS, the source/drain regions 110 may be P-type doped, while for the NMOS, the source/drain regions 110 may be N-type doped. The source/drain regions 110 may be formed by means of lithography, ion implantation, diffusion and/or other appropriate process. The semiconductor structure is annealed using the general semiconductor processing technology and steps, so as to activate the dopants in the source/drain regions 110. The annealing may be rapid annealing, spike annealing or other appropriate methods. In this embodiment, firstly the dummy gate stack is formed, and then the source/drain implantation and annealing are carried out, so that the impurity ions are laterally diffused to obtain the source/drain regions extending to the right below of the dummy gate stack, as illustrated in FIGS. 2 a and 2 b. In another embodiment, firstly the source/drain regions are formed through lithography and implantation, and then a dummy gate stack is formed to cover the channel region between the source/drain regions and parts of the source/drain regions, thereby also obtaining the source/drain regions extending to the right below of the dummy gate stack. The source/drain regions located on both sides of the dummy gate stack may have a depth of about 50 nm to 100 nm, and the parts of the source/drain regions extending to the right below of the dummy gate stack may have a width of about 10 nm to 20 nm.
  • Referring to FIGS. 1 and 3, in step S103, an interlayer dielectric layer 300 is formed to cover the substrate 100, the source/drain regions 110 and the dummy gate stack. The interlayer dielectric layer 300 may be formed through Chemical Vapor Deposition (CVD), Plasma Enhanced Deposition CVD, High Density Plasma CVD, spin coating and/or other appropriate process. The interlayer dielectric layer 300 may be made of one of silicon oxide (USG), doped silicon oxide (e.g., fluorinated silicate glass, borosilicate glass, phosphosilicate glass and borophosphosilicate glass) and low k dielectric materials (e.g., black diamond and coral), or combinations thereof. The interlayer dielectric layer 300 may have a thickness ranging from about 40 nm to 150 nm, such as 80 nm, 100 nm or 120 nm, and may have a multi-layer structure (two adjacent layers may be made of different materials).
  • Referring to FIGS. 1 and 4, in step S104, a part of the interlayer dielectric layer 300 is removed to expose the dummy gate stack.
  • The replacement gate process is performed in this embodiment. Referring to FIG. 4, the interlayer dielectric layer 300 and the dummy gate stack are planarized to expose an upper surface of the dummy gate 210. For example, the interlayer dielectric layer 300 may be removed through a Chemical Mechanical Polishing (CMP) method, so that the upper surface of the dummy gate 210 is flush with that of the interlayer dielectric layer 300 (herein, the term “flush” means that a height difference between the two upper surfaces falls within a range allowed by the process error).
  • Referring to FIGS. 1 and 5, in step S105, the dummy gate stack and a part of the substrate right below the dummy gate stack are removed, so as to form an opening 230, right below which parts of the source/drain regions are reserved.
  • In this embodiment, the dummy gate 210 is removed firstly. In another embodiment, when the dummy gate stack comprises a dummy gate dielectric layer 201, the dummy gate 210 and the dummy gate dielectric layer 201 are together removed firstly. The dummy gate 210 or both the dummy gate 210 and the dummy gate dielectric layer 201 may be removed through a wet etching and/or a dry etching. The wet etching process uses tetramethylammonium hydroxide (TMAH), potassium hydroxide (KOH) or other solutions suitable for etching. The dry etching process uses hydrocarbons such as sulfur hexafluoride (SF6), hydrogen bromide (HBr), hydrogen iodide (HI), chlorine, argon, helium, methane (and chloromethane), acetylene or ethylene, etc. or combinations thereof, and/or other appropriate materials. Next, a part of the substrate right below the dummy gate stack is removed to form the opening 230. The part of the substrate right below the dummy gate stack may be etched using different etching processes and/or different etchants. For example, when the part of the substrate to be etched is thin, the wet etching may be employed, and the wet etching process uses tetramethylammonium hydroxide (TMAH), potassium hydroxide (KOH) or other solutions suitable for etching.
  • In the embodiment of the present invention, as illustrated in FIG. 5, the depths of the etching channel and some source/drain regions shall be controlled, so that parts of the source/drain regions are reserved right below the opening 230. The size of the reserved source/drain regions can depend on the detailed design requirement. Specifically, when the part of the substrate right below the dummy gate stack is etched, the etching time may be prolonged or shortened. When the etching time is shortened, the reserved source/drain regions will have larger areas and thicknesses, and correspondingly, as can be seen from the subsequent step, the source/drain regions extending into the bottom of the gate stack are also larger and thicker. When the etching time is prolonged, the reserved source/drain regions will have smaller areas and thicknesses, and correspondingly, as can be seen from the subsequent step, the source/drain regions extending into the bottom of the gate stack are also smaller and thinner. A bottom of the opening 230 may be lower than the tops of the source/drain regions on both sides for a distance of about 10 nm to 50 nm.
  • Referring to FIGS. 1 and 6, in step S106, spacers 240 attached to inner sidewalls of the opening 230 are formed.
  • In this embodiment, after the opening 230 is formed, the spacers 240 are formed on the inner sidewall of the opening 230, so as to isolate the gate formed in the subsequent step. The spacers 240 may be made of silicon nitride, silicon oxide, silicon oxynitride or silicon carbide, or combinations thereof, and/or other appropriate materials. The spacers 240 may have a multi-layer structure, and two adjacent layers may be made of different materials. The spacers 240 may be formed by a process such as deposition etching, and the width thereof is not more than that of the reserved source/drain region right below the opening 230.
  • Referring to FIGS. 1, 6 and 7, in step S107, the bottom of the opening 230 is formed with a gate dielectric layer 250, and filled with a conductive material 260 to form a gate stack structure.
  • In this embodiment, after the spacers 240 are formed, the gate dielectric layer 250 is deposited to cover the bottom of the opening 230, as illustrated in FIG. 7. The gate dielectric layer 250 may be made of high-k dielectric, such as one of HfO2, HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, Al2O3, La2O3, ZrO2 and LaAlO, or combinations thereof, with a thickness of about 2 nm to 10 nm, such as 5 nm or 8 nm. The gate dielectric layer 250 may be formed through a CVD or Atomic Layer Deposition (ALD) process. The gate dielectric layer 250 may also have a multi-layer structure, comprising more than two layers made of the above materials.
  • After the gate dielectric layer 250 is formed, an annealing is further performed to improve the performance of the semiconductor structure, and the annealing temperature ranges from about 600° C. to 800° C. After the annealing, a metal gate 260 is formed on the gate dielectric layer 250 by depositing the conductive material, thereby realizing a complete gate stack, as illustrated in FIG. 7. For the NMOS, the conductive material may be one of TaC, TiN, TaTbN, TaErN, TaYbN, TaSiN, HfSiN, MoSiN, RuTax and NiTax, or combinations thereof. For the PMOS, the conductive material may be MoNx, TiSiN, TiCN, TaAlC, TiAlN, TaN, PtSix, Ni3Si, Pt, Ru, Ir, Mo, HfRu or RuOx. The thickness may be about 10 nm to 80 nm, such as 30 nm or 50 nm. In which, the metal gate 260 may also have a multi-layer structure, comprising more than two layers made of the above materials.
  • Referring to FIG. 7, which is a cross-sectional view of a semiconductor structure finally formed after the steps illustrated in FIG. 1 are performed. The semiconductor structure comprises a substrate 100; a gate stack structure partially embedded into the substrate 100 and spacers 240; and source/drain regions 110 formed in the substrate 100, Wherein, tops of the source/drain regions on both sides of the spacers 240 are higher than bottoms of the gate stack structure and the spacers 240 (herein the bottom of the gate stack structure refers to the interface between the gate stack, the sidewall spacer and the substrate 100). In addition, the source/drain regions 110 laterally extend below the bottoms of the gate stack structure and the spacers 240 and exceed the spacers 240, thereby reaching right below of the gate stack structure.
  • The bottom of the gate stack structure may be lower than the tops of the source/drain regions on both sides for a distance of about 10 nm to 50 nm.
  • The source/drain regions located on both sides of the gate stack structure may have a depth of about 50 nm to 100 nm
  • Although the exemplary embodiments and their advantages have been described in details, it shall be appreciated that various changes, replacements and modifications may be made to those embodiments without deviating from the spirit of the present invention and the protection scope defined in the accompanied claims. For other examples, a person skilled in the art will easily appreciate that the sequence of the process steps may be changed while maintaining the protection scope of the present invention.
  • Furthermore, the application scope of the present invention is not limited to the processes, structures, manufacturing, compositions, means, methods and steps of the specific embodiments as described in the specification. According to the disclosure of the present invention, a person skilled in the art will easily appreciate that when the processes, structures, manufacturing, compositions, means, methods and steps currently existing or to be developed in future are adopted to perform functions substantially the same as corresponding embodiments described in the present invention, or achieve substantially the same effects, a person skilled in the art can make applications of them according to the present invention. Therefore, the accompanied claims of the present invention intend to include these processes, structures, manufacturing, compositions, means, methods and steps within their protection scopes.

Claims (11)

1. A method for manufacturing a semiconductor structure, comprising the steps of:
a) providing a substrate;
b) forming a dummy gate stack and source/drain regions on the substrate,
wherein the dummy gate stack at least comprises a dummy gate; and the source/drain regions are located on both sides of the dummy gate stack and extend to right below of the dummy gate stack;
c) forming an interlayer dielectric layer that covers the substrate, the source/drain regions and the dummy gate stack;
d) removing a part of the interlayer dielectric layer to expose the dummy gate stack;
e) removing the dummy gate stack and a part of the substrate right below the dummy gate stack, so as to form an opening, right below which parts of the source/drain regions are reserved;
f) forming spacers attached to inner sidewalls of the opening; and
g) forming a gate dielectric layer at a bottom of the opening, and filling a conductive material (260) to form a gate stack structure.
2. The method according to claim 1, wherein in step b), the source/drain regions extending to the right below of the dummy gate stack are obtained by firstly forming the dummy gate stack, and then performing a source/drain implantation and an annealing.
3. The method according to claim 1, wherein in step b), the source/drain regions extending to the right below of the dummy gate stack are obtained by firstly forming the source/drain regions, and then forming the dummy gate stack.
4. The method according to claim 1, wherein in step b), the parts of the source/drain regions extending to the right below of the dummy gate stack have a width of about 10 nm to 20 nm.
5. The method according to claim 1, wherein in step b), the source/drain regions located on both sides of the dummy gate stack have a depth of about 50 nm to 100 nm.
6. The method according to claim 1, wherein in step e), a size of the reserved parts of the source/drain regions is controlled by controlling etching time.
7. The method according to claim 1, wherein in step e), the bottom of the opening is lower than tops of the source/drain regions on both sides for about 10 nm to 50 nm.
8. The method according to claim 1, wherein in step f), a width of the spacers is not more than that of the parts of the source/drain regions reserved right below the opening.
9. A semiconductor structure, comprising:
a substrate;
a gate stack structure partially embedded into the substrate and spacers; and
source/drain regions formed in the substrate, wherein
tops of the source/drain regions located on both sides of the spacers are higher than bottoms of the gate stack structure and the spacers, and
the source/drain regions laterally extend below the bottoms of the gate stack structure and the spacers and exceed the spacers, thereby reaching right below of the gate stack structure.
10. The structure according to claim 9, wherein the bottom of the gate stack structure is lower than the tops of the source/drain regions on both sides for about 10 nm to 50 nm.
11. The structure according to claim 9, wherein the source/drain regions located on both sides of the gate stack structure have a depth of about 50 nm to 100 nm.
US13/878,655 2012-05-02 2012-05-18 Semiconductor structure and method for manufacturing the same Abandoned US20140191311A1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
CN201210135261.5 2012-05-02
CN201210135261.5A CN103383914B (en) 2012-05-02 2012-05-02 Semiconductor structure and manufacture method thereof
PCT/CN2012/075738 WO2013163831A1 (en) 2012-05-02 2012-05-18 Semiconductor structure and manufacturing method thereof

Publications (1)

Publication Number Publication Date
US20140191311A1 true US20140191311A1 (en) 2014-07-10

Family

ID=49491678

Family Applications (1)

Application Number Title Priority Date Filing Date
US13/878,655 Abandoned US20140191311A1 (en) 2012-05-02 2012-05-18 Semiconductor structure and method for manufacturing the same

Country Status (3)

Country Link
US (1) US20140191311A1 (en)
CN (1) CN103383914B (en)
WO (1) WO2013163831A1 (en)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5736435A (en) * 1995-07-03 1998-04-07 Motorola, Inc. Process for fabricating a fully self-aligned soi mosfet
US6225173B1 (en) * 1998-11-06 2001-05-01 Advanced Micro Devices, Inc. Recessed channel structure for manufacturing shallow source/drain extensions
US20070023795A1 (en) * 2005-07-15 2007-02-01 Kabushiki Kaisha Toshiba Semiconductor device and method of fabricating the same
US20120261754A1 (en) * 2011-04-14 2012-10-18 International Business Machines Corporation MOSFET with Recessed channel FILM and Abrupt Junctions

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020002069A (en) * 2000-06-29 2002-01-09 박종섭 Method for manufacturing a semiconductor device
US6465312B1 (en) * 2001-04-27 2002-10-15 Advanced Micro Devices, Inc. CMOS transistor with amorphous silicon elevated source-drain structure and method of fabrication
JP4745187B2 (en) * 2006-10-05 2011-08-10 株式会社東芝 Manufacturing method of semiconductor device
CN102263132A (en) * 2010-05-26 2011-11-30 中国科学院微电子研究所 Semiconductor structure and manufacturing method thereof
KR20120019917A (en) * 2010-08-27 2012-03-07 삼성전자주식회사 Method of fabricating semiconductor device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5736435A (en) * 1995-07-03 1998-04-07 Motorola, Inc. Process for fabricating a fully self-aligned soi mosfet
US6225173B1 (en) * 1998-11-06 2001-05-01 Advanced Micro Devices, Inc. Recessed channel structure for manufacturing shallow source/drain extensions
US20070023795A1 (en) * 2005-07-15 2007-02-01 Kabushiki Kaisha Toshiba Semiconductor device and method of fabricating the same
US20120261754A1 (en) * 2011-04-14 2012-10-18 International Business Machines Corporation MOSFET with Recessed channel FILM and Abrupt Junctions

Also Published As

Publication number Publication date
CN103383914A (en) 2013-11-06
WO2013163831A1 (en) 2013-11-07
CN103383914B (en) 2016-03-02

Similar Documents

Publication Publication Date Title
US8642471B2 (en) Semiconductor structure and method for manufacturing the same
US9589838B2 (en) Contact structure of semiconductor device
US8791502B2 (en) Semiconductor device and method of manufacturing the same
US9231067B2 (en) Semiconductor device and fabricating method thereof
US20160329405A1 (en) Contact structure of semiconductor device
US8420490B2 (en) High-performance semiconductor device and method of manufacturing the same
US20160155806A1 (en) Structure and Method for Semiconductor Device
US9136340B2 (en) Doped protection layer for contact formation
US20130043517A1 (en) Semiconductor Structure And Method For Manufacturing The Same
US10026641B2 (en) Isolation structure of semiconductor device
US20220130730A1 (en) Semiconductor Device and Method
US20120235244A1 (en) Semiconductor Structure and Method for Manufacturing the Same
US20150221768A1 (en) Semiconductor structure and method for manufacturing the same
US20210090944A1 (en) Semiconductor Structure with Staggered Selective Growth
US11855082B2 (en) Integrated circuits with FinFET gate structures
CN105990405B (en) Semiconductor structure and manufacturing method thereof
US20230282725A1 (en) Semiconductor Devices and Methods of Forming the Same
US20120018739A1 (en) Body contact device structure and method of manufacture
US11515212B2 (en) Method of manufacturing semiconductor devices having controlled S/D epitaxial shape
US20110248360A1 (en) High-speed transistor structure and method for manufacturing the same
US20140191311A1 (en) Semiconductor structure and method for manufacturing the same
US11658245B2 (en) Semiconductor device and method of manufacturing
US20230068668A1 (en) Multi-Channel Devices and Method with Anti-Punch Through Process
US20230011783A1 (en) Metal gate for gate-all-around devices and methods for forming the same
US20230261077A1 (en) Isolation for Multigate Devices

Legal Events

Date Code Title Description
AS Assignment

Owner name: INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:DONG, LIJUN;CHEN, DAPENG;REEL/FRAME:030189/0125

Effective date: 20121115

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION