US20140103498A1 - Selective wet etching of hafnium aluminum oxide films - Google Patents

Selective wet etching of hafnium aluminum oxide films Download PDF

Info

Publication number
US20140103498A1
US20140103498A1 US14/136,081 US201314136081A US2014103498A1 US 20140103498 A1 US20140103498 A1 US 20140103498A1 US 201314136081 A US201314136081 A US 201314136081A US 2014103498 A1 US2014103498 A1 US 2014103498A1
Authority
US
United States
Prior art keywords
dielectric
semiconductor structure
aluminum oxide
hafnium aluminum
oxide material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/136,081
Inventor
Prashant Raghu
Yi Yang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Priority to US14/136,081 priority Critical patent/US20140103498A1/en
Publication of US20140103498A1 publication Critical patent/US20140103498A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/564Details not otherwise provided for, e.g. protection against moisture
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • Embodiments of the invention relate to etchant chemistries and methods of processing a substrate and semiconductor constructions.
  • openings such as contact holes are formed in dielectric layers by plasma etching using a patterned photoresist layer as a mask.
  • a patterned photoresist layer as a mask.
  • a hard mask formed of amorphous or transparent carbon has been used for dry etching of silicon oxide films, which offers high etch selectivity relative to a photoresist or other hard mask materials.
  • amorphous carbon as a mask is inapplicable for some processes when plasma etching silicon oxide, in part, because a sufficient selectivity of the silicon oxide film against the amorphous carbon mask cannot be obtained.
  • etching features in silicon oxide that are 25 nm or less often requires the use of a very thick carbon film, which is generally not extendable for 25 nm patterning due to toppling of the mask structures, making a conventional amorphous carbon mask unsuitable.
  • FIG. 1 illustrates a diagrammatic, cross-sectional view of a portion of a substrate at a preliminary processing stage according to an embodiment of the present disclosure.
  • FIGS. 2-6 are cross-sectional views of the substrate depicted in FIG. 1 at subsequent processing stages.
  • semiconductor substrate or “semiconductive substrate” or “semiconductive wafer fragment” or “wafer fragment” or “wafer” will be understood to mean any construction comprising semiconductor material, including but not limited to bulk semiconductive materials such as a semiconductor wafer (either alone or in assemblies comprising other materials thereon), and semiconductive material layers (either alone or in assemblies comprising other materials).
  • substrate refers to any supporting structure including, but not limited to, the semiconductive substrates, wafer fragments or wafers described above.
  • Embodiments of the invention relate to the use of hafnium aluminum oxide (HfAlO x ) as a hard mask in etching silicon oxide, and solutions that provide etchant chemistry for selective removal of HfAlO x material from a structure relative to exposed doped and undoped silicon oxide materials including, but not limited to, silicon oxide deposited from tetraethylorthosilicate (TEOS), spin-on-glass (SOG), undoped SiO 2 , phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), and borosilicate glass (BSG).
  • TEOS tetraethylorthosilicate
  • SOG spin-on-glass
  • undoped SiO 2 phosphosilicate glass
  • PSG phosphosilicate glass
  • BPSG borophosphosilicate glass
  • BSG borosilicate glass
  • etching or removal of the HfAlO x material it is meant that the etch is preferential to the HfAlO x material relative to adjacent or exposed silicon oxide with little or no etching of the silicon oxide, and that the HfAlO x material is etched at a rate greater than the silicon oxide.
  • the compositions of the invention have increased selectivity to HfAlO x material in the presence of silicon oxide materials compared to conventional wet chemistries commonly used for removing HfAlO x material.
  • the etchant solution can be used in applications for fabricating contact openings, word lines, digit lines, among other features and structures.
  • FIG. 1 illustrates a substrate fragment indicated generally with reference to numeral 10 at a preliminary processing stage.
  • the substrate fragment 10 in progress can comprise a semiconductor wafer substrate or the wafer along with various process layers formed thereon, including one or more semiconductor layers or other formations, and active or operable portions of semiconductor devices.
  • the substrate fragment 10 comprises a substrate 12 , a dielectric (silicon oxide) layer 14 to be etched, a hafnium aluminum oxide (HfAlO x ) layer 16 , a dielectric antireflective coating (DARC) layer 18 , and a photoresist masking layer 20 .
  • the substrate 12 is compositionally dissimilar to the silicon oxide layer 14 , and can be composed of a silicon layer such as monocrystalline, polycrystalline or amorphous silicon, a doped silicon region, a nitride material (e.g., silicon nitride such as Si 3 N 4 , a refractory metal nitride such as titanium nitride (TiN), tungsten nitride (WN), etc.), a silicon oxide layer with different doping than a silicon oxide dielectric layer 14 , a metal silicide such as titanium silicide (TiSi 2 ), a metal interconnect, or other material layer.
  • a silicon layer such as monocrystalline, polycrystalline or amorphous silicon
  • a doped silicon region e.g., silicon nitride such as Si 3 N 4 , a refractory metal nitride such as titanium nitride (TiN), tungsten nitride (WN), etc.
  • the dielectric layer 14 can be formed of undoped or doped silicon oxide (SiO x ), for example, silicon oxide deposited from tetraethylorthosilicate (TEOS), borophosphosilicate glass (BPSG), borosilicate glass (BSG), phosphosilicate glass (PSG), spin-on-glass (SOG), etc., in a single layer or multiple layers.
  • TEOS tetraethylorthosilicate
  • BPSG borophosphosilicate glass
  • BSG borosilicate glass
  • PSG phosphosilicate glass
  • spin-on-glass (SOG) spin-on-glass
  • the dielectric (SiO x ) layer 14 will comprise, consist essentially of, or consist of silicon and oxygen.
  • a typical thickness of the dielectric layer 14 is about 1,000-20,000 ⁇ .
  • a hafnium aluminum oxide layer 16 (Hf x Al y O z or HfAlO x such as HfAlO 3 ), a high dielectric constant (high-k) material, replaces a conventional hard mask such as a carbon-based hard mask that is generally formed over a material to be etched.
  • the HfAlO x layer 16 is formed as a hard mask over the dielectric (SiO x ) layer 14 by atomic layer deposition (ALD) processing with a concentration ratio of Hf:Al in the film at about 20:1 to about 4:1 (wt-%), or about 10:1 to about 8:1 (ALD deposition temperature of about 300° C.).
  • the thickness of the HfAlO x hard mask layer 16 is generally about 200-1,000 ⁇ , or about 300-500 ⁇ .
  • the HfAlO x hard mask layer 16 can be formed by ALD processing using known techniques in the art, for example, as described in U.S. Pat. No. 7,211,492 (Forbes et al.) and U.S. Pat. No. 7,135,421 (Ahn et al.), which are commonly assigned to Micron Technology, Inc., the disclosures of which are incorporated by reference herein.
  • the HfAlO x hard mask layer 16 can be farmed using ALD processing by employing a hafnium sequence of pulsing a hafnium-containing precursor (e.g., HfCl 4 ) into a reaction chamber containing a substrate and pulsing a first oxygen-containing precursor (e.g., water vapor) into the reaction chamber as an oxidizing reactant to form hafnium oxide, and an aluminum sequence by pulsing an aluminum-containing precursor (e.g., trimethylaluminum, Al(CH 3 ) 3 , or DMEAA, an adduct of alane (AlH 3 ) and dimethylethylamine (N(CH 3 ) 2 (C 2 H 5 )), into the reaction chamber and pulsing a second oxygen containing precursor (e.g., distilled water vapor) into the reaction chamber as an oxidizing reactant to form a HfAlO x film.
  • a hafnium-containing precursor e
  • Each precursor is pulsed into the reaction chamber for a selected time period (pulse time), typically from about 0.5 seconds to about 2-3 seconds. Between each precursor pulsing, the reaction chamber is purged with an inert gas (e.g., nitrogen or argon) or evacuated to remove precursor excess and reaction by-products.
  • an inert gas e.g., nitrogen or argon
  • the thickness of the HfAlO x film is controlled by repeating for a number of cycles the pulsing of the Hf-containing precursor, the first 0 -containing precursor, the Al-containing precursor, and the second 0 -containing precursor until the desired thickness is formed.
  • the HfAlO x hard mask layer 16 can be engineered with selected characteristics by controlling precursor materials for each sequence, processing temperatures and pressures for each sequence, individual precursor pulsing times, and heat treatment at the end of the process, at the end of each cycle, and at the end of each sequence.
  • the DARC layer 18 can serve as an intermediate hard mask to reduce light reflections during lithography.
  • the DARC layer 18 can be deposited onto the HfAlO x hard mask layer 16 by known processes, such as chemical vapor deposition (CVD) or plasma enhanced CVD (PECVD) including, for example, electron cyclotron resonance (ECR) PECVD, and bias ECR PECVD processes, for example, using a feed gas that comprises SiH 4 , N 2 , O 2 , and argon.
  • CVD chemical vapor deposition
  • PECVD plasma enhanced CVD
  • ECR electron cyclotron resonance
  • a typical thickness of the DARC layer 18 is about 200-1,000 ⁇ , or about 300-400 ⁇ .
  • a photoresist mask layer 20 typically an organic polymeric material (e.g., a novolac resin), is formed over the DARC layer 18 and, as depicted, exposed and developed using conventional photolithographic techniques as known in the art, to provide a desired pattern with openings 22 that expose portions of the DARC layer 18 .
  • organic polymeric material e.g., a novolac resin
  • the pattern in the photoresist mask layer 20 can be transferred to the DARC layer 18 by a standard dry etch (arrows ⁇ ) using, for example, a fluorine-based gas plasma chemistry to expose the underlying HfAlO x hard mask layer 16 , as shown in FIG. 2 .
  • the HfAlO x hard mask layer 16 can be dry etched (arrows ⁇ ) using a standard chemistry to expose portions of the silicon oxide layer 14 .
  • An etchant gas is used, for example, a mixture of boron trichloride (BCl 3 ) and chlorine (Cl 2 ) gases, which provides good selectivity to etch HfAlO x and stop on TEOS or other silicon oxide material (e.g., substrate 12 ).
  • the dielectric (SiO x ) layer 14 is then dry etched to form a contact opening 24 (i.e., contact hole, via, or other opening such as a trench) extending to the underlying substrate layer 12 for forming, for example, a contact hole for an interconnect level, a gate electrode, a capacitor electrode, a via, etc.
  • a silicon oxide dry etch can be conducted according to known methods using a standard fluorocarbon-based dry etch chemistry (e.g., CF 4 , CHF 3 , CH 2 F 2 , CH 3 F, C 2 F 6 , C 3 F 8 , C 4 F 6 , etc.).
  • the contact opening 24 is etched to a high aspect ratio of about 1:1 to about 20:1 with a width of about 15-65 nm and a depth of about 100 nm-2,000 nm, for example.
  • the photoresist mask layer 20 is selectively removed (dry stripped) as shown in FIG. 5 , for example, by a standard dry etch process using an oxygen (O 2 ) plasma ashing step.
  • the DARC layer 18 and the HfAlO x hard mask layer 16 are removed (stripped) selective to the dielectric (SiO x ) layer 14 and the substrate 12 , as illustrated in FIG. 6 .
  • HfAlO x (and DARC) materials typically use hydrofluoric acid (HF) based chemistries, e.g., a dilute HF/water wet etch.
  • HF hydrofluoric acid
  • standard dilute HF etch chemistries are not selective to and will attack and rapidly etch silicon oxides such as BPSG, PSG and TEOS. This necessitates the use of different etch chemistries for stripping HfAlO x materials in the presence of oxides.
  • the etchant compositions of the invention are aqueous solutions of phosphoric acid (H 3 PO 4 ) and water (H 2 O) that etch HfAlO x material selective to silicon oxide (e.g., BPSG, PSG, TEOS, etc.).
  • Embodiments of the etchant compositions are formulated with a H 3 PO 4 :H 2 O volume ratio of about 50:50 to about 90:10, or about 80:20 to about 85:15, based on the total volume of the solution.
  • a temperature range of about 100-185° C. is typical for most applications.
  • the H 3 PO 4 :H 2 O etchant compositions are maintained at a pH level ⁇ 2, which can be manipulated by adding an additional acid such HCl, H 2 SO 4 , HNO 3 , HCOOH and CH 3 COOH, among others.
  • the etchant composition consists essentially of or consists of phosphoric acid and water, optionally with an additional acid in a minor but effective amount to modify the pH of the solution.
  • the H 3 PO 4 /H 2 O etchant solution can be applied to the material layers, e.g., the HfAlO x layer 16 and DARC layer 18 , to be stripped in various ways.
  • the etchant solution can be sprayed onto the substrate 10 , or the substrate can be dipped or immersed into a bath of the etchant solution (e.g., a megasonic bath), or the etchant solution can be flowed over the substrate, among other applications.
  • the H 3 PO 4 /H 2 O etchant compositions provide a wet etch of HfAlO x (about 10:1 Hf:Al ratio) and DARC materials selective to the SiO x material layer 14 at an etch rate (or selectivity) of greater than 1, or an etch selectivity of about 2:1 to about 20:1 (HfAlO x :SiO x ), with little or no etching of the SiO x layer.
  • Etchant compositions having a H 3 PO 4 :H 2 O volume ratio of about 50:50 to about 90:10 provide an etch of ALD HfAlO x (Hf:Al ratio of about 20:1 to about 4:1) at a rate about 50-400 ⁇ /minute, an etch of a DARC material layer 18 at a rate of about 5-40 ⁇ /minute or about 20-40 ⁇ /minute, and minimal etching of a SiO x material layer 14 at about 5-40 ⁇ /minute or about 5-15 ⁇ /minute.
  • an etchant solution of H 3 PO 4 :H 2 O at a ratio of about 80:20 to about 85:15 (v/v) (temperature of about 100-185° C.) will etch ALD HfAlOx (about 10:1 Hf:Al ratio) at an etch selectivity of about 8:1 to about 12:1.
  • the application of an about 10:1 (v/v) H 3 PO 4 :H 2 O etchant solution at about 145° C. will provide an etch of an ALD HfAlO x film 16 (about 10:1 Hf:Al ratio) at a rate of about 300 ⁇ /minute.
  • HfAlO x :PSG a selectivity to PSG of about 10:1 (HfAlO x :PSG), a selectivity to titanium nitride (TiN) of about 43:1 (HfAlO x :TiN), and a selectivity to silicon nitride (Si x N y , e.g., such as Si 3 N 4 ) of about 6:1 (HfAlO x : Si x N y ).
  • the etchant solution can be used in applications for fabricating contact openings as illustrated, or other features such as word lines, digit lines, etc.
  • the substrate 10 can then undergo post-etch processing steps that are known in the art to fabricate desired components.
  • the resulting contact openings 24 can be further processed, for example, by filling with a metal or conductive material such as copper, aluminum, silicon, Ti 3 N 4 , among others, to form contacts or conductive lines, for example, to an underlying active area, contact, or conductive line, or with a metal-insulator-metal-stack to form capacitors with a dielectric material such as Al 2 O 3 , HfO 2 , ZrO 2 , SrTiO 3 , and the like, in the fabrication of integrated circuit devices such as memory devices. Finished semiconductor wafers can be cut into dies, which may then be further processed into integrated circuit chips and incorporated in electronic devices.

Abstract

Methods and etchant compositions for wet etching to selectively remove a hafnium aluminum oxide (HfAlOx) material relative to silicon oxide (SiOx) are provided.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a divisional of U.S. patent application Ser. No. 13/585,072, filed Aug. 14, 2012, pending, which application is a continuation of U.S. patent application Ser. No. 11/839,628, filed Aug. 16, 2007, now U.S. Pat. No. 8,283,258, issued Oct. 9, 2012, the disclosure of each of which is hereby incorporated herein in its entirety by this reference.
  • TECHNICAL FIELD
  • Embodiments of the invention relate to etchant chemistries and methods of processing a substrate and semiconductor constructions.
  • BACKGROUND
  • Conventionally, in a manufacturing process for a semiconductor device, openings such as contact holes are formed in dielectric layers by plasma etching using a patterned photoresist layer as a mask. However, as device sizes decrease, the size of features such as contact holes is reduced, requiring the replacement of conventionally used resist masks.
  • A hard mask formed of amorphous or transparent carbon has been used for dry etching of silicon oxide films, which offers high etch selectivity relative to a photoresist or other hard mask materials. However, the use of amorphous carbon as a mask is inapplicable for some processes when plasma etching silicon oxide, in part, because a sufficient selectivity of the silicon oxide film against the amorphous carbon mask cannot be obtained. In addition, etching features in silicon oxide that are 25 nm or less often requires the use of a very thick carbon film, which is generally not extendable for 25 nm patterning due to toppling of the mask structures, making a conventional amorphous carbon mask unsuitable.
  • It would be useful to provide processes that overcome these or related problems.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Embodiments of the invention are described below with reference to the following accompanying drawings, which are for illustrative purposes only. Throughout the following views, the reference numerals will be used in the drawings, and the same reference numerals will be used throughout the several views and in the description to indicate same or like parts.
  • FIG. 1 illustrates a diagrammatic, cross-sectional view of a portion of a substrate at a preliminary processing stage according to an embodiment of the present disclosure.
  • FIGS. 2-6 are cross-sectional views of the substrate depicted in FIG. 1 at subsequent processing stages.
  • DETAILED DESCRIPTION
  • The following description with reference to the drawings provides illustrative examples of devices and methods according to embodiments of the invention. Such description is for illustrative purposes only and not for purposes of limiting the same.
  • In the context of the current application, the term “semiconductor substrate” or “semiconductive substrate” or “semiconductive wafer fragment” or “wafer fragment” or “wafer” will be understood to mean any construction comprising semiconductor material, including but not limited to bulk semiconductive materials such as a semiconductor wafer (either alone or in assemblies comprising other materials thereon), and semiconductive material layers (either alone or in assemblies comprising other materials). The “substrate” refers to any supporting structure including, but not limited to, the semiconductive substrates, wafer fragments or wafers described above.
  • Embodiments of the invention relate to the use of hafnium aluminum oxide (HfAlOx) as a hard mask in etching silicon oxide, and solutions that provide etchant chemistry for selective removal of HfAlOx material from a structure relative to exposed doped and undoped silicon oxide materials including, but not limited to, silicon oxide deposited from tetraethylorthosilicate (TEOS), spin-on-glass (SOG), undoped SiO2, phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), and borosilicate glass (BSG). By “selectively” etching or removal of the HfAlOx material, it is meant that the etch is preferential to the HfAlOx material relative to adjacent or exposed silicon oxide with little or no etching of the silicon oxide, and that the HfAlOx material is etched at a rate greater than the silicon oxide. The compositions of the invention have increased selectivity to HfAlOx material in the presence of silicon oxide materials compared to conventional wet chemistries commonly used for removing HfAlOx material. The etchant solution can be used in applications for fabricating contact openings, word lines, digit lines, among other features and structures.
  • An embodiment of a method according to the invention is illustrated with reference to FIGS. 1-6. FIG. 1 illustrates a substrate fragment indicated generally with reference to numeral 10 at a preliminary processing stage. The substrate fragment 10 in progress can comprise a semiconductor wafer substrate or the wafer along with various process layers formed thereon, including one or more semiconductor layers or other formations, and active or operable portions of semiconductor devices.
  • The substrate fragment 10 comprises a substrate 12, a dielectric (silicon oxide) layer 14 to be etched, a hafnium aluminum oxide (HfAlOx) layer 16, a dielectric antireflective coating (DARC) layer 18, and a photoresist masking layer 20.
  • The substrate 12 is compositionally dissimilar to the silicon oxide layer 14, and can be composed of a silicon layer such as monocrystalline, polycrystalline or amorphous silicon, a doped silicon region, a nitride material (e.g., silicon nitride such as Si3N4, a refractory metal nitride such as titanium nitride (TiN), tungsten nitride (WN), etc.), a silicon oxide layer with different doping than a silicon oxide dielectric layer 14, a metal silicide such as titanium silicide (TiSi2), a metal interconnect, or other material layer.
  • The dielectric layer 14 can be formed of undoped or doped silicon oxide (SiOx), for example, silicon oxide deposited from tetraethylorthosilicate (TEOS), borophosphosilicate glass (BPSG), borosilicate glass (BSG), phosphosilicate glass (PSG), spin-on-glass (SOG), etc., in a single layer or multiple layers. In various embodiments, the dielectric (SiOx) layer 14 will comprise, consist essentially of, or consist of silicon and oxygen. A typical thickness of the dielectric layer 14 is about 1,000-20,000 Å.
  • A hafnium aluminum oxide layer 16 (HfxAlyOz or HfAlOx such as HfAlO3), a high dielectric constant (high-k) material, replaces a conventional hard mask such as a carbon-based hard mask that is generally formed over a material to be etched. In embodiments of the invention, the HfAlOx layer 16 is formed as a hard mask over the dielectric (SiOx) layer 14 by atomic layer deposition (ALD) processing with a concentration ratio of Hf:Al in the film at about 20:1 to about 4:1 (wt-%), or about 10:1 to about 8:1 (ALD deposition temperature of about 300° C.). The thickness of the HfAlOx hard mask layer 16 is generally about 200-1,000 Å, or about 300-500 Å.
  • The HfAlOx hard mask layer 16 can be formed by ALD processing using known techniques in the art, for example, as described in U.S. Pat. No. 7,211,492 (Forbes et al.) and U.S. Pat. No. 7,135,421 (Ahn et al.), which are commonly assigned to Micron Technology, Inc., the disclosures of which are incorporated by reference herein. Briefly, the HfAlOx hard mask layer 16 can be farmed using ALD processing by employing a hafnium sequence of pulsing a hafnium-containing precursor (e.g., HfCl4) into a reaction chamber containing a substrate and pulsing a first oxygen-containing precursor (e.g., water vapor) into the reaction chamber as an oxidizing reactant to form hafnium oxide, and an aluminum sequence by pulsing an aluminum-containing precursor (e.g., trimethylaluminum, Al(CH3)3, or DMEAA, an adduct of alane (AlH3) and dimethylethylamine (N(CH3)2(C2H5)), into the reaction chamber and pulsing a second oxygen containing precursor (e.g., distilled water vapor) into the reaction chamber as an oxidizing reactant to form a HfAlOx film. Each precursor is pulsed into the reaction chamber for a selected time period (pulse time), typically from about 0.5 seconds to about 2-3 seconds. Between each precursor pulsing, the reaction chamber is purged with an inert gas (e.g., nitrogen or argon) or evacuated to remove precursor excess and reaction by-products. The thickness of the HfAlOx film is controlled by repeating for a number of cycles the pulsing of the Hf-containing precursor, the first 0-containing precursor, the Al-containing precursor, and the second 0-containing precursor until the desired thickness is formed. In addition, the HfAlOx hard mask layer 16 can be engineered with selected characteristics by controlling precursor materials for each sequence, processing temperatures and pressures for each sequence, individual precursor pulsing times, and heat treatment at the end of the process, at the end of each cycle, and at the end of each sequence.
  • A dielectric antireflective coating layer (DARC) 18 overlies the HfAlOx hard mask layer 16 and is generally a silicon oxynitride (SixOyNz, e.g., where x=10-60, y=20-50, z=10-20, for example, Si50O37N13). The DARC layer 18 can serve as an intermediate hard mask to reduce light reflections during lithography. The DARC layer 18 can be deposited onto the HfAlOx hard mask layer 16 by known processes, such as chemical vapor deposition (CVD) or plasma enhanced CVD (PECVD) including, for example, electron cyclotron resonance (ECR) PECVD, and bias ECR PECVD processes, for example, using a feed gas that comprises SiH4, N2, O2, and argon. A typical thickness of the DARC layer 18 is about 200-1,000 Å, or about 300-400 Å.
  • A photoresist mask layer 20, typically an organic polymeric material (e.g., a novolac resin), is formed over the DARC layer 18 and, as depicted, exposed and developed using conventional photolithographic techniques as known in the art, to provide a desired pattern with openings 22 that expose portions of the DARC layer 18.
  • The pattern in the photoresist mask layer 20 can be transferred to the DARC layer 18 by a standard dry etch (arrows ↓↓↓) using, for example, a fluorine-based gas plasma chemistry to expose the underlying HfAlOx hard mask layer 16, as shown in FIG. 2.
  • Then, as illustrated in FIG. 3, the HfAlOx hard mask layer 16 can be dry etched (arrows ↓↓↓) using a standard chemistry to expose portions of the silicon oxide layer 14. An etchant gas is used, for example, a mixture of boron trichloride (BCl3) and chlorine (Cl2) gases, which provides good selectivity to etch HfAlOx and stop on TEOS or other silicon oxide material (e.g., substrate 12).
  • Referring now to FIG. 4, the dielectric (SiOx) layer 14 is then dry etched to form a contact opening 24 (i.e., contact hole, via, or other opening such as a trench) extending to the underlying substrate layer 12 for forming, for example, a contact hole for an interconnect level, a gate electrode, a capacitor electrode, a via, etc. A silicon oxide dry etch can be conducted according to known methods using a standard fluorocarbon-based dry etch chemistry (e.g., CF4, CHF3, CH2F2, CH3F, C2F6, C3F8, C4F6, etc.). Typically, the contact opening 24 is etched to a high aspect ratio of about 1:1 to about 20:1 with a width of about 15-65 nm and a depth of about 100 nm-2,000 nm, for example.
  • After the etch of the contact opening 24 is completed, the photoresist mask layer 20 is selectively removed (dry stripped) as shown in FIG. 5, for example, by a standard dry etch process using an oxygen (O2) plasma ashing step.
  • Then, in accordance with the invention, the DARC layer 18 and the HfAlOx hard mask layer 16 are removed (stripped) selective to the dielectric (SiOx) layer 14 and the substrate 12, as illustrated in FIG. 6.
  • Conventional processes for removing HfAlOx (and DARC) materials typically use hydrofluoric acid (HF) based chemistries, e.g., a dilute HF/water wet etch. However, standard dilute HF etch chemistries are not selective to and will attack and rapidly etch silicon oxides such as BPSG, PSG and TEOS. This necessitates the use of different etch chemistries for stripping HfAlOx materials in the presence of oxides.
  • The etchant compositions of the invention are aqueous solutions of phosphoric acid (H3PO4) and water (H2O) that etch HfAlOx material selective to silicon oxide (e.g., BPSG, PSG, TEOS, etc.). Embodiments of the etchant compositions are formulated with a H3PO4:H2O volume ratio of about 50:50 to about 90:10, or about 80:20 to about 85:15, based on the total volume of the solution. A temperature range of about 100-185° C. is typical for most applications. The H3PO4:H2O etchant compositions are maintained at a pH level <2, which can be manipulated by adding an additional acid such HCl, H2SO4, HNO3, HCOOH and CH3COOH, among others. In some embodiments, the etchant composition consists essentially of or consists of phosphoric acid and water, optionally with an additional acid in a minor but effective amount to modify the pH of the solution.
  • The H3PO4/H2O etchant solution can be applied to the material layers, e.g., the HfAlOx layer 16 and DARC layer 18, to be stripped in various ways. For example, the etchant solution can be sprayed onto the substrate 10, or the substrate can be dipped or immersed into a bath of the etchant solution (e.g., a megasonic bath), or the etchant solution can be flowed over the substrate, among other applications.
  • The H3PO4/H2O etchant compositions provide a wet etch of HfAlOx (about 10:1 Hf:Al ratio) and DARC materials selective to the SiOx material layer 14 at an etch rate (or selectivity) of greater than 1, or an etch selectivity of about 2:1 to about 20:1 (HfAlOx:SiOx), with little or no etching of the SiOx layer. Etchant compositions having a H3PO4:H2O volume ratio of about 50:50 to about 90:10 (temperature of about 100-185° C.) provide an etch of ALD HfAlOx (Hf:Al ratio of about 20:1 to about 4:1) at a rate about 50-400 Å/minute, an etch of a DARC material layer 18 at a rate of about 5-40 Å/minute or about 20-40 Å/minute, and minimal etching of a SiOx material layer 14 at about 5-40 Å/minute or about 5-15 Å/minute. For example, the application of an etchant solution of H3 PO4:H2O at a ratio of about 80:20 to about 85:15 (v/v) (temperature of about 100-185° C.) will etch ALD HfAlOx (about 10:1 Hf:Al ratio) at an etch selectivity of about 8:1 to about 12:1. The application of an about 10:1 (v/v) H3PO4:H2O etchant solution at about 145° C. will provide an etch of an ALD HfAlOx film 16 (about 10:1 Hf:Al ratio) at a rate of about 300 Å/minute.
  • Materials such as PSG, TiN, SixNy, etc., can be exposed as the substrate layer 12 or at different levels in a material stack during the wet etch of the HfAlOx material layer 16. The H3PO4:H2O etchant compositions have a selectivity (or etch rate) to PSG of about 10:1 (HfAlOx:PSG), a selectivity to titanium nitride (TiN) of about 43:1 (HfAlOx:TiN), and a selectivity to silicon nitride (SixNy, e.g., such as Si3N4) of about 6:1 (HfAlOx: SixNy).
  • The etchant solution can be used in applications for fabricating contact openings as illustrated, or other features such as word lines, digit lines, etc. The substrate 10 can then undergo post-etch processing steps that are known in the art to fabricate desired components. For example, the resulting contact openings 24 can be further processed, for example, by filling with a metal or conductive material such as copper, aluminum, silicon, Ti3N4, among others, to form contacts or conductive lines, for example, to an underlying active area, contact, or conductive line, or with a metal-insulator-metal-stack to form capacitors with a dielectric material such as Al2O3, HfO2, ZrO2, SrTiO3, and the like, in the fabrication of integrated circuit devices such as memory devices. Finished semiconductor wafers can be cut into dies, which may then be further processed into integrated circuit chips and incorporated in electronic devices.
  • Although specific embodiments have been illustrated and described herein, it will be appreciated by those of ordinary skill in the art that any arrangement which is calculated to achieve the same purpose may be substituted for the specific embodiments shown. This application is intended to cover any adaptations or variations that operate according to the principles of the invention as described. Therefore, it is intended that this invention be limited only by the claims and the equivalents thereof. The disclosures of patents, references and publications cited in the application are incorporated by reference herein.

Claims (17)

1. A semiconductor device structure, comprising:
a dielectric material on a substrate;
a hafnium aluminum oxide material overlying and in contact with the dielectric material; and
a dielectric antireflective coating material overlying and in contact with the hafnium aluminum oxide material,
wherein the dielectric material, hafnium aluminum oxide material, and dielectric antireflective coating material comprises a plurality of openings therein, each of the plurality of openings comprising an aspect ratio of from about 1:1 to about 20:1.
2. The semiconductor structure of claim 1, wherein the dielectric material has a thickness of from about 1,000 Å to 20,000 Å.
3. The semiconductor structure of claim 1, wherein the hafnium aluminum oxide material has a thickness of from about 200 Å to 1,000 Å.
4. The semiconductor structure of claim 1, wherein the dielectric antireflective coating material has a thickness of from about 200 Å to about 1,000 Å.
5. The semiconductor structure of claim 1, further comprising a conductive material in the plurality of openings.
6. The semiconductor structure of claim 1, wherein the dielectric material comprises silicon oxide material.
7. The semiconductor structure of claim 1, further comprising a metal-insulator-metal-stack in the plurality of openings.
8. A semiconductor structure, comprising:
a silicon oxide material on a substrate;
a hafnium aluminum oxide material over the silicon oxide material;
a dielectric antireflective coating material over the hafnium aluminum oxide material; and
at least one opening extending through the silicon oxide material, hafnium aluminum oxide material, and dielectric antireflective coating material.
9. The semiconductor structure of claim 8, wherein the hafnium aluminum oxide material has a Hf:Al ratio of about 20:1 to about 4:1.
10. The semiconductor structure of claim 8, wherein the silicon oxide material comprises an oxide selected from the group consisting of tetraethylorthosilicate, spin-on-glass, undoped silicon dioxide, phosphosilicate glass, borophosphosilicate glass, and borosilicate glass.
11. The semiconductor structure of claim 8, wherein the dielectric antireflective coating material comprises a silicon oxynitride of chemical formula SixOyNz, wherein x is from 10 to 60, y is from 20 to 50, and z is from 10 to 20.
12. A semiconductor structure, comprising:
a dielectric material on a substrate;
a hafnium aluminum oxide material over the dielectric material; and
at least one opening extending through the dielectric material and hafnium aluminum oxide material.
13. The semiconductor structure of claim 12, wherein the at least one opening comprises an aspect ratio of from about 1:1 to about 20:1.
14. The semiconductor structure of claim 12, wherein the at least one opening has a width of from about 15 nm to 65 nm and a depth of from about 100 nm to 2,000 nm.
15. The semiconductor structure of claim 12, further comprising an antireflective coating material over the hafnium aluminum oxide material, wherein the at least one opening extends through the dielectric material, hafnium aluminum oxide material, and dielectric antireflective coating material.
16. The semiconductor structure of claim 12, wherein the dielectric material is a silicon oxide material consisting essentially of silicon and oxygen.
17. The semiconductor structure of claim 12, wherein the dielectric antireflective coating material comprises a silicon oxynitride material.
US14/136,081 2007-08-16 2013-12-20 Selective wet etching of hafnium aluminum oxide films Abandoned US20140103498A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/136,081 US20140103498A1 (en) 2007-08-16 2013-12-20 Selective wet etching of hafnium aluminum oxide films

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/839,628 US8283258B2 (en) 2007-08-16 2007-08-16 Selective wet etching of hafnium aluminum oxide films
US13/585,072 US8618000B2 (en) 2007-08-16 2012-08-14 Selective wet etching of hafnium aluminum oxide films
US14/136,081 US20140103498A1 (en) 2007-08-16 2013-12-20 Selective wet etching of hafnium aluminum oxide films

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/585,072 Division US8618000B2 (en) 2007-08-16 2012-08-14 Selective wet etching of hafnium aluminum oxide films

Publications (1)

Publication Number Publication Date
US20140103498A1 true US20140103498A1 (en) 2014-04-17

Family

ID=40363306

Family Applications (3)

Application Number Title Priority Date Filing Date
US11/839,628 Active 2030-01-25 US8283258B2 (en) 2007-08-16 2007-08-16 Selective wet etching of hafnium aluminum oxide films
US13/585,072 Active US8618000B2 (en) 2007-08-16 2012-08-14 Selective wet etching of hafnium aluminum oxide films
US14/136,081 Abandoned US20140103498A1 (en) 2007-08-16 2013-12-20 Selective wet etching of hafnium aluminum oxide films

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US11/839,628 Active 2030-01-25 US8283258B2 (en) 2007-08-16 2007-08-16 Selective wet etching of hafnium aluminum oxide films
US13/585,072 Active US8618000B2 (en) 2007-08-16 2012-08-14 Selective wet etching of hafnium aluminum oxide films

Country Status (1)

Country Link
US (3) US8283258B2 (en)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8283258B2 (en) * 2007-08-16 2012-10-09 Micron Technology, Inc. Selective wet etching of hafnium aluminum oxide films
US8357617B2 (en) * 2008-08-22 2013-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of patterning a metal gate of semiconductor device
US8334198B2 (en) * 2011-04-12 2012-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a plurality of gate structures
CN103146307B (en) * 2013-03-28 2014-12-10 天津理工大学 Nano polishing solution for chemical/mechanical polishing
US9064821B2 (en) 2013-08-23 2015-06-23 Taiwan Semiconductor Manufacturing Co. Ltd. Silicon dot formation by self-assembly method and selective silicon growth for flash memory
US9281203B2 (en) * 2013-08-23 2016-03-08 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon dot formation by direct self-assembly method for flash memory
CN104681424B (en) * 2013-11-27 2018-07-10 中芯国际集成电路制造(上海)有限公司 The forming method of transistor
US9859128B2 (en) 2015-11-20 2018-01-02 Applied Materials, Inc. Self-aligned shielding of silicon oxide
US9875907B2 (en) 2015-11-20 2018-01-23 Applied Materials, Inc. Self-aligned shielding of silicon oxide
US10358719B2 (en) * 2016-11-23 2019-07-23 Applied Materials, Inc. Selective deposition of aluminum oxide on metal surfaces
CN112740105A (en) * 2018-09-25 2021-04-30 Hoya株式会社 Mask blank, transfer mask, and method for manufacturing semiconductor device
CN112740106A (en) * 2018-09-27 2021-04-30 Hoya株式会社 Mask blank, transfer mask, and method for manufacturing semiconductor device
US11437250B2 (en) * 2018-11-15 2022-09-06 Tokyo Electron Limited Processing system and platform for wet atomic layer etching using self-limiting and solubility-limited reactions
CN112047294B (en) * 2020-08-31 2024-01-23 上海华虹宏力半导体制造有限公司 Infrared MEMS bridge column structure and process method
US11915941B2 (en) 2021-02-11 2024-02-27 Tokyo Electron Limited Dynamically adjusted purge timing in wet atomic layer etching
US11901436B2 (en) * 2021-03-05 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of transistor gates
US11802342B2 (en) 2021-10-19 2023-10-31 Tokyo Electron Limited Methods for wet atomic layer etching of ruthenium
US11866831B2 (en) 2021-11-09 2024-01-09 Tokyo Electron Limited Methods for wet atomic layer etching of copper

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020113310A1 (en) * 2000-10-31 2002-08-22 Kim Ji-Soo Conducting line of semiconductor device and manufacturing method thereof using aluminum oxide layer as hard mask
US20030203652A1 (en) * 2002-04-25 2003-10-30 Tien-I Bao Method for forming a carbon doped oxide low-k insulating layer
US20030227033A1 (en) * 2002-06-05 2003-12-11 Micron Technology, Inc. Atomic layer-deposited HfA1O3 films for gate dielectrics
US20040259355A1 (en) * 2003-06-17 2004-12-23 Zhiping Yin Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
US20050056615A1 (en) * 2003-08-18 2005-03-17 Peter Moll Selective plasma etching process for aluminum oxide patterning
US20050062165A1 (en) * 2003-09-19 2005-03-24 International Business Machines Corporation Method of forming closed air gap interconnects and structures formed thereby
US20050067704A1 (en) * 2003-09-26 2005-03-31 Akio Kaneko Semiconductor device and method of manufacturing the same
US20060046200A1 (en) * 2004-09-01 2006-03-02 Abatchev Mirzafer K Mask material conversion
US20060264057A1 (en) * 2004-04-27 2006-11-23 Manning H M Method and apparatus for fabricating a memory device with a dielectric etch stop layer
US20070048976A1 (en) * 2005-08-31 2007-03-01 Prashant Raghu Methods of forming semiconductor constructions and capacitors
US20070111441A1 (en) * 2005-11-11 2007-05-17 Samsung Electronics Co., Ltd. Nonvolatile memory device and method of manufacturing the same
US20070190718A1 (en) * 2006-01-19 2007-08-16 Coolbaugh Douglas D Dual-damascene process to fabricate thick wire structure
US20080054483A1 (en) * 2006-08-29 2008-03-06 Lee John K Semiconductor devices including fine pitch arrays with staggered contacts and methods for designing and fabricating the same

Family Cites Families (121)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US703495A (en) * 1901-12-11 1902-07-01 Louis Joseph Anton Staniewicz Bookbinder's clamp.
US4877647A (en) 1986-04-17 1989-10-31 Kansas State University Research Foundation Method of coating substrates with solvated clusters of metal particles
US5622668A (en) 1992-02-07 1997-04-22 The United States Of America As Represented By The Secretary Of The Air Force Method for preparing oriented polymer structures and said structures
US5482656A (en) 1993-03-04 1996-01-09 Kabushiki Kaisha Toshiba Non-linear optical devices employing a polysilane composition and a polysilane composition therefor
US5538655A (en) 1994-06-29 1996-07-23 Arthur D. Little, Inc. Molecular complexes for use as electrolyte components
DE69516528T2 (en) 1995-08-04 2000-11-23 Ibm LITHOGRAPHY OR THIN FILM MODIFICATION
US6190949B1 (en) 1996-05-22 2001-02-20 Sony Corporation Silicon thin film, group of silicon single crystal grains and formation process thereof, and semiconductor device, flash memory cell and fabrication process thereof
US6143647A (en) 1997-07-24 2000-11-07 Intel Corporation Silicon-rich block copolymers to achieve unbalanced vias
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US6890624B1 (en) 2000-04-25 2005-05-10 Nanogram Corporation Self-assembled structures
US6103632A (en) 1997-10-22 2000-08-15 Applied Material Inc. In situ Etching of inorganic dielectric anti-reflective coating from a substrate
US6111323A (en) 1997-12-30 2000-08-29 International Business Machines Corporation Reworkable thermoplastic encapsulant
US5981401A (en) 1998-03-13 1999-11-09 Micron Technology, Inc. Method for selective etching of anitreflective coatings
US6268282B1 (en) * 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US6403382B1 (en) 1998-12-08 2002-06-11 Regents Of The University Of Minnesota Attachment chemistry for organic molecules to silicon
JP4012173B2 (en) 1999-06-07 2007-11-21 株式会社東芝 Porous structure manufacturing method, porous structure forming material, pattern forming method, pattern forming material, electrochemical cell, and hollow fiber filter
JP3940546B2 (en) 1999-06-07 2007-07-04 株式会社東芝 Pattern forming method and pattern forming material
DE60044972D1 (en) 1999-07-02 2010-10-28 Harvard College NANOSCOPIC WIRE CONTAINING ARRANGEMENT, LOGISC
US6423465B1 (en) 2000-01-28 2002-07-23 International Business Machines Corporation Process for preparing a patterned continuous polymeric brush on a substrate surface
US7163712B2 (en) 2000-03-03 2007-01-16 Duke University Microstamping activated polymer surfaces
CA2404296A1 (en) 2000-03-22 2001-09-27 University Of Massachusetts Nanocylinder arrays
US7291284B2 (en) 2000-05-26 2007-11-06 Northwestern University Fabrication of sub-50 nm solid-state nanostructures based on nanolithography
GR1004325B (en) * 2000-07-03 2003-09-05 Electroacoustic tranducer with field replaceable diaphragm crrying two interlaced coils
US6503841B1 (en) 2000-07-07 2003-01-07 Agere Systems Inc. Oxide etch
US6569774B1 (en) 2000-08-31 2003-05-27 Micron Technology, Inc. Method to eliminate striations and surface roughness caused by dry etch
US6432811B1 (en) 2000-12-20 2002-08-13 Intel Corporation Method of forming structural reinforcement of highly porous low k dielectric films by Cu diffusion barrier structures
FR2818650B1 (en) 2000-12-21 2003-02-07 Atofina PROCESS FOR HYDROGENATION OF UNSATURATED BLOCK COPOLYMERS AND HYDROGEN BLOCK COPOLYMERS
US6566248B1 (en) 2001-01-11 2003-05-20 Advanced Micro Devices, Inc. Graphoepitaxial conductor cores in integrated circuit interconnects
EP1374310A4 (en) 2001-03-14 2008-02-20 Univ Massachusetts Nanofabrication
US20050145959A1 (en) * 2001-03-15 2005-07-07 Leonard Forbes Technique to mitigate short channel effects with vertical gate transistor with different gate materials
EP1399487A4 (en) 2001-03-30 2005-08-17 Uab Research Foundation Polymer formation in room temperature ionic liquids
ATE470647T1 (en) 2001-04-06 2010-06-15 Univ Carnegie Mellon METHOD FOR PRODUCING NANOSTRUCTURED MATERIALS
KR100448170B1 (en) 2001-06-23 2004-09-10 주식회사 태평양 Amphiphilic biodegradable block copolymers comprising polyethylenimine(PEI) as a hydrophilic block and polyester as a hydrophobic block, and self-assembled polymer aggregates in aqueous milieu formed from the block copolymers
WO2003007397A2 (en) 2001-07-09 2003-01-23 Plastic Logic Limited Solution influenced alignment
US6444318B1 (en) 2001-07-17 2002-09-03 Surmodics, Inc. Self assembling monolayer compositions
US6751491B2 (en) 2001-09-01 2004-06-15 M Biotech Inc Analyte measuring biosensor chip using image scanning system
US20030108664A1 (en) 2001-10-05 2003-06-12 Kodas Toivo T. Methods and compositions for the formation of recessed electrical features on a substrate
US6746825B2 (en) 2001-10-05 2004-06-08 Wisconsin Alumni Research Foundation Guided self-assembly of block copolymer films on interferometrically nanopatterned substrates
CA2475790A1 (en) 2002-02-11 2003-08-21 Rensselaer Polytechnic Institute Directed assembly of highly-organized carbon nanotube architectures
US6890703B2 (en) 2002-03-06 2005-05-10 International Business Machines Corporation Preparation of crosslinked particles from polymers having activatible crosslinking groups
US20030178707A1 (en) 2002-03-21 2003-09-25 Abbott Donald C. Preplated stamped small outline no-lead leadframes having etched profiles
US20040142578A1 (en) 2002-03-28 2004-07-22 Ulrich Wiesner Thin film nanostructures
US7307343B2 (en) 2002-05-30 2007-12-11 Air Products And Chemicals, Inc. Low dielectric materials and methods for making same
US6835667B2 (en) * 2002-06-14 2004-12-28 Fsi International, Inc. Method for etching high-k films in solutions comprising dilute fluoride species
US6932934B2 (en) 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
EP2233564A3 (en) 2002-10-30 2012-11-21 Hitachi, Ltd. Cell culture sheet comprising a functional substrate with a group of columnar micro-pillars and its manufacturing method
US6949456B2 (en) 2002-10-31 2005-09-27 Asm Japan K.K. Method for manufacturing semiconductor device having porous structure with air-gaps
WO2004044023A1 (en) 2002-11-07 2004-05-27 Rhodia Chimie Controlled structure copolymer comprising an amphoteric or zwitterionic part
US6930034B2 (en) 2002-12-27 2005-08-16 International Business Machines Corporation Robust ultra-low k interconnect structures using bridge-then-metallization fabrication sequence
US20040124092A1 (en) 2002-12-30 2004-07-01 Black Charles T. Inorganic nanoporous membranes and methods to form same
TW582059B (en) 2003-03-11 2004-04-01 Ind Tech Res Inst Organic component, method for forming organic semiconductor layer with aligned molecules, and method for forming organic component
US7135523B2 (en) 2003-03-14 2006-11-14 Industrial Technology Research Institute Nanoscale helical microstructures and channels from chiral poly(L-lactide) block containing block copolymers
JP3776092B2 (en) * 2003-03-25 2006-05-17 株式会社ルネサステクノロジ Etching apparatus, etching method, and manufacturing method of semiconductor device
US20060124467A1 (en) 2003-05-20 2006-06-15 Industrial Technology Research Institute Metal nanodot arrays and fabrication methods thereof
US7632544B2 (en) 2003-05-20 2009-12-15 Industrial Technology Research Institute Nanopatterned templates from oriented degradable diblock copolymer thin films
US6989426B2 (en) 2003-06-12 2006-01-24 The Hong Kong Polytechnic University Methods for producing di-block polymers
US7045851B2 (en) 2003-06-20 2006-05-16 International Business Machines Corporation Nonvolatile memory device using semiconductor nanocrystals and method of forming same
US20050238889A1 (en) 2003-07-10 2005-10-27 Nancy Iwamoto Layered components, materials, methods of production and uses thereof
JP2005038897A (en) * 2003-07-15 2005-02-10 Dainippon Screen Mfg Co Ltd Substrate processing method and device thereof
US7132370B2 (en) 2003-08-01 2006-11-07 Interuniversitair Microelektronica Centrum (Imec) Method for selective removal of high-k material
GB0318817D0 (en) 2003-08-11 2003-09-10 Univ Cambridge Tech Method of making a polymer device
KR100590583B1 (en) * 2003-09-15 2006-06-15 에스케이 텔레콤주식회사 Mobile Telecommunication Terminal Has Electrical Compass Module and Playing Mobile Game Method Using Electrical Compass Module Thereof
US7374867B2 (en) 2003-10-06 2008-05-20 Intel Corporation Enhancing photoresist performance using electric fields
US7122482B2 (en) 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
US7056757B2 (en) 2003-11-25 2006-06-06 Georgia Tech Research Corporation Methods of forming oxide masks with submicron openings and microstructures formed thereby
US7056849B2 (en) 2004-01-16 2006-06-06 General Electric Company Nanoscale ordered composites of covalent ceramics for high-temperature structural applications via block-copolymer-assisted assembly and method of making
US7030495B2 (en) 2004-03-19 2006-04-18 International Business Machines Corporation Method for fabricating a self-aligned nanocolumnar airbridge and structure produced thereby
TWI385720B (en) * 2004-03-24 2013-02-11 Tosoh Corp Etching composition and etching treatment method
CN100429142C (en) 2004-03-24 2008-10-29 哈尔滨工业大学 Method for adjusting and controlling configuration of self-assembling block copolymer template oriented to nano micro machining
US7244665B2 (en) 2004-04-29 2007-07-17 Micron Technology, Inc. Wafer edge ring structures and methods of formation
US7625694B2 (en) 2004-05-06 2009-12-01 Micron Technology, Inc. Selective provision of a diblock copolymer material
WO2006076016A2 (en) 2004-05-21 2006-07-20 Krzysztof Matyjaszewski Conducting polymers
EP2650906A3 (en) 2004-06-04 2015-02-18 The Board of Trustees of the University of Illinois Methods and devices for fabricating and assembling printable semiconductor elements
US20070059929A1 (en) * 2004-06-25 2007-03-15 Hag-Ju Cho Method of forming a tantalum carbon nitride layer and method of manufacturing a semiconductor device using the same
EP1763704A2 (en) 2004-06-30 2007-03-21 Koninklijke Philips Electronics N.V. Soft lithographic stamp with a chemically patterned surface
JP4389055B2 (en) 2004-07-27 2009-12-24 独立行政法人産業技術総合研究所 Highly oriented film of block copolymer-clay nanocomposite and method for producing the same
US20060030495A1 (en) * 2004-08-06 2006-02-09 Gregg George L Jr Bullet lubrication formula
JP2006055982A (en) 2004-08-23 2006-03-02 Ind Technol Res Inst Nanopatterned template from organizing decomposition diblock copolymer thin film
KR20060020830A (en) 2004-09-01 2006-03-07 삼성코닝 주식회사 Method for preparing surfactant-templated, mesostructured thin film with low dielectric constant
US20060057051A1 (en) 2004-09-10 2006-03-16 Sheng Dai Highly ordered porous carbon materials having well defined nanostructures and method of synthesis
JP3926360B2 (en) 2004-10-13 2007-06-06 株式会社東芝 Pattern forming method and structure processing method using the same
KR20060037894A (en) 2004-10-29 2006-05-03 주식회사 하이닉스반도체 Method for forming capacitor of semiconductor device
US7323387B2 (en) 2004-11-12 2008-01-29 Seagate Technology Llc Method to make nano structure below 25 nanometer with high uniformity on large scale
JP5377857B2 (en) 2004-11-22 2013-12-25 ウィスコンシン・アラムナイ・リサーチ・ファウンデーション Method and composition for non-periodic pattern copolymer films
US8178165B2 (en) 2005-01-21 2012-05-15 The Regents Of The University Of California Method for fabricating a long-range ordered periodic array of nano-features, and articles comprising same
US7341788B2 (en) 2005-03-11 2008-03-11 International Business Machines Corporation Materials having predefined morphologies and methods of formation thereof
US7514764B2 (en) 2005-03-23 2009-04-07 Wisconsin Alumni Research Foundation Materials and methods for creating imaging layers
US7492001B2 (en) * 2005-03-23 2009-02-17 Spansion Llc High K stack for non-volatile memory
US20060249784A1 (en) 2005-05-06 2006-11-09 International Business Machines Corporation Field effect transistor device including an array of channel elements and methods for forming
US7371684B2 (en) 2005-05-16 2008-05-13 International Business Machines Corporation Process for preparing electronics structures using a sacrificial multilayer hardmask scheme
US7371333B2 (en) 2005-06-07 2008-05-13 Micron Technology, Inc. Methods of etching nickel silicide and cobalt silicide and methods of forming conductive lines
US8399057B2 (en) 2005-06-08 2013-03-19 The Regents Of The University Of California Ordered vertically oriented porous inorganic films produced through solution processing
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
JP5570721B2 (en) 2005-06-17 2014-08-13 ザ ユニバーシティ オブ ノース カロライナ アット チャペル ヒル Nanoparticle manufacturing method, system, and material
US7118784B1 (en) 2005-06-27 2006-10-10 The Regents Of The University Of California Method and apparatus for controlling nucleation in self-assembled films
US7195999B2 (en) 2005-07-07 2007-03-27 Micron Technology, Inc. Metal-substituted transistor gates
JP2007019396A (en) 2005-07-11 2007-01-25 Renesas Technology Corp Semiconductor having mos structure and method for manufacturing the same
US7579285B2 (en) 2005-07-11 2009-08-25 Imec Atomic layer deposition method for depositing a layer
US7776715B2 (en) 2005-07-26 2010-08-17 Micron Technology, Inc. Reverse construction memory cell
US7491650B2 (en) 2005-07-27 2009-02-17 Micron Technology, Inc. Etch compositions and methods of processing a substrate
EP1909774A2 (en) 2005-08-04 2008-04-16 Angiotech International Ag Block copolymer compositions and uses thereof
US7456928B2 (en) 2005-08-29 2008-11-25 Micron Technology, Inc. Systems and methods for controlling ambient pressure during processing of microfeature workpieces, including during immersion lithography
US7572572B2 (en) 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7867845B2 (en) 2005-09-01 2011-01-11 Micron Technology, Inc. Transistor gate forming methods and transistor structures
JP4598639B2 (en) 2005-09-27 2010-12-15 Okiセミコンダクタ株式会社 Manufacturing method of semiconductor device
JP4928890B2 (en) 2005-10-14 2012-05-09 株式会社東芝 Nonvolatile semiconductor memory device
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
WO2007055041A1 (en) 2005-11-10 2007-05-18 National University Corporation Kyoto Institute Of Technology Membrane of block copolymer with oriented cylinder structure and process for producing the same
DE602006000939T2 (en) 2006-01-18 2009-07-09 Consiglio Nazionale Delle Ricerche Nanometer device for measuring the conductivity and quantum effects of individual molecules as well as methods of preparation and use
US7347953B2 (en) 2006-02-02 2008-03-25 International Business Machines Corporation Methods for forming improved self-assembled patterns of block copolymers
US20070208159A1 (en) 2006-03-02 2007-09-06 General Electric Company Poly(arylene ether) block copolymer compositions, methods, and articles
US7579278B2 (en) 2006-03-23 2009-08-25 Micron Technology, Inc. Topography directed patterning
KR100753542B1 (en) 2006-04-19 2007-08-30 삼성전자주식회사 Polymer resin composition, method of forming a pattern using the same and method of manufacturing a capacitor using the same
US8183161B2 (en) * 2006-09-12 2012-05-22 Tokyo Electron Limited Method and system for dry etching a hafnium containing material
US8343578B2 (en) 2006-10-30 2013-01-01 International Business Machines Corporation Self-assembled lamellar microdomains and method of alignment
US7514339B2 (en) 2007-01-09 2009-04-07 International Business Machines Corporation Method for fabricating shallow trench isolation structures using diblock copolymer patterning
US8394483B2 (en) 2007-01-24 2013-03-12 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
US7964107B2 (en) 2007-02-08 2011-06-21 Micron Technology, Inc. Methods using block copolymer self-assembly for sub-lithographic patterning
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US8283258B2 (en) * 2007-08-16 2012-10-09 Micron Technology, Inc. Selective wet etching of hafnium aluminum oxide films

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020113310A1 (en) * 2000-10-31 2002-08-22 Kim Ji-Soo Conducting line of semiconductor device and manufacturing method thereof using aluminum oxide layer as hard mask
US20030203652A1 (en) * 2002-04-25 2003-10-30 Tien-I Bao Method for forming a carbon doped oxide low-k insulating layer
US20030227033A1 (en) * 2002-06-05 2003-12-11 Micron Technology, Inc. Atomic layer-deposited HfA1O3 films for gate dielectrics
US7576441B2 (en) * 2003-06-17 2009-08-18 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
US20040259355A1 (en) * 2003-06-17 2004-12-23 Zhiping Yin Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
US20050056615A1 (en) * 2003-08-18 2005-03-17 Peter Moll Selective plasma etching process for aluminum oxide patterning
US20050062165A1 (en) * 2003-09-19 2005-03-24 International Business Machines Corporation Method of forming closed air gap interconnects and structures formed thereby
US20050067704A1 (en) * 2003-09-26 2005-03-31 Akio Kaneko Semiconductor device and method of manufacturing the same
US20060264057A1 (en) * 2004-04-27 2006-11-23 Manning H M Method and apparatus for fabricating a memory device with a dielectric etch stop layer
US20060046200A1 (en) * 2004-09-01 2006-03-02 Abatchev Mirzafer K Mask material conversion
US20070048976A1 (en) * 2005-08-31 2007-03-01 Prashant Raghu Methods of forming semiconductor constructions and capacitors
US20070111441A1 (en) * 2005-11-11 2007-05-17 Samsung Electronics Co., Ltd. Nonvolatile memory device and method of manufacturing the same
US20070190718A1 (en) * 2006-01-19 2007-08-16 Coolbaugh Douglas D Dual-damascene process to fabricate thick wire structure
US20080054483A1 (en) * 2006-08-29 2008-03-06 Lee John K Semiconductor devices including fine pitch arrays with staggered contacts and methods for designing and fabricating the same

Also Published As

Publication number Publication date
US8618000B2 (en) 2013-12-31
US8283258B2 (en) 2012-10-09
US20090047790A1 (en) 2009-02-19
US20120306059A1 (en) 2012-12-06

Similar Documents

Publication Publication Date Title
US8618000B2 (en) Selective wet etching of hafnium aluminum oxide films
KR101991198B1 (en) Spacers with rectangular profile and methods of forming the same
US8846540B2 (en) Semiconductor device with silicon-containing hard mask and method for fabricating the same
TW202002076A (en) Patterning method for semiconductor device
TW201905987A (en) Semiconductor device and method of forming same
JP2002543586A (en) Anti-reflective coatings and related methods
US20140162453A1 (en) Semiconductor device and method for fabricating the same
US6835670B2 (en) Method of manufacturing semiconductor device
CN110349908B (en) Self-aligned contact structure and method for forming the same
KR100995829B1 (en) Semiconductor Device and Method for manufacturing the device
KR20060122578A (en) Method for forming hard mask in semiconductor memory device
JP2005136097A (en) Method of manufacturing semiconductor device
US20230110190A1 (en) Methods of forming semiconductor devices
US20220148879A1 (en) Method for treating photoresist and self-aligned double patterning method
KR100910865B1 (en) Method for fabrication of semiconductor device
KR100764452B1 (en) Semiconductor device and method of manufacturing the semiconductor device
KR20010112086A (en) Method for fabricating semiconductor device
KR100275116B1 (en) Method for forming capacitor of semiconductor device
KR20000003511A (en) METHOD OF FORMING CAPACITOR OF SEMICONDUCTOR USING TiN FILM
KR100721546B1 (en) Capacitor and method of fabrication for the same
JP3902726B2 (en) Method for etching doped silicon dioxide with a high-density plasma etcher selective to undoped silicon dioxide
US20020160617A1 (en) Method of etching a dielectric layer
KR20050068363A (en) Method for fabricating thin pattern using the hard mask
KR100256801B1 (en) Method for forming contact hole in semiconductor device
CN117219624A (en) Method for forming deep trench capacitor

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION