US20130237026A1 - Finfet device having a strained region - Google Patents
Finfet device having a strained region Download PDFInfo
- Publication number
- US20130237026A1 US20130237026A1 US13/416,926 US201213416926A US2013237026A1 US 20130237026 A1 US20130237026 A1 US 20130237026A1 US 201213416926 A US201213416926 A US 201213416926A US 2013237026 A1 US2013237026 A1 US 2013237026A1
- Authority
- US
- United States
- Prior art keywords
- stress
- fin
- substrate
- layer
- region
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Abandoned
Links
- 239000004065 semiconductor Substances 0.000 claims abstract description 126
- 239000000758 substrate Substances 0.000 claims abstract description 108
- 238000004519 manufacturing process Methods 0.000 claims abstract description 16
- 238000000137 annealing Methods 0.000 claims abstract 6
- 238000000034 method Methods 0.000 claims description 222
- 238000002513 implantation Methods 0.000 claims description 48
- 239000007943 implant Substances 0.000 claims description 42
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 14
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical group N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 14
- 230000005669 field effect Effects 0.000 claims description 5
- 238000000151 deposition Methods 0.000 claims description 4
- 239000010410 layer Substances 0.000 description 125
- 239000002019 doping agent Substances 0.000 description 20
- 229910052751 metal Inorganic materials 0.000 description 18
- 239000002184 metal Substances 0.000 description 18
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 17
- 229910052710 silicon Inorganic materials 0.000 description 17
- 239000010703 silicon Substances 0.000 description 17
- 230000015572 biosynthetic process Effects 0.000 description 15
- 238000005516 engineering process Methods 0.000 description 15
- 125000006850 spacer group Chemical group 0.000 description 14
- 238000011282 treatment Methods 0.000 description 14
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 13
- 230000001939 inductive effect Effects 0.000 description 12
- 238000002955 isolation Methods 0.000 description 12
- 239000000463 material Substances 0.000 description 11
- 238000005530 etching Methods 0.000 description 10
- 229910052732 germanium Inorganic materials 0.000 description 10
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 10
- 239000000203 mixture Substances 0.000 description 10
- 229910052814 silicon oxide Inorganic materials 0.000 description 10
- 229910052785 arsenic Inorganic materials 0.000 description 8
- OKZIUSOJQLYFSE-UHFFFAOYSA-N difluoroboron Chemical compound F[B]F OKZIUSOJQLYFSE-UHFFFAOYSA-N 0.000 description 8
- 239000012535 impurity Substances 0.000 description 8
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 8
- 230000008901 benefit Effects 0.000 description 7
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 6
- 229910052787 antimony Inorganic materials 0.000 description 6
- WATWJIUSRGPENY-UHFFFAOYSA-N antimony atom Chemical compound [Sb] WATWJIUSRGPENY-UHFFFAOYSA-N 0.000 description 6
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 6
- 229910052796 boron Inorganic materials 0.000 description 6
- 239000003989 dielectric material Substances 0.000 description 6
- BHEPBYXIRTUNPN-UHFFFAOYSA-N hydridophosphorus(.) (triplet) Chemical compound [PH] BHEPBYXIRTUNPN-UHFFFAOYSA-N 0.000 description 6
- 230000001965 increasing effect Effects 0.000 description 6
- 238000000348 solid-phase epitaxy Methods 0.000 description 6
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 4
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 4
- -1 HfZrO Inorganic materials 0.000 description 4
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 4
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 4
- HIVGXUNKSAJJDN-UHFFFAOYSA-N [Si].[P] Chemical compound [Si].[P] HIVGXUNKSAJJDN-UHFFFAOYSA-N 0.000 description 4
- 238000000231 atomic layer deposition Methods 0.000 description 4
- 239000003990 capacitor Substances 0.000 description 4
- 229910052799 carbon Inorganic materials 0.000 description 4
- 238000005229 chemical vapour deposition Methods 0.000 description 4
- 230000000295 complement effect Effects 0.000 description 4
- 238000011065 in-situ storage Methods 0.000 description 4
- 230000000873 masking effect Effects 0.000 description 4
- 238000000206 photolithography Methods 0.000 description 4
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 4
- 229910010271 silicon carbide Inorganic materials 0.000 description 4
- 229910052724 xenon Inorganic materials 0.000 description 4
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 4
- 238000001312 dry etching Methods 0.000 description 3
- 238000005468 ion implantation Methods 0.000 description 3
- 230000003647 oxidation Effects 0.000 description 3
- 238000007254 oxidation reaction Methods 0.000 description 3
- 238000005240 physical vapour deposition Methods 0.000 description 3
- 238000001020 plasma etching Methods 0.000 description 3
- 238000001039 wet etching Methods 0.000 description 3
- 229910000980 Aluminium gallium arsenide Inorganic materials 0.000 description 2
- 229910015890 BF2 Inorganic materials 0.000 description 2
- 229910002601 GaN Inorganic materials 0.000 description 2
- 229910005540 GaP Inorganic materials 0.000 description 2
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 2
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 2
- JMASRVWKEDWRBT-UHFFFAOYSA-N Gallium nitride Chemical compound [Ga]#N JMASRVWKEDWRBT-UHFFFAOYSA-N 0.000 description 2
- 229910000673 Indium arsenide Inorganic materials 0.000 description 2
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 2
- MCMNRKCIXSYSNV-UHFFFAOYSA-N Zirconium dioxide Chemical compound O=[Zr]=O MCMNRKCIXSYSNV-UHFFFAOYSA-N 0.000 description 2
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 2
- 229910045601 alloy Inorganic materials 0.000 description 2
- 239000000956 alloy Substances 0.000 description 2
- RNQKDQAVIXDKAG-UHFFFAOYSA-N aluminum gallium Chemical compound [Al].[Ga] RNQKDQAVIXDKAG-UHFFFAOYSA-N 0.000 description 2
- 229910052786 argon Inorganic materials 0.000 description 2
- 150000001875 compounds Chemical class 0.000 description 2
- HZXMRANICFIONG-UHFFFAOYSA-N gallium phosphide Chemical compound [Ga]#P HZXMRANICFIONG-UHFFFAOYSA-N 0.000 description 2
- 229910052738 indium Inorganic materials 0.000 description 2
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 2
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 2
- 229910044991 metal oxide Inorganic materials 0.000 description 2
- 150000004706 metal oxides Chemical class 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- 238000000927 vapour-phase epitaxy Methods 0.000 description 2
- ITWBWJFEJCHKSN-UHFFFAOYSA-N 1,4,7-triazonane Chemical compound C1CNCCNCCN1 ITWBWJFEJCHKSN-UHFFFAOYSA-N 0.000 description 1
- 229910020968 MoSi2 Inorganic materials 0.000 description 1
- 229910012990 NiSi2 Inorganic materials 0.000 description 1
- 229910004481 Ta2O3 Inorganic materials 0.000 description 1
- 229910004490 TaAl Inorganic materials 0.000 description 1
- 229910004166 TaN Inorganic materials 0.000 description 1
- 229910004217 TaSi2 Inorganic materials 0.000 description 1
- 229910004200 TaSiN Inorganic materials 0.000 description 1
- 229910010037 TiAlN Inorganic materials 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- 230000004075 alteration Effects 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 230000018109 developmental process Effects 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(iv) oxide Chemical compound O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 1
- 229910000167 hafnon Inorganic materials 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 229910052748 manganese Inorganic materials 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 229920002120 photoresistant polymer Polymers 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 239000005368 silicate glass Substances 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 229910052709 silver Inorganic materials 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 229910052718 tin Inorganic materials 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 229910052726 zirconium Inorganic materials 0.000 description 1
- 229910021354 zirconium(IV) silicide Inorganic materials 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/26—Bombardment with radiation
- H01L21/263—Bombardment with radiation with high-energy radiation
- H01L21/265—Bombardment with radiation with high-energy radiation producing ion implantation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/7842—Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
- H01L29/7847—Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate using a memorization technique, e.g. re-crystallization under strain, bonding on a substrate having a thermal expansion coefficient different from the one of the region
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66787—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
- H01L29/66795—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/26—Bombardment with radiation
- H01L21/263—Bombardment with radiation with high-energy radiation
- H01L21/265—Bombardment with radiation with high-energy radiation producing ion implantation
- H01L21/26506—Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/26—Bombardment with radiation
- H01L21/263—Bombardment with radiation with high-energy radiation
- H01L21/265—Bombardment with radiation with high-energy radiation producing ion implantation
- H01L21/26586—Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/324—Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/10—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
- H01L29/1025—Channel region of field-effect devices
- H01L29/1029—Channel region of field-effect devices of field-effect transistors
- H01L29/1033—Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
- H01L29/1054—Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/26—Bombardment with radiation
- H01L21/263—Bombardment with radiation with high-energy radiation
- H01L21/265—Bombardment with radiation with high-energy radiation producing ion implantation
- H01L21/2654—Bombardment with radiation with high-energy radiation producing ion implantation in AIIIBV compounds
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/26—Bombardment with radiation
- H01L21/263—Bombardment with radiation with high-energy radiation
- H01L21/265—Bombardment with radiation with high-energy radiation producing ion implantation
- H01L21/2658—Bombardment with radiation with high-energy radiation producing ion implantation of a molecular ion, e.g. decaborane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66545—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
Definitions
- multi-gate devices include multi-gate fin-type transistors, also referred to as finFET devices, so called because the channel is formed on a “fin” that extends from the substrate. FinFET devices may allow for shrinking the gate width of device while providing a gate on the sides and/or top of the fin including the channel region.
- Another manner improving the performance of a semiconductor device is to provide stress on or strain in pertinent regions of the device. For example, inducing a higher tensile strain in a region provides for enhanced electron mobility, which may improve performance.
- fabrication methods and devices that provide for stress/strain in regions of a finFET device.
- FIG. 1 a is perspective view of an embodiment of a semiconductor device formed according to one or more aspects of the present disclosure.
- FIG. 1 b is a cross-sectional view of the semiconductor device.
- FIG. 2 is a flow chart illustrating an embodiment of a method of forming a semiconductor device according to various aspects of the present disclosure.
- FIGS. 3-8 illustrate cross-sectional views of one embodiment of a semiconductor device at various stages of fabrication according to the method of FIG. 2 .
- FIG. 9 is a flow chart illustrating another embodiment of a method of forming a semiconductor device according to various aspects of the present disclosure.
- FIGS. 10-14 illustrate cross-sectional views of one embodiment of a semiconductor device at various stages of fabrication according to the method of FIG. 9 .
- FIG. 15 is a flow chart illustrating another embodiment of a method of forming a semiconductor device according to various aspects of the present disclosure.
- FIGS. 16-22 illustrate cross-sectional views of one embodiment of a semiconductor device at various stages of fabrication according to the method of FIG. 15 .
- FIG. 23 is a flow chart illustrating another embodiment of a method of forming a semiconductor device according to various aspects of the present disclosure.
- FIGS. 24-29 illustrate cross-sectional views of one embodiment of a semiconductor device at various stages of fabrication according to the method of FIG. 23 .
- first and second features are formed in direct contact
- additional features may be formed interposing the first and second features, such that the first and second features may not be in direct contact.
- Various features may be arbitrarily drawn in different scales for simplicity and clarity.
- present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments. It is understood that those skilled in the art will be able to devise various equivalents that, although not specifically described herein that embody the principles of the present disclosure.
- the present disclosure presents embodiments in the form of multi-gate transistors or fin-type multi-gate transistors referred to herein as finFET devices.
- a device may include a p-type metal oxide semiconductor finFET device or an n-type metal oxide semiconductor finFET device.
- the finFET device may be a dual-gate device, tri-gate device, and/or other configuration.
- One of ordinary skill may recognize other embodiments of semiconductor devices that may benefit from aspects of the present disclosure.
- the semiconductor device 100 includes finFET type device(s).
- the semiconductor device 100 may be included in an IC such as a microprocessor, memory device, and/or other IC.
- the device 100 includes a substrate 102 , a plurality of fins 104 , a plurality of isolation structures 106 , and a gate structure 108 disposed on each of the fins 104 .
- Each of the plurality of fins 104 include a source/drain region denoted 110 where a source or drain feature is formed in, on, and/or surrounding the fin 104 .
- a channel region of the fin 104 underlies the gate structure 108 and is denoted as 112 .
- the substrate 102 may be a silicon substrate.
- the substrate 102 may comprise another elementary semiconductor, such as germanium; a compound semiconductor including silicon carbide, gallium arsenic, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide; an alloy semiconductor including SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and/or GaInAsP; or combinations thereof.
- the substrate 102 is a semiconductor on insulator (SOI).
- the isolation structures 106 may be formed of silicon oxide, silicon nitride, silicon oxynitride, fluoride-doped silicate glass (FSG), a low-k dielectric material, and/or other suitable insulating material.
- the isolation structures 106 may be shallow trench isolation (STI) features.
- the isolation structures are STI features and are formed by etching trenches in the substrate 102 . The trenches may then be filled with isolating material, followed by a chemical mechanical polish (CMP).
- CMP chemical mechanical polish
- Other fabrication techniques for the isolation structures 106 and/or the fin structure 104 are possible.
- the isolation structures 106 may include a multi-layer structure, for example, having one or more liner layers.
- the fin structures 104 may provide an active region where one or more devices are formed.
- a channel ( 112 ) of a transistor device is formed in the fin 104 .
- the fin 104 may comprise silicon or another elementary semiconductor, such as germanium; a compound semiconductor including silicon carbide, gallium arsenic, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide; an alloy semiconductor including SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and/or GaInAsP; or combinations thereof.
- the fins 104 may be fabricated using suitable processes including photolithography and etch processes.
- the photolithography process may include forming a photoresist layer (resist) overlying the substrate (e.g., on a silicon layer), exposing the resist to a pattern, performing post-exposure bake processes, and developing the resist to form a masking element including the resist.
- the masking element may then be used to protect regions of the substrate while an etch process forms a recesses into the silicon layer, leaving an extending fin.
- the recesses may be etched using reactive ion etch (RIE) and/or other suitable processes. Numerous other embodiments of methods to form the fins 104 on the substrate 102 may be suitable.
- RIE reactive ion etch
- the fins 104 are approximately 10 nanometer (nm) wide and between approximately 15 nm and 40 nm high. However, it should be understood that other dimensions may be used for the fins 104 .
- the height may be measured from the fin 104 protrusion above the isolation feature 106 .
- the fins 104 may be doped using n-type and/or p-type dopants.
- the gate structure 108 may include a gate dielectric layer, a gate electrode layer, and/or one or more additional layers.
- the gate structure 108 is a sacrificial gate structure such as formed in a replacement gate process used to form a metal gate structure.
- the gate structure 108 includes polysilicon.
- the gate structure includes a metal gate structure.
- a gate dielectric layer of the gate structure 108 may include silicon dioxide.
- the silicon oxide may be formed by suitable oxidation and/or deposition methods.
- the gate dielectric layer of the gate structure 108 may include a high-k dielectric layer such as hafnium oxide (HfO 2 ).
- the high-k dielectric layer may optionally include other high-k dielectrics, such as TiO 2 , HfZrO, Ta 2 O 3 , HfSiO 4 , ZrO 2 , ZrSiO 2 , combinations thereof, or other suitable material.
- the high-k dielectric layer may be formed by atomic layer deposition (ALD) and/or other suitable methods.
- the gate structure 108 may be a metal gate structure.
- the metal gate structure may include interfacial layer(s), gate dielectric layer(s), work function layer(s), fill metal layer(s) and/or other suitable materials for a metal gate structure.
- the metal gate structure 108 may further include capping layers, etch stop layers, and/or other suitable materials.
- the interfacial layer may include a dielectric material such as silicon oxide layer (SiO 2 ) or silicon oxynitride (SiON).
- the interfacial dielectric layer may be formed by chemical oxidation, thermal oxidation, atomic layer deposition (ALD), chemical vapor deposition (CVD), and/or other suitable formation process.
- Exemplary p-type work function metals that may be included in the gate structure 108 include TiN, TaN, Ru, Mo, Al, WN, ZrSi 2 , MoSi 2 , TaSi 2 , NiSi 2 , WN, other suitable p-type work function materials, or combinations thereof.
- Exemplary n-type work function metals that may be included in the gate structure 108 include Ti, Ag, TaAl, TaAlC, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, other suitable n-type work function materials, or combinations thereof.
- a work function value is associated with the material composition of the work function layer, and thus, the material of the first work function layer is chosen to tune its work function value so that a desired threshold voltage Vt is achieved in the device that is to be formed in the respective region.
- the work function layer(s) may be deposited by CVD, physical vapor deposition (PVD), and/or other suitable process.
- the fill metal layer may include Al, W, or Cu and/or other suitable materials.
- the fill metal may be formed by CVD, PVD, plating, and/or other suitable processes.
- the fill metal may be deposited over the work function metal layer(s), and thereby filling in the remaining portion of the trenches or openings formed by the removal of the dummy gate structure.
- the semiconductor device 100 may include other layers and/or features not specifically illustrated including additional source/drain regions, interlayer dielectric (ILD) layers, contacts, interconnects, and/or other suitable features.
- ILD interlayer dielectric
- the semiconductor device 100 illustrates a cut-line 114 that indicates the cross-section illustrated in FIG. 1 b.
- the semiconductor device 100 has a strain/stress in the fins 104 for example, in the channel region 112 .
- a tensile strain may be generated.
- the stress/strain may be obtained using one or more of the methods, such as the method 200 , the method 900 , the method 1500 , and/or the method 2300 , described below with reference to FIGS. 2 , 9 , 15 , and 23 respectively.
- the strain 116 is illustrated.
- the strain 116 is illustrative of a strain in the fin 104 that provides a tensile stress onto the channel region of the semiconductor device 100 .
- the strain 116 provides a symmetrical stress to the channel region. The tensile stress on the channel region may provide for increased mobility in the channel region.
- FIG. 2 illustrated is flow chart of a method 200 of semiconductor fabrication according to one or more aspects of the present disclosure.
- the method 200 may be implemented to increase a stress or stain provided in one or more regions of a semiconductor device such as a fin-type field effect transistor (finFET).
- FIGS. 3-8 are cross-sectional views of an embodiment of a semiconductor device 300 fabricated according to steps the method 200 of FIG. 2 . It should be understood that FIGS. 3-8 and the device 300 are representative only and not intended to be limiting.
- the method 200 includes steps having features of a complementary metal-oxide-semiconductor (CMOS) technology process flow and thus, are only described briefly herein. Additional steps may be performed before, after and/or during the method 200 . Similarly, one may recognize other portions of a device that may benefit from the methods described herein. It is also understood that parts of the semiconductor device 300 may be fabricated by CMOS technology and thus, some processes are only described briefly herein. Further, the semiconductor device 300 may include various other devices and features, such as additional transistors, bipolar junction transistors, resistors, capacitors, diodes, fuses, etc., but is simplified for a better understanding of the inventive concepts of the present disclosure. The semiconductor device 300 may include a plurality of devices interconnected.
- CMOS complementary metal-oxide-semiconductor
- the method 200 begins at block 202 where a semiconductor substrate is provided.
- the semiconductor substrate may be substantially similar to as discussed above with reference to the semiconductor substrate 102 of the semiconductor device 100 , described with reference to FIG. 1 .
- the semiconductor substrate includes a plurality of fins extending from the substrate.
- An isolation region e.g., STI feature
- a semiconductor device 300 includes a substrate 102 having a fin 104 .
- the semiconductor device 300 may be substantially similar to the semiconductor device 100 , described above with reference to FIG. 1 .
- a gate structure may be disposed on the substrate.
- the gate structure is formed on and/or around a fin extending from the substrate.
- the gate structure may include a plurality of layers such as gate dielectric layers, gate electrode layers, capping layers, hard mask layers, and/or other suitable layers.
- the gate structure is sacrificial such as provided in a replacement gate method of forming a metal gate structure.
- a gate structure 108 is disposed on the substrate 102 .
- gate structures 108 are disposed on the fin 104 .
- Each gate structure 108 traverses the fin 104 , separating a source region from a drain region and defining a channel region.
- the fin 104 is illustrated as including a source/drain region 302 and a channel region 304 .
- the gate structure 108 may be substantially similar to as discussed above with reference to the gate structure 108 of the semiconductor device 100 of FIG. 1 .
- the method 200 then proceeds to block 204 where an implantation process is performed.
- the process is a pre-amorphous implant (PAI).
- the PAI process may implants a target region of a substrate, damaging the lattice structure of the target region and forming amorphized regions.
- the implantation process may include implanting species such as germanium (Ge), silicon (Si), carbon (C), xenon (Xe), and/or other suitable species.
- the implantation process may be performed at an energy of between approximately 0.5 keV and approximately 30 keV.
- the implantation process is a substantially vertical implant (e.g., perpendicular to a top surface of the substrate.)
- the implantation process is a tilt implant.
- the tilt angle may be between approximately 0 degrees and approximately 30 degrees.
- a PAI 306 is incident the substrate 102 forming implanted (amorphized) regions 308 of the fin 104 .
- the amorphized regions 308 are formed in a source and drain region of semiconductor device 300
- the depth of the implantation can be controlled by the implant energy, implant species, and/or implant dosage.
- the PAI process may implant the substrate with silicon (Si) or germanium (Ge).
- the PAI process could utilize other implant species, such as Ar, Xe, BF 2 , As, In, other suitable implant species, or combinations thereof.
- a buffer layer is formed on the substrate.
- the buffer layer is between approximately 20 Angstroms (A) and approximately 100 A.
- the buffer layer may be between approximately 2 nm and approximately 5 nm in thickness. These thicknesses are by way of example and not intended to be limiting.
- the buffer layer is an oxide such as silicon oxide. However, other compositions may be possible. Referring to the example of FIG. 4 a , a buffer layer 402 is formed on the substrate 102 .
- an implantation e.g., PAI
- a buffer layer may be formed prior to the implantation process of block 204 .
- block 206 precedes block 204 .
- FIG. 4 b illustrates a PAI 306 while the buffer layer 402 is disposed on the substrate. The buffer layer 402 is formed prior to the PAI implantation 306 .
- the method 200 then proceeds to block 208 where a stress film is formed on the substrate.
- the stress film may also be referred to as a stress inducing film
- the stress layer is a stress memorization technique (SMT) film.
- the stress layer may be provided over the device in which stress is desired and the stress of the stress film can be created and transferred to an underlying features/layers.
- the stress film is silicon nitride (SiN).
- the stress film may have a thickness between approximately 200 A and approximately 400 A, by way of example.
- the stress film may have a compressive strain (e.g., be a compressive stress film).
- the compressive strain may be converted to a tensile strain in a target region of the fin after block 210 ).
- the stress film is between approximately 10 nm and approximately 40 nm in thickness.
- the stress film may be formed by plasma enhanced chemical vapor deposition (PECVD) and/or other suitable processes. Referring to the example of FIG. 5 , a stress film 502 is disposed on the substrate 102 .
- the method 200 then proceeds to block 210 where a stress inducing or transferring process is performed.
- the stress inducing/transferring process includes a treatment that generates and/or transfers a stress from the overlying (compressive) stress layer to an underlying region of the fin.
- the treatment includes an anneal process.
- the anneal may include a rapid thermal anneal (RTA), a single strand anneal (SSA), a laser anneal, a flash anneal, a furnace anneal and/or other suitable processes.
- the treatment transfers a compressive strain of the stress film to provide a tensile stress in the fin.
- a strain (or stress) 602 is provided in the fin 104 .
- the strain/stress 602 is generated and transferred by the stress film and/or the treatments discussed above.
- the stress/strain 602 may provide a symmetrical stress onto a channel region of the fin 104 .
- the method 200 then proceeds to block 212 where the stress film and/or buffer film is stripped from the substrate.
- the stress film and/or buffer film may be stripped using suitable etching techniques such as wet etch.
- the stress film and buffer film may be removed in a single process or a plurality of processes (e.g., distinct removal of each film).
- the strain induced by the stress film may remain after the stress layer is removed, for example, as the strain has been transferred and “memorized” by a region of the fin. Referring to the example of FIG. 7 , the stress film 502 and the buffer layer 402 have been removed from the substrate.
- the strain 602 in the fin 104 remains.
- a junction is formed by performing a source/drain implant.
- an source/drain extension region is formed.
- the junction may be formed using an ion implantation process.
- the implantation may include introducing n-type or p-type dopants. Exemplary dopants include arsenic, phosphorous, antimony, boron, boron di-fluoride, and/or other possible impurities.
- spacer elements may be formed abutting sidewalls of a gate structure prior to one or more junction implantation processes.
- the spacer elements may include silicon nitride, silicon oxide, silicon oxynitride, and/or other suitable dielectric materials.
- the sidewall spacers include a plurality of layers, for example, liner layers.
- the implantation process may be performed prior to the formation of any spacer elements and/or the spacer elements omitted.
- sidewall spacers 802 are disposed on the sidewalls of the gate structure 108 .
- An implant 804 is incident the substrate 102 .
- the implantation 804 may provide a suitably doped region in which to form a source/drain region associated with the corresponding gate structure 108 .
- the source/drain region may include an source/drain extension region.
- the method 200 may continue to include further CMOS or MOS technology processing to form various features known in the art.
- Exemplary processes that may be performed include the formation of contact features coupled to the gate structure and/or source/drain regions and a multi-layer interconnect (MLI) having via and interconnect lines that may interconnect one or more semiconductor devices formed on the substrate.
- MLI multi-layer interconnect
- the gate structure described above is a sacrificial gate structure and a replacement gate is formed using a suitable replacement gate (e.g., gate last) methodology.
- FIG. 9 illustrated is flow chart of a method 900 of semiconductor fabrication according to one or more aspects of the present disclosure.
- the method 900 may be implemented to increase a stress or stain provided in one or more regions of a semiconductor device such as a fin-type field effect transistor (finFET).
- FIGS. 10-14 are cross-sectional views of an embodiment of a semiconductor device 1000 fabricated according to steps the method 900 of FIG. 9 . It should be understood that FIGS. 10-14 and the device 1000 are representative only and not intended to be limiting.
- the method 900 includes steps having features of a complementary metal-oxide-semiconductor (CMOS) technology process flow and thus, are only described briefly herein. Additional steps may be performed before, after and/or during the method 900 . Similarly, one may recognize other portions of a device that may benefit from the methods described herein. It is also understood that parts of the semiconductor device 1000 may be fabricated by CMOS technology and thus, some processes are only described briefly herein. Further, the semiconductor device 1000 may include various other devices and features, such as additional transistors, bipolar junction transistors, resistors, capacitors, diodes, fuses, etc., but is simplified for a better understanding of the inventive concepts of the present disclosure. The semiconductor device 1000 may include a plurality of devices interconnected.
- CMOS complementary metal-oxide-semiconductor
- the method 900 begins at block 902 where a semiconductor substrate is provided.
- the semiconductor substrate may be substantially similar to as discussed above with reference to the semiconductor substrate 102 of the semiconductor device 100 , described with reference to FIG. 1 .
- the semiconductor substrate includes a plurality of fins extending from the substrate.
- An isolation region e.g., STI feature
- a semiconductor device 1000 includes a substrate 102 having a fin 104 .
- the semiconductor device 1000 may be substantially similar to the semiconductor device 100 , described above with reference to FIG. 1 .
- a gate structure may be disposed on the substrate.
- the gate structure is formed on and/or around a fin extending from the substrate.
- the gate structure may include a plurality of layers such as gate dielectric layers, gate electrode layers, capping layers, hard mask layers, and/or other suitable layers.
- the gate structure is sacrificial such as provided in a replacement gate method of forming a metal gate structure.
- a gate structure 108 is disposed on the substrate 102 .
- gate structures 108 are disposed on the fin 104 .
- Each gate structure 108 traverses the fin 104 , separating a source region from a drain region and defining a channel region.
- the fin 104 is illustrated as including a source/drain region 302 and a channel region 304 .
- the gate structure 108 may be substantially similar to as discussed above with reference to the gate structure 108 of the semiconductor device 100 of FIG. 1 .
- the method 900 then proceeds to block 904 where one or more implantation processes are performed.
- the implantation process(es) may include a pre-amorphous implantation (PAI) and/or a junction forming implantation process (e.g., a source/drain implant.)
- a PAI process may implant a target region of the substrate, damaging the lattice structure of the target region and forming amorphized regions.
- the implantation process may include implanting species such as germanium (Ge), silicon (Si), carbon (C), xenon (Xe), and/or other suitable species.
- the PAI process may be performed at an energy of between approximately 0.5 keV and approximately 30 keV.
- the PAI process is a substantially vertical implant (e.g., perpendicular to a top surface of the substrate.)
- the PAI process is a tilt implant.
- the tilt angle may be between approximately 0 degrees and approximately 30 degrees.
- the junction implant may be performed separately or in-situ with a PAI process.
- the junction implant may provide suitable dopants (e.g., n-type, p-type) to form a doped region.
- the implantation may include introducing n-type or p-type dopants. Exemplary dopants include arsenic, phosphorous, antimony, boron, boron di-fluoride, and/or other possible impurities.
- the junction implant of block 904 forms a source/drain extension region.
- an implant 1004 is incident the substrate 102 .
- the implant 1004 forms implanted regions 1002 of the fin 104 .
- the regions 1002 are amorphized.
- the implant 1004 may also or separately provide suitable dopants (e.g., n-type or p-type dopants) to provide a doped source/drain region 1002 of the device.
- the regions 1002 may include a source and drain extension region of semiconductor device 1000 .
- the depth of the implantation can be controlled by the implant energy, implant species, and/or implant dosage.
- the PAI process may implant the substrate with silicon (Si) or germanium (Ge).
- the PAI process could utilize other implant species, such as Ar, Xe, BF 2 , As, In, other suitable implant species, or combinations thereof.
- the junction implant may include providing suitable n-type or p-type dopants.
- a buffer layer is formed on the substrate.
- the buffer layer is between approximately 20 Angstroms (A) and approximately 100 A in thickness.
- the buffer layer may be between approximately 2 nm and approximately 5 nm in thickness. These thicknesses are by way of example and not intended to be limiting.
- the buffer layer is an oxide such as silicon oxide. However, other compositions may be possible. Referring to the example of FIG. 11 a , a buffer layer 402 is formed on the substrate 102 .
- an implantation e.g., PAI and/or junction implant
- a buffer layer may be formed prior to the implant process(es) of block 904 .
- block 906 may precede block 904 .
- FIG. 11 b illustrates an implant 1004 (e.g., PAI, source/drain extension implant) while the buffer layer 402 is disposed on the substrate.
- the buffer layer 402 is formed prior to the implantation 1004 .
- the method 900 then proceeds to block 908 where a stress film is formed on the substrate.
- the stress film may also be referred to as a stress inducing film.
- the stress layer is a stress memorization technique (SMT) film.
- the stress layer may be provided over the device in which stress is desired and the stress of the stress film can be created and transferred to an underlying features/layers.
- the stress film is silicon nitride (SiN).
- the stress film may have a thickness between approximately 200 A and approximately 400 A, by way of example.
- the stress film may have a compressive strain (i.e., be a compressive stress layer).
- the compressive strain of the stress layer may be converted to a tensile strain in a fin after block 910 ).
- the stress film is between approximately 10 nm and approximately 40 nm in thickness.
- the stress film may be formed by plasma enhanced chemical vapor deposition (PECVD) and/or other suitable processes.
- PECVD plasma enhanced chemical vapor deposition
- a stress film 502 is disposed on the substrate 102 .
- the stress film 502 is a compressive stress film (e.g., having a compressive strain).
- the method 900 then proceeds to block 910 where a stress inducing and/or junction forming process or treatment is performed.
- the treatment includes an anneal process.
- the anneal may include a rapid thermal anneal (RTA), a single strand anneal (SSA), a laser anneal, a flash anneal, a furnace anneal and/or other suitable processes.
- the treatment transfers a compressive strain of the stress film to provide a tensile stress to regions of the fin of the device.
- a strain (or stress) 1302 is provided in the fin 104 .
- the strain/stress 1302 is generated by the stress film and/or stress inducing process.
- the process of block 910 may also serve to form the appropriate p-n junction depth for the semiconductor device 1000 .
- the method 900 then proceeds to block 912 where the stress film and/or buffer film is stripped from the substrate.
- the stress film and/or buffer film may be stripped using suitable etching techniques such as wet etch.
- the stress film and buffer film may be removed in a single process or a plurality of processes (e.g., distinct removal of each film).
- the strain in the fin induced by the stress film may remain after the stress layer is removed, for example, the strain having been transferred and “memorized” by the fin. Referring to the example of FIG. 14 , the stress film 502 and the buffer layer 402 have been removed from the substrate.
- the strain 1302 remains in the fin 104 .
- the strain 1302 may provide a symmetrical strain on the channel region 304 of the semiconductor device.
- the method 900 may continue to include further CMOS or MOS technology processing to form various features known in the art.
- spacer elements may be formed abutting sidewalls of a gate structure.
- the spacer elements may include silicon nitride, silicon oxide, silicon oxynitride, and/or other suitable dielectric materials.
- the sidewall spacers include a plurality of layers, for example, liner layers.
- a source/drain region may be further formed (e.g., in addition to an extension region formed as described above).
- the source/drain regions may be formed processes such as ion implantation, thermal diffusion, epitaxial growth, and/or other suitable processes.
- a recess is etched in the fin at one or more of the source and/or drain regions.
- the recess may be etched using suitable etching technology such as dry etching, plasma etching, wet etching, and the like.
- the source/drain regions include epitaxial regions formed on and/or above the substrate.
- the epitaxial region may be formed in the etched recess of the fin. Care should be taken to preserve the strain provided by the method 900 in formation of the source/drain region.
- exemplary processes that may be performed include the formation of contact features coupled to the gate structure and/or source/drain regions and a multi-layer interconnect (MLI) having via and interconnect lines that may interconnect one or more semiconductor devices formed on the substrate.
- MLI multi-layer interconnect
- the gate structure described above is a sacrificial gate structure and a replacement gate is formed using a suitable replacement gate (e.g., gate last) methodology.
- FIG. 15 illustrated is flow chart of a method 1500 of semiconductor fabrication according to one or more aspects of the present disclosure.
- the method 1500 may be implemented to increase a stress or stain provided in one or more regions of a semiconductor device such as a fin-type field effect transistor (finFET).
- FIGS. 16-24 are cross-sectional views of an embodiment of a semiconductor device 1600 fabricated according to steps the method 1500 of FIG. 15 .
- FIGS. 16-24 provide a device 1600 that may be substantially similar to the device 100 , described above with reference to FIG. 1 . Specifically, FIGS.
- FIGS. 16 a , 17 a , 18 a , 19 a , 20 a , 21 a , 22 a , 23 a , and 24 a provide views of a semiconductor device corresponding to the cut 116 illustrated above at FIG. 1 .
- FIGS. 16 b , 17 b , 18 b , 19 b , 20 b , 21 b , 22 b , 23 b , and 24 b provide views of the corresponding semiconductor device according to the cut 114 illustrated above at FIG. 1 . It should be understood that FIGS. 16-24 and the device 1600 are representative only and not intended to be limiting.
- the method 1500 includes steps having features of a complementary metal-oxide-semiconductor (CMOS) technology process flow and thus, are only described briefly herein. Additional steps may be performed before, after and/or during the method 1500 . Similarly, one may recognize other portions of a device that may benefit from the methods described herein. It is also understood that parts of the semiconductor device 1600 may be fabricated by CMOS technology and thus, some processes are only described briefly herein. Further, the semiconductor device 1600 may include various other devices and features, such as additional transistors, bipolar junction transistors, resistors, capacitors, diodes, fuses, etc., but is simplified for a better understanding of the inventive concepts of the present disclosure. The semiconductor device 1600 may include a plurality of devices interconnected.
- CMOS complementary metal-oxide-semiconductor
- the method 1500 begins at block 1502 where a semiconductor substrate is provided.
- the semiconductor substrate may be substantially similar to as discussed above with reference to the semiconductor substrate 102 of the semiconductor device 100 , described with reference to FIG. 1 .
- the semiconductor substrate includes a plurality of fins extending from the substrate.
- An isolation region e.g., STI feature
- a semiconductor device 1600 includes a substrate 102 having a plurality of fins 104 .
- the semiconductor device 1600 may be substantially similar to the semiconductor device 100 , described above with reference to FIG. 1 .
- a gate structure may be disposed on the substrate.
- the gate structure is formed on and/or around a fin extending from the substrate.
- the gate structure may include a plurality of layers such as gate dielectric layers, gate electrode layers, capping layers, hard mask layers, and/or other suitable layers.
- the gate structure is sacrificial such as provided in a replacement gate method of forming a metal gate structure.
- a gate structure 108 is disposed on the substrate 102 .
- gate structures 108 are disposed on the fin 104 .
- Each gate structure 108 traverses the fin 104 , separating a source region from a drain region and defining a channel region.
- the gate structure 108 may be substantially similar to as discussed above with reference to the gate structure 108 of the semiconductor device 100 of FIG. 1 .
- a source/drain epitaxial region is grown on the substrate.
- a recess is etched in the fin at one or more of the source and/or drain regions.
- the recess may be etched using suitable etching technology such as dry etching, plasma etching, wet etching, and the like.
- one or more photolithography processes are used to form masking elements such that the remaining regions of the substrate are protected from the etching process.
- the epitaxial region is grown in the recessed region of the fin.
- the epitaxial region is grown in/on the fin(s).
- the epitaxial region may be grown by solid-phase epitaxy (SPE).
- SPE solid-phase epitaxy
- the SPE process may convert an amorphous region of semiconductor material to crystalline structure to form the epitaxial region.
- other epitaxial growth processes may be used such as vapor-phase epitaxy.
- the epitaxial region may include silicon, silicon phosphorus, (SiP), or silicon phosphorus carbide (SiPC).
- Other exemplary epitaxial compositions include germanium, gallium arsenide, gallium nitride, aluminum gallium indium phosphide, silicon germanium, silicon carbide, and/or other possible compositions.
- impurities are added to the epitaxial layer during the growth (e.g., in-situ doping).
- exemplary dopants include arsenic, phosphorous, antimony, boron, boron di-fluoride, and/or other possible impurities.
- a source/drain region 1602 is provided on the substrate 102 .
- the source/drain region 1602 includes an epitaxially-grown region.
- the method 1500 then proceeds to block 1504 where a pre-amorphous implantation (PAI) process is performed.
- the implantation process may include implanting species such as germanium (Ge), silicon (Si), carbon (C), xenon (Xe), and/or other suitable species.
- the implantation process may be performed at an energy of between approximately 0.5 keV and approximately 30 keV.
- the implantation process is a substantially vertical implant (e.g., perpendicular to a top surface of the substrate.)
- the implantation process is a tilt implant. The tilt angle may be between approximately 0 degrees and approximately 30 degrees. Referring to the example of FIG. 17 a / 17 b , a PAI 1702 is incident the substrate 102 forming implanted (amorphous) regions 1704 .
- a buffer layer is formed on the substrate.
- the buffer layer is between approximately 20 Angstroms (A) and approximately 100 A in thickness.
- the buffer layer may be between approximately 2 nm and approximately 5 nm in thickness. These thicknesses are by way of example and not intended to be limiting.
- the buffer layer is an oxide such as silicon oxide. However, other compositions may be possible. Referring to the example of FIG. 18 a / 18 b , a buffer layer 402 is formed on the substrate 102 .
- an implantation e.g., PAI
- a buffer layer may be formed prior to the implantation process of block 1506 .
- block 1508 may precede block 1506 .
- the method 1500 then proceeds to block 1510 where a stress film is formed on the substrate.
- the stress film may also be referred to as a stress inducing film
- the stress layer is a stress memorization technique (SMT) film.
- the stress layer may be provided over the device in which stress is desired.
- the stress of the stress film can be created and transferred to an underlying features/layers.
- the stress film is silicon nitride (SiN).
- the stress film may have a thickness between approximately 200 A and approximately 400 A, by way of example.
- the stress film may have a compressive strain (e.g., is a compressive stress layer).
- the compressive strain of the stress layer may be converted to a tensile strain in a fin region after block 1512 ).
- the stress film is between approximately 10 nm and approximately 40 nm in thickness.
- the stress film may be formed by plasma enhanced chemical vapor deposition (PECVD) and/or other suitable processes. Referring to the example of FIG. 17 a / 17 b , a stress film 502 is disposed on the substrate 102 .
- the stress film 502 may be a compressive stress film.
- the method 1500 then proceeds to block 1512 where a stress inducing and/or transferring process or treatment is performed.
- the treatment includes an anneal process.
- the anneal may include a rapid thermal anneal (RTA), a single strand anneal (SSA), a laser anneal, a flash anneal, a furnace anneal and/or other suitable processes.
- the treatment transfers a compressive strain of the stress film to a fin region to provide a tensile stress in a channel region of the fin.
- a strain (or stress) 2002 is provided creating stressed region 2004 from region 1704 .
- the strain/stress 2002 is generated by the stress film and/or stress inducing process.
- the strain/stress 2002 may provide a symmetrical stress onto a channel region of the fin 104 .
- the method 1500 then proceeds to block 1514 where the stress film and/or buffer film is stripped from the substrate.
- the stress film and/or buffer film may be stripped using suitable etching techniques such as wet etch.
- the stress film and buffer film may be removed in a single process or a plurality of processes (e.g., distinct removal of each film).
- the strain induced by the stress film may remain after the stress layer is removed, for example, as it is has been transferred and “memorized” by the fin region. Referring to the example of FIG. 21 a / 21 b , the stress film 502 and the buffer layer 402 have been removed from the substrate.
- the strain 2002 remains in the region 2004 of the fin 104 .
- the method 1500 then proceeds to block 1516 where a junction region is formed.
- the junction region may be formed using an ion implantation process to provide a doped region.
- the formation of the junction may include suitably doping a source/drain region of the semiconductor device (e.g., n-type or p-type dopants).
- the implantation may include introducing n-type or p-type dopants.
- Exemplary dopants include arsenic, phosphorous, antimony, boron, boron di-fluoride, and/or other possible impurities.
- spacer elements may be formed abutting sidewalls of a gate structure prior to one or more junction implantation processes.
- the spacer elements may include silicon nitride, silicon oxide, silicon oxynitride, and/or other suitable dielectric materials.
- the sidewall spacers include a plurality of layers, for example, liner layers.
- the implantation process may be performed prior to the formation of any spacer elements and/or the spacer elements omitted. Referring to the example of FIG. 22 a / 22 b , an implantation process 2202 is illustrated.
- the implantation 2202 may provide a suitably doped region 2204 (n-type or p-type dopants) in which to form a source/drain region associated with the corresponding gate structure 108 .
- the source/drain region may include an source/drain extension region.
- the method 1500 may continue to include further CMOS or MOS technology processing to form various features known in the art.
- Exemplary processes that may be performed include the formation of contact features coupled to the gate structure and/or source/drain regions and a multi-layer interconnect (MLI) having via and interconnect lines that may interconnect one or more semiconductor devices formed on the substrate.
- MLI multi-layer interconnect
- the gate structure described above is a sacrificial gate structure and a replacement gate is formed using a suitable replacement gate (e.g., gate last) methodology.
- FIG. 23 illustrated is flow chart of a method 2300 of semiconductor fabrication according to one or more aspects of the present disclosure.
- the method 2300 may be implemented to increase a stress or stain provided in one or more regions of a semiconductor device such as a fin-type field effect transistor (finFET).
- FIGS. 24-29 are cross-sectional views of an embodiment of a semiconductor device 2400 fabricated according to steps the method 2300 of FIG. 23 .
- the semiconductor device 2400 may be substantially similar to the device 100 , described above with reference to FIG. 1 .
- FIGS. 24 a , 25 a , 26 a , 27 a , 28 a , and 29 a provide views of a semiconductor device corresponding to the cut 116 illustrated above at FIG.
- FIGS. 24 b , 25 b , 26 b , 27 b , 28 b , and 29 b provide views of the corresponding semiconductor device according to the cut 114 illustrated above at FIG. 1 . It should be understood that FIGS. 24-29 and the device 2400 are representative only and not intended to be limiting.
- the method 2300 includes steps having features of a complementary metal-oxide-semiconductor (CMOS) technology process flow and thus, are only described briefly herein. Additional steps may be performed before, after and/or during the method 2300 . Similarly, one may recognize other portions of a device that may benefit from the methods described herein. It is also understood that parts of the semiconductor device 2400 may be fabricated by CMOS technology and thus, some processes are only described briefly herein. Further, the semiconductor device 2400 may include various other devices and features, such as additional transistors, bipolar junction transistors, resistors, capacitors, diodes, fuses, etc., but is simplified for a better understanding of the inventive concepts of the present disclosure. The semiconductor device 2400 may include a plurality of devices interconnected.
- CMOS complementary metal-oxide-semiconductor
- the method 2300 begins at block 2302 where a semiconductor substrate is provided.
- the semiconductor substrate may be substantially similar to as discussed above with reference to the semiconductor substrate 102 of the semiconductor device 100 , described with reference to FIG. 1 .
- the semiconductor substrate includes a plurality of fins extending from the substrate.
- An isolation region e.g., STI feature
- a semiconductor device 2400 includes a substrate 102 having a plurality of fins 104 .
- the semiconductor device 2400 may be substantially similar to the semiconductor device 100 , described above with reference to FIG. 1 .
- a gate structure may be disposed on the substrate.
- the gate structure is formed on and/or around a fin extending from the substrate.
- the gate structure may include a plurality of layers such as gate dielectric layers, gate electrode layers, capping layers, hard mask layers, and/or other suitable layers.
- the gate structure is sacrificial such as provided in a replacement gate method of forming a metal gate structure.
- a gate structure 108 is disposed on the substrate 102 .
- gate structures 108 are disposed on the fin 104 .
- Each gate structure 108 traverses the fin 104 , separating a source region from a drain region and defining a channel region.
- the gate structure 108 may be substantially similar to as discussed above with reference to the gate structure 108 of the semiconductor device 100 of FIG. 1 .
- a source/drain epitaxial region is grown on the substrate.
- a recess is etched in the fin at one or more of the source and/or drain regions.
- the recess may be etched using suitable etching technology such as dry etching, plasma etching, wet etching, and the like.
- one or more photolithography processes are used to form masking elements such that the remaining regions of the substrate are protected from the etching process.
- the epitaxial region is grown in the recessed region of the fin.
- the epitaxial region is grown in/on/surrounding the fin(s).
- the epitaxial region may be grown by solid-phase epitaxy (SPE).
- SPE solid-phase epitaxy
- the SPE process may convert an amorphous region of semiconductor material to crystalline structure to form the epitaxial region.
- other epitaxial growth processes may be used such as vapor-phase epitaxy.
- the epitaxial region may include silicon, silicon phosphorus, (SiP), or silicon phosphorus carbide (SiPC).
- Other exemplary epitaxial compositions include germanium, gallium arsenide, gallium nitride, aluminum gallium indium phosphide, silicon germanium, silicon carbide, and/or other possible compositions.
- impurities are added to the epitaxial layer during the growth (e.g., in-situ doping).
- exemplary dopants include arsenic, phosphorous, antimony, boron, boron di-fluoride, and/or other possible impurities.
- a source/drain region 2402 is provided on the substrate 102 .
- the source/drain region 2402 includes an epitaxially-grown region.
- the method 2300 then proceeds to block 2306 where one or more implantation processes are performed.
- the implantation process(es) may include a pre-amorphous implantation (PAI) and/or a junction forming implantation process (e.g., a source/drain extension forming implant.)
- a PAI process may implant a target region of the substrate, damaging the lattice structure of the target region and forming amorphized regions.
- the implantation process may include implanting species such as germanium (Ge), silicon (Si), carbon (C), xenon (Xe), and/or other suitable species.
- the PAI process may be performed at an energy of between approximately 0.5 keV and approximately 30 keV.
- the PAI process is a substantially vertical implant (e.g., perpendicular to a top surface of the substrate.) In an embodiment, the PAI process is a tilt implant. The tilt angle may be between approximately 0 degrees and approximately 30 degrees.
- the junction implantation may be performed separately or in-situ with a PAI process. The junction implantation may provide suitable dopants (e.g., n-type, p-type) to form a doped region to provide a suitable p-n junction for the semiconductor device. The implantation to form the junction may include introducing n-type or p-type dopants. Exemplary dopants include arsenic, phosphorous, antimony, boron, boron di-fluoride, and/or other possible impurities.
- an implantation 2502 is incident the substrate 102 .
- the implantation 2502 forms implanted regions 2504 of the region 2402 , described above with reference to FIG. 24 .
- the regions 2504 are amorphized.
- the implantation 2502 may provide suitable dopants (e.g., n-type or p-type dopants) to provide a suitably doped source/drain region 2504 of the device.
- the regions 2504 provide a source and drain region of semiconductor device 2400 .
- a buffer layer is formed on the substrate.
- the buffer layer is between approximately 20 Angstroms (A) and approximately 100 A in thickness.
- the buffer layer may be between approximately 2 nm and approximately 5 nm in thickness. These thicknesses are by way of example and not intended to be limiting.
- the buffer layer is an oxide such as silicon oxide. However, other compositions may be possible. Referring to the example of FIG. 26 a / 26 b , a buffer layer 402 is formed on the substrate 102 .
- an implantation e.g., PAI and/or junction implant
- a buffer layer may be formed prior to the implantation process of block 2306 .
- block 2308 may precede block 2306 .
- the method 2300 then proceeds to block 2310 where a stress film is formed on the substrate.
- the stress film may also be referred to as a stress inducing film
- the stress layer is a stress memorization technique (SMT) film.
- the stress layer may be provided over the device in which stress is desired and the stress of the stress film can be created and transferred to an underlying features/layers.
- the stress film is silicon nitride (SiN).
- the stress film may have a thickness between approximately 200 A and approximately 400 A, by way of example.
- the stress film may have a compressive strain—e.g., be a compressive stress film.
- the compressive strain of the stress film may be converted to a tensile strain in a fin after block 1512 ).
- the stress film is between approximately 10 nm and approximately 40 nm in thickness.
- the stress film may be formed by plasma enhanced chemical vapor deposition (PECVD) and/or other suitable processes. Referring to the example of FIG. 27 a / 27 b , a stress film 502 is disposed on the substrate 102 .
- the stress film 502 may be a compressive stress film.
- the method 2300 then proceeds to block 2312 where a stress inducing and/or junction forming process or treatment is performed.
- the treatment includes an anneal process.
- the anneal may include a rapid thermal anneal (RTA), a single strand anneal (SSA), a laser anneal, a flash anneal, a furnace anneal and/or other suitable processes.
- the treatment transfers a compressive strain of the stress film to provide a tensile stress to underlying regions of the device, such as the fin and/or a channel region of the fin. Referring to the example of FIG. 28 a / 28 b , a strain (or stress) 2802 is provided in region 2804 .
- the strain/stress 2802 is generated by the stress film and/or treatment processes.
- the process of block 2312 may also serve to form the appropriate p-n junction depth for the semiconductor device 2400 .
- the method 2300 then proceeds to block 2314 where the stress film and/or buffer film is stripped from the substrate.
- the stress film and/or buffer film may be stripped using suitable etching techniques such as wet etch.
- the stress film and buffer film may be removed in a single process or a plurality of processes (e.g., distinct removal of each film).
- the strain induced by the stress film may remain after the stress layer is removed, for example, as the stress has been transferred and “memorized” by the fin region. Referring to the example of FIG. 29 a / 29 b , the stress film 502 and the buffer layer 402 have been removed from the substrate.
- the strain 2802 remains in the fin 104 .
- the strain 2802 may provide a symmetrical strain on the channel region of the semiconductor device 2400 .
- the method 2300 may continue to include further CMOS or MOS technology processing to form various features known in the art.
- Further exemplary processes that may be performed include the formation of contact features coupled to the gate structure and/or source/drain regions and a multi-layer interconnect (MLI) having via and interconnect lines that may interconnect one or more semiconductor devices formed on the substrate.
- MLI multi-layer interconnect
- the gate structure described above is a sacrificial gate structure and a replacement gate is formed using a suitable replacement gate (e.g., gate last) methodology.
- a stressed/strain region in one or more regions of a finFET device.
- the strained region is provided by transferring stress from an overlying (sacrificial) stressing layer.
- the strained region may provide a stress onto the channel region of the finFET device (e.g., underlying the gate structure).
- a tensile strain is provided in the channel region.
- the embodiments disclosed herein offer different disclosure, and that they may make various changes, substitutions and alterations herein without departing from the spirit and scope of the present disclosure.
- the embodiments disclosed herein describes formation of a tensile stress in a fin region.
- other embodiments may include forming a compressive stress in fin region by providing the relevant stress layer (e.g., stress-transferring layer) overlying the fin region.
- Examples of compressive stress generating films may include metal nitride compositions.
Abstract
A method of fabricating a semiconductor device includes providing a substrate having a fin disposed thereon. A gate structure is formed on the fin. The gate structure interfaces at least two sides of the fin. A stress film is formed on the substrate including on the fin. The substrate including the stress film is annealed. The annealing provides a tensile strain in a channel region of the fin. For example, a compressive strain in the stress film may be transferred to form a tensile stress in the channel region of the fin.
Description
- The semiconductor integrated circuit (IC) industry has experienced rapid growth. Over the course of this growth, functional density of the devices has generally increased by the device feature size or geometry has decreased. This scaling down process generally provides benefits by increasing production efficiency, lower costs, and/or improving performance. Such scaling down has also increased the complexities of processing and manufacturing ICs and, for these advances to be realized similar developments in IC fabrication are needed.
- Likewise, the demand for increased performance and shrinking geometry from ICs has brought the introduction of multi-gate devices. These multi-gate devices include multi-gate fin-type transistors, also referred to as finFET devices, so called because the channel is formed on a “fin” that extends from the substrate. FinFET devices may allow for shrinking the gate width of device while providing a gate on the sides and/or top of the fin including the channel region.
- Another manner improving the performance of a semiconductor device is to provide stress on or strain in pertinent regions of the device. For example, inducing a higher tensile strain in a region provides for enhanced electron mobility, which may improve performance. Thus, what is desired are fabrication methods and devices that provide for stress/strain in regions of a finFET device.
- Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is emphasized that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
-
FIG. 1 a is perspective view of an embodiment of a semiconductor device formed according to one or more aspects of the present disclosure.FIG. 1 b is a cross-sectional view of the semiconductor device. -
FIG. 2 is a flow chart illustrating an embodiment of a method of forming a semiconductor device according to various aspects of the present disclosure. -
FIGS. 3-8 illustrate cross-sectional views of one embodiment of a semiconductor device at various stages of fabrication according to the method ofFIG. 2 . -
FIG. 9 is a flow chart illustrating another embodiment of a method of forming a semiconductor device according to various aspects of the present disclosure. -
FIGS. 10-14 illustrate cross-sectional views of one embodiment of a semiconductor device at various stages of fabrication according to the method ofFIG. 9 . -
FIG. 15 is a flow chart illustrating another embodiment of a method of forming a semiconductor device according to various aspects of the present disclosure. -
FIGS. 16-22 illustrate cross-sectional views of one embodiment of a semiconductor device at various stages of fabrication according to the method ofFIG. 15 . -
FIG. 23 is a flow chart illustrating another embodiment of a method of forming a semiconductor device according to various aspects of the present disclosure. -
FIGS. 24-29 illustrate cross-sectional views of one embodiment of a semiconductor device at various stages of fabrication according to the method ofFIG. 23 . - It is to be understood that the following disclosure provides many different embodiments, or examples, for implementing different features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. Moreover, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed interposing the first and second features, such that the first and second features may not be in direct contact. Various features may be arbitrarily drawn in different scales for simplicity and clarity. Additionally, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments. It is understood that those skilled in the art will be able to devise various equivalents that, although not specifically described herein that embody the principles of the present disclosure.
- It is also noted that the present disclosure presents embodiments in the form of multi-gate transistors or fin-type multi-gate transistors referred to herein as finFET devices. Such a device may include a p-type metal oxide semiconductor finFET device or an n-type metal oxide semiconductor finFET device. The finFET device may be a dual-gate device, tri-gate device, and/or other configuration. One of ordinary skill may recognize other embodiments of semiconductor devices that may benefit from aspects of the present disclosure.
- Illustrated in
FIG. 1 is asemiconductor device 100. Thesemiconductor device 100 includes finFET type device(s). Thesemiconductor device 100 may be included in an IC such as a microprocessor, memory device, and/or other IC. Thedevice 100 includes asubstrate 102, a plurality offins 104, a plurality ofisolation structures 106, and agate structure 108 disposed on each of thefins 104. Each of the plurality offins 104 include a source/drain region denoted 110 where a source or drain feature is formed in, on, and/or surrounding thefin 104. A channel region of thefin 104 underlies thegate structure 108 and is denoted as 112. - The
substrate 102 may be a silicon substrate. Alternatively, thesubstrate 102 may comprise another elementary semiconductor, such as germanium; a compound semiconductor including silicon carbide, gallium arsenic, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide; an alloy semiconductor including SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and/or GaInAsP; or combinations thereof. In an embodiment, thesubstrate 102 is a semiconductor on insulator (SOI). - The
isolation structures 106 may be formed of silicon oxide, silicon nitride, silicon oxynitride, fluoride-doped silicate glass (FSG), a low-k dielectric material, and/or other suitable insulating material. Theisolation structures 106 may be shallow trench isolation (STI) features. In an embodiment, the isolation structures are STI features and are formed by etching trenches in thesubstrate 102. The trenches may then be filled with isolating material, followed by a chemical mechanical polish (CMP). Other fabrication techniques for theisolation structures 106 and/or thefin structure 104 are possible. Theisolation structures 106 may include a multi-layer structure, for example, having one or more liner layers. - The
fin structures 104 may provide an active region where one or more devices are formed. In an embodiment, a channel (112) of a transistor device is formed in thefin 104. Thefin 104 may comprise silicon or another elementary semiconductor, such as germanium; a compound semiconductor including silicon carbide, gallium arsenic, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide; an alloy semiconductor including SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and/or GaInAsP; or combinations thereof. Thefins 104 may be fabricated using suitable processes including photolithography and etch processes. The photolithography process may include forming a photoresist layer (resist) overlying the substrate (e.g., on a silicon layer), exposing the resist to a pattern, performing post-exposure bake processes, and developing the resist to form a masking element including the resist. The masking element may then be used to protect regions of the substrate while an etch process forms a recesses into the silicon layer, leaving an extending fin. The recesses may be etched using reactive ion etch (RIE) and/or other suitable processes. Numerous other embodiments of methods to form thefins 104 on thesubstrate 102 may be suitable. - In an embodiment, the
fins 104 are approximately 10 nanometer (nm) wide and between approximately 15 nm and 40 nm high. However, it should be understood that other dimensions may be used for thefins 104. The height may be measured from the fin 104 protrusion above theisolation feature 106. Thefins 104 may be doped using n-type and/or p-type dopants. - The
gate structure 108 may include a gate dielectric layer, a gate electrode layer, and/or one or more additional layers. In an embodiment, thegate structure 108 is a sacrificial gate structure such as formed in a replacement gate process used to form a metal gate structure. In an embodiment, thegate structure 108 includes polysilicon. In an embodiment, the gate structure includes a metal gate structure. - A gate dielectric layer of the
gate structure 108 may include silicon dioxide. The silicon oxide may be formed by suitable oxidation and/or deposition methods. Alternatively, the gate dielectric layer of thegate structure 108 may include a high-k dielectric layer such as hafnium oxide (HfO2). Alternatively, the high-k dielectric layer may optionally include other high-k dielectrics, such as TiO2, HfZrO, Ta2O3, HfSiO4, ZrO2, ZrSiO2, combinations thereof, or other suitable material. The high-k dielectric layer may be formed by atomic layer deposition (ALD) and/or other suitable methods. - In an embodiment, the
gate structure 108 may be a metal gate structure. The metal gate structure may include interfacial layer(s), gate dielectric layer(s), work function layer(s), fill metal layer(s) and/or other suitable materials for a metal gate structure. In other embodiments, themetal gate structure 108 may further include capping layers, etch stop layers, and/or other suitable materials. The interfacial layer may include a dielectric material such as silicon oxide layer (SiO2) or silicon oxynitride (SiON). The interfacial dielectric layer may be formed by chemical oxidation, thermal oxidation, atomic layer deposition (ALD), chemical vapor deposition (CVD), and/or other suitable formation process. - Exemplary p-type work function metals that may be included in the
gate structure 108 include TiN, TaN, Ru, Mo, Al, WN, ZrSi2, MoSi2, TaSi2, NiSi2, WN, other suitable p-type work function materials, or combinations thereof. Exemplary n-type work function metals that may be included in thegate structure 108 include Ti, Ag, TaAl, TaAlC, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, other suitable n-type work function materials, or combinations thereof. A work function value is associated with the material composition of the work function layer, and thus, the material of the first work function layer is chosen to tune its work function value so that a desired threshold voltage Vt is achieved in the device that is to be formed in the respective region. The work function layer(s) may be deposited by CVD, physical vapor deposition (PVD), and/or other suitable process. The fill metal layer may include Al, W, or Cu and/or other suitable materials. The fill metal may be formed by CVD, PVD, plating, and/or other suitable processes. The fill metal may be deposited over the work function metal layer(s), and thereby filling in the remaining portion of the trenches or openings formed by the removal of the dummy gate structure. - The
semiconductor device 100 may include other layers and/or features not specifically illustrated including additional source/drain regions, interlayer dielectric (ILD) layers, contacts, interconnects, and/or other suitable features. - It is noted that the
semiconductor device 100 illustrates a cut-line 114 that indicates the cross-section illustrated inFIG. 1 b. - The
semiconductor device 100 has a strain/stress in thefins 104 for example, in thechannel region 112. In an embodiment, a tensile strain may be generated. The stress/strain may be obtained using one or more of the methods, such as themethod 200, themethod 900, themethod 1500, and/or themethod 2300, described below with reference toFIGS. 2 , 9, 15, and 23 respectively. Thestrain 116 is illustrated. In an embodiment, thestrain 116 is illustrative of a strain in thefin 104 that provides a tensile stress onto the channel region of thesemiconductor device 100. In an embodiment, thestrain 116 provides a symmetrical stress to the channel region. The tensile stress on the channel region may provide for increased mobility in the channel region. - Referring now to
FIG. 2 , illustrated is flow chart of amethod 200 of semiconductor fabrication according to one or more aspects of the present disclosure. Themethod 200 may be implemented to increase a stress or stain provided in one or more regions of a semiconductor device such as a fin-type field effect transistor (finFET).FIGS. 3-8 are cross-sectional views of an embodiment of asemiconductor device 300 fabricated according to steps themethod 200 ofFIG. 2 . It should be understood thatFIGS. 3-8 and thedevice 300 are representative only and not intended to be limiting. - It should be further understood that the
method 200 includes steps having features of a complementary metal-oxide-semiconductor (CMOS) technology process flow and thus, are only described briefly herein. Additional steps may be performed before, after and/or during themethod 200. Similarly, one may recognize other portions of a device that may benefit from the methods described herein. It is also understood that parts of thesemiconductor device 300 may be fabricated by CMOS technology and thus, some processes are only described briefly herein. Further, thesemiconductor device 300 may include various other devices and features, such as additional transistors, bipolar junction transistors, resistors, capacitors, diodes, fuses, etc., but is simplified for a better understanding of the inventive concepts of the present disclosure. Thesemiconductor device 300 may include a plurality of devices interconnected. - The
method 200 begins atblock 202 where a semiconductor substrate is provided. The semiconductor substrate may be substantially similar to as discussed above with reference to thesemiconductor substrate 102 of thesemiconductor device 100, described with reference toFIG. 1 . In an embodiment, the semiconductor substrate includes a plurality of fins extending from the substrate. An isolation region (e.g., STI feature) may interpose the fins as discussed above with reference to thesemiconductor device 100. Referring to the example ofFIG. 3 , asemiconductor device 300 includes asubstrate 102 having afin 104. Thesemiconductor device 300 may be substantially similar to thesemiconductor device 100, described above with reference toFIG. 1 . - A gate structure may be disposed on the substrate. In an embodiment, the gate structure is formed on and/or around a fin extending from the substrate. The gate structure may include a plurality of layers such as gate dielectric layers, gate electrode layers, capping layers, hard mask layers, and/or other suitable layers. In an embodiment, the gate structure is sacrificial such as provided in a replacement gate method of forming a metal gate structure. Referring to the example of
FIG. 3 , agate structure 108 is disposed on thesubstrate 102. Specifically,gate structures 108 are disposed on thefin 104. Eachgate structure 108 traverses thefin 104, separating a source region from a drain region and defining a channel region. In thesemiconductor device 300, thefin 104 is illustrated as including a source/drain region 302 and achannel region 304. Thegate structure 108 may be substantially similar to as discussed above with reference to thegate structure 108 of thesemiconductor device 100 ofFIG. 1 . - The
method 200 then proceeds to block 204 where an implantation process is performed. In an embodiment, the process is a pre-amorphous implant (PAI). The PAI process may implants a target region of a substrate, damaging the lattice structure of the target region and forming amorphized regions. The implantation process may include implanting species such as germanium (Ge), silicon (Si), carbon (C), xenon (Xe), and/or other suitable species. The implantation process may be performed at an energy of between approximately 0.5 keV and approximately 30 keV. In an embodiment, the implantation process is a substantially vertical implant (e.g., perpendicular to a top surface of the substrate.) In an embodiment, the implantation process is a tilt implant. The tilt angle may be between approximately 0 degrees and approximately 30 degrees. Referring to the example ofFIG. 3 , aPAI 306 is incident thesubstrate 102 forming implanted (amorphized)regions 308 of thefin 104. In the present embodiment, theamorphized regions 308 are formed in a source and drain region ofsemiconductor device 300 - The depth of the implantation can be controlled by the implant energy, implant species, and/or implant dosage. The PAI process may implant the substrate with silicon (Si) or germanium (Ge). Alternatively, the PAI process could utilize other implant species, such as Ar, Xe, BF2, As, In, other suitable implant species, or combinations thereof.
- The
method 200 then proceeds to block 206 where a buffer layer is formed on the substrate. In an embodiment, the buffer layer is between approximately 20 Angstroms (A) and approximately 100 A. In an embodiment, the buffer layer may be between approximately 2 nm and approximately 5 nm in thickness. These thicknesses are by way of example and not intended to be limiting. In an embodiment, the buffer layer is an oxide such as silicon oxide. However, other compositions may be possible. Referring to the example ofFIG. 4 a, abuffer layer 402 is formed on thesubstrate 102. - As described above, an implantation (e.g., PAI) is performed prior to the formation of a buffer layer. However, in other embodiments, a buffer layer may be formed prior to the implantation process of
block 204. In other words, block 206 precedesblock 204. By way of example,FIG. 4 b illustrates aPAI 306 while thebuffer layer 402 is disposed on the substrate. Thebuffer layer 402 is formed prior to thePAI implantation 306. - The
method 200 then proceeds to block 208 where a stress film is formed on the substrate. The stress film may also be referred to as a stress inducing film In an embodiment, the stress layer is a stress memorization technique (SMT) film. The stress layer may be provided over the device in which stress is desired and the stress of the stress film can be created and transferred to an underlying features/layers. In an embodiment, the stress film is silicon nitride (SiN). The stress film may have a thickness between approximately 200 A and approximately 400 A, by way of example. The stress film may have a compressive strain (e.g., be a compressive stress film). (It is noted that the compressive strain may be converted to a tensile strain in a target region of the fin after block 210). In an embodiment, the stress film is between approximately 10 nm and approximately 40 nm in thickness. The stress film may be formed by plasma enhanced chemical vapor deposition (PECVD) and/or other suitable processes. Referring to the example ofFIG. 5 , astress film 502 is disposed on thesubstrate 102. - The
method 200 then proceeds to block 210 where a stress inducing or transferring process is performed. The stress inducing/transferring process includes a treatment that generates and/or transfers a stress from the overlying (compressive) stress layer to an underlying region of the fin. In an embodiment, the treatment includes an anneal process. The anneal may include a rapid thermal anneal (RTA), a single strand anneal (SSA), a laser anneal, a flash anneal, a furnace anneal and/or other suitable processes. In an embodiment, the treatment transfers a compressive strain of the stress film to provide a tensile stress in the fin. Referring to the example ofFIG. 6 , a strain (or stress) 602 is provided in thefin 104. The strain/stress 602 is generated and transferred by the stress film and/or the treatments discussed above. The stress/strain 602 may provide a symmetrical stress onto a channel region of thefin 104. - The
method 200 then proceeds to block 212 where the stress film and/or buffer film is stripped from the substrate. The stress film and/or buffer film may be stripped using suitable etching techniques such as wet etch. The stress film and buffer film may be removed in a single process or a plurality of processes (e.g., distinct removal of each film). The strain induced by the stress film may remain after the stress layer is removed, for example, as the strain has been transferred and “memorized” by a region of the fin. Referring to the example ofFIG. 7 , thestress film 502 and thebuffer layer 402 have been removed from the substrate. Thestrain 602 in thefin 104 remains. - The
method 200 then proceeds to block 214 where a junction is formed by performing a source/drain implant. In an embodiment, an source/drain extension region is formed. The junction may be formed using an ion implantation process. The implantation may include introducing n-type or p-type dopants. Exemplary dopants include arsenic, phosphorous, antimony, boron, boron di-fluoride, and/or other possible impurities. In an embodiment, spacer elements may be formed abutting sidewalls of a gate structure prior to one or more junction implantation processes. The spacer elements may include silicon nitride, silicon oxide, silicon oxynitride, and/or other suitable dielectric materials. In embodiments, the sidewall spacers include a plurality of layers, for example, liner layers. In other embodiments, the implantation process may be performed prior to the formation of any spacer elements and/or the spacer elements omitted. Referring to the example ofFIG. 8 ,sidewall spacers 802 are disposed on the sidewalls of thegate structure 108. Animplant 804 is incident thesubstrate 102. Theimplantation 804 may provide a suitably doped region in which to form a source/drain region associated with thecorresponding gate structure 108. The source/drain region may include an source/drain extension region. - The
method 200 may continue to include further CMOS or MOS technology processing to form various features known in the art. Exemplary processes that may be performed include the formation of contact features coupled to the gate structure and/or source/drain regions and a multi-layer interconnect (MLI) having via and interconnect lines that may interconnect one or more semiconductor devices formed on the substrate. In an embodiment, the gate structure described above is a sacrificial gate structure and a replacement gate is formed using a suitable replacement gate (e.g., gate last) methodology. - Referring now to
FIG. 9 , illustrated is flow chart of amethod 900 of semiconductor fabrication according to one or more aspects of the present disclosure. Themethod 900 may be implemented to increase a stress or stain provided in one or more regions of a semiconductor device such as a fin-type field effect transistor (finFET).FIGS. 10-14 are cross-sectional views of an embodiment of asemiconductor device 1000 fabricated according to steps themethod 900 ofFIG. 9 . It should be understood thatFIGS. 10-14 and thedevice 1000 are representative only and not intended to be limiting. - It should be further understood that the
method 900 includes steps having features of a complementary metal-oxide-semiconductor (CMOS) technology process flow and thus, are only described briefly herein. Additional steps may be performed before, after and/or during themethod 900. Similarly, one may recognize other portions of a device that may benefit from the methods described herein. It is also understood that parts of thesemiconductor device 1000 may be fabricated by CMOS technology and thus, some processes are only described briefly herein. Further, thesemiconductor device 1000 may include various other devices and features, such as additional transistors, bipolar junction transistors, resistors, capacitors, diodes, fuses, etc., but is simplified for a better understanding of the inventive concepts of the present disclosure. Thesemiconductor device 1000 may include a plurality of devices interconnected. - The
method 900 begins atblock 902 where a semiconductor substrate is provided. The semiconductor substrate may be substantially similar to as discussed above with reference to thesemiconductor substrate 102 of thesemiconductor device 100, described with reference toFIG. 1 . In an embodiment, the semiconductor substrate includes a plurality of fins extending from the substrate. An isolation region (e.g., STI feature) may interpose the fins as discussed above with reference to thesemiconductor device 100. Referring to the example ofFIG. 10 , asemiconductor device 1000 includes asubstrate 102 having afin 104. Thesemiconductor device 1000 may be substantially similar to thesemiconductor device 100, described above with reference toFIG. 1 . - A gate structure may be disposed on the substrate. In an embodiment, the gate structure is formed on and/or around a fin extending from the substrate. The gate structure may include a plurality of layers such as gate dielectric layers, gate electrode layers, capping layers, hard mask layers, and/or other suitable layers. In an embodiment, the gate structure is sacrificial such as provided in a replacement gate method of forming a metal gate structure. Referring to the example of
FIG. 10 , agate structure 108 is disposed on thesubstrate 102. Specifically,gate structures 108 are disposed on thefin 104. Eachgate structure 108 traverses thefin 104, separating a source region from a drain region and defining a channel region. In thesemiconductor device 300, thefin 104 is illustrated as including a source/drain region 302 and achannel region 304. Thegate structure 108 may be substantially similar to as discussed above with reference to thegate structure 108 of thesemiconductor device 100 ofFIG. 1 . - The
method 900 then proceeds to block 904 where one or more implantation processes are performed. The implantation process(es) may include a pre-amorphous implantation (PAI) and/or a junction forming implantation process (e.g., a source/drain implant.) A PAI process may implant a target region of the substrate, damaging the lattice structure of the target region and forming amorphized regions. The implantation process may include implanting species such as germanium (Ge), silicon (Si), carbon (C), xenon (Xe), and/or other suitable species. The PAI process may be performed at an energy of between approximately 0.5 keV and approximately 30 keV. In an embodiment, the PAI process is a substantially vertical implant (e.g., perpendicular to a top surface of the substrate.) In an embodiment, the PAI process is a tilt implant. The tilt angle may be between approximately 0 degrees and approximately 30 degrees. The junction implant may be performed separately or in-situ with a PAI process. The junction implant may provide suitable dopants (e.g., n-type, p-type) to form a doped region. The implantation may include introducing n-type or p-type dopants. Exemplary dopants include arsenic, phosphorous, antimony, boron, boron di-fluoride, and/or other possible impurities. In an embodiment, the junction implant ofblock 904 forms a source/drain extension region. - Referring to the example of
FIG. 10 , animplant 1004 is incident thesubstrate 102. Theimplant 1004 forms implantedregions 1002 of thefin 104. In an embodiment, theregions 1002 are amorphized. Theimplant 1004 may also or separately provide suitable dopants (e.g., n-type or p-type dopants) to provide a doped source/drain region 1002 of the device. Theregions 1002 may include a source and drain extension region ofsemiconductor device 1000. - The depth of the implantation can be controlled by the implant energy, implant species, and/or implant dosage. The PAI process may implant the substrate with silicon (Si) or germanium (Ge). Alternatively, the PAI process could utilize other implant species, such as Ar, Xe, BF2, As, In, other suitable implant species, or combinations thereof. The junction implant may include providing suitable n-type or p-type dopants.
- The
method 900 then proceeds to block 906 where a buffer layer is formed on the substrate. In an embodiment, the buffer layer is between approximately 20 Angstroms (A) and approximately 100 A in thickness. In an embodiment, the buffer layer may be between approximately 2 nm and approximately 5 nm in thickness. These thicknesses are by way of example and not intended to be limiting. In an embodiment, the buffer layer is an oxide such as silicon oxide. However, other compositions may be possible. Referring to the example ofFIG. 11 a, abuffer layer 402 is formed on thesubstrate 102. - As described above, an implantation (e.g., PAI and/or junction implant) is performed prior to the formation of a buffer layer. However, in other embodiments, a buffer layer may be formed prior to the implant process(es) of
block 904. In other words, block 906 may precede block 904. By way of example,FIG. 11 b illustrates an implant 1004 (e.g., PAI, source/drain extension implant) while thebuffer layer 402 is disposed on the substrate. Thebuffer layer 402 is formed prior to theimplantation 1004. - The
method 900 then proceeds to block 908 where a stress film is formed on the substrate. The stress film may also be referred to as a stress inducing film. In an embodiment, the stress layer is a stress memorization technique (SMT) film. The stress layer may be provided over the device in which stress is desired and the stress of the stress film can be created and transferred to an underlying features/layers. In an embodiment, the stress film is silicon nitride (SiN). The stress film may have a thickness between approximately 200 A and approximately 400 A, by way of example. The stress film may have a compressive strain (i.e., be a compressive stress layer). (It is noted that the compressive strain of the stress layer may be converted to a tensile strain in a fin after block 910). In an embodiment, the stress film is between approximately 10 nm and approximately 40 nm in thickness. The stress film may be formed by plasma enhanced chemical vapor deposition (PECVD) and/or other suitable processes. Referring to the example ofFIG. 12 , astress film 502 is disposed on thesubstrate 102. In an embodiment, thestress film 502 is a compressive stress film (e.g., having a compressive strain). - The
method 900 then proceeds to block 910 where a stress inducing and/or junction forming process or treatment is performed. In an embodiment, the treatment includes an anneal process. The anneal may include a rapid thermal anneal (RTA), a single strand anneal (SSA), a laser anneal, a flash anneal, a furnace anneal and/or other suitable processes. In an embodiment, the treatment transfers a compressive strain of the stress film to provide a tensile stress to regions of the fin of the device. Referring to the example ofFIG. 6 , a strain (or stress) 1302 is provided in thefin 104. The strain/stress 1302 is generated by the stress film and/or stress inducing process. The process ofblock 910 may also serve to form the appropriate p-n junction depth for thesemiconductor device 1000. - The
method 900 then proceeds to block 912 where the stress film and/or buffer film is stripped from the substrate. The stress film and/or buffer film may be stripped using suitable etching techniques such as wet etch. The stress film and buffer film may be removed in a single process or a plurality of processes (e.g., distinct removal of each film). The strain in the fin induced by the stress film may remain after the stress layer is removed, for example, the strain having been transferred and “memorized” by the fin. Referring to the example ofFIG. 14 , thestress film 502 and thebuffer layer 402 have been removed from the substrate. Thestrain 1302 remains in thefin 104. Thestrain 1302 may provide a symmetrical strain on thechannel region 304 of the semiconductor device. - The
method 900 may continue to include further CMOS or MOS technology processing to form various features known in the art. In an embodiment, spacer elements may be formed abutting sidewalls of a gate structure. The spacer elements may include silicon nitride, silicon oxide, silicon oxynitride, and/or other suitable dielectric materials. In embodiments, the sidewall spacers include a plurality of layers, for example, liner layers. A source/drain region may be further formed (e.g., in addition to an extension region formed as described above). The source/drain regions may be formed processes such as ion implantation, thermal diffusion, epitaxial growth, and/or other suitable processes. In an embodiment, a recess is etched in the fin at one or more of the source and/or drain regions. The recess may be etched using suitable etching technology such as dry etching, plasma etching, wet etching, and the like. In embodiments, the source/drain regions include epitaxial regions formed on and/or above the substrate. In a further embodiment, the epitaxial region may be formed in the etched recess of the fin. Care should be taken to preserve the strain provided by themethod 900 in formation of the source/drain region. - Further exemplary processes that may be performed include the formation of contact features coupled to the gate structure and/or source/drain regions and a multi-layer interconnect (MLI) having via and interconnect lines that may interconnect one or more semiconductor devices formed on the substrate. In an embodiment, the gate structure described above is a sacrificial gate structure and a replacement gate is formed using a suitable replacement gate (e.g., gate last) methodology.
- Referring now to
FIG. 15 , illustrated is flow chart of amethod 1500 of semiconductor fabrication according to one or more aspects of the present disclosure. Themethod 1500 may be implemented to increase a stress or stain provided in one or more regions of a semiconductor device such as a fin-type field effect transistor (finFET).FIGS. 16-24 are cross-sectional views of an embodiment of asemiconductor device 1600 fabricated according to steps themethod 1500 ofFIG. 15 .FIGS. 16-24 provide adevice 1600 that may be substantially similar to thedevice 100, described above with reference toFIG. 1 . Specifically,FIGS. 16 a, 17 a, 18 a, 19 a, 20 a, 21 a, 22 a, 23 a, and 24 a provide views of a semiconductor device corresponding to thecut 116 illustrated above atFIG. 1 .FIGS. 16 b, 17 b, 18 b, 19 b, 20 b, 21 b, 22 b, 23 b, and 24 b provide views of the corresponding semiconductor device according to thecut 114 illustrated above atFIG. 1 . It should be understood thatFIGS. 16-24 and thedevice 1600 are representative only and not intended to be limiting. - It should be further understood that the
method 1500 includes steps having features of a complementary metal-oxide-semiconductor (CMOS) technology process flow and thus, are only described briefly herein. Additional steps may be performed before, after and/or during themethod 1500. Similarly, one may recognize other portions of a device that may benefit from the methods described herein. It is also understood that parts of thesemiconductor device 1600 may be fabricated by CMOS technology and thus, some processes are only described briefly herein. Further, thesemiconductor device 1600 may include various other devices and features, such as additional transistors, bipolar junction transistors, resistors, capacitors, diodes, fuses, etc., but is simplified for a better understanding of the inventive concepts of the present disclosure. Thesemiconductor device 1600 may include a plurality of devices interconnected. - The
method 1500 begins atblock 1502 where a semiconductor substrate is provided. The semiconductor substrate may be substantially similar to as discussed above with reference to thesemiconductor substrate 102 of thesemiconductor device 100, described with reference toFIG. 1 . In an embodiment, the semiconductor substrate includes a plurality of fins extending from the substrate. An isolation region (e.g., STI feature) may interpose the fins as discussed above with reference to thesemiconductor device 100. Referring to the example ofFIG. 16 a/16 b, asemiconductor device 1600 includes asubstrate 102 having a plurality offins 104. Thesemiconductor device 1600 may be substantially similar to thesemiconductor device 100, described above with reference toFIG. 1 . - A gate structure may be disposed on the substrate. In an embodiment, the gate structure is formed on and/or around a fin extending from the substrate. The gate structure may include a plurality of layers such as gate dielectric layers, gate electrode layers, capping layers, hard mask layers, and/or other suitable layers. In an embodiment, the gate structure is sacrificial such as provided in a replacement gate method of forming a metal gate structure. Referring to the example of
FIG. 16 a/b, agate structure 108 is disposed on thesubstrate 102. Specifically,gate structures 108 are disposed on thefin 104. Eachgate structure 108 traverses thefin 104, separating a source region from a drain region and defining a channel region. Thegate structure 108 may be substantially similar to as discussed above with reference to thegate structure 108 of thesemiconductor device 100 ofFIG. 1 . - The
method 1500 then proceeds to block 1504 where a source/drain epitaxial region is grown on the substrate. In an embodiment, a recess is etched in the fin at one or more of the source and/or drain regions. The recess may be etched using suitable etching technology such as dry etching, plasma etching, wet etching, and the like. In an embodiment, one or more photolithography processes are used to form masking elements such that the remaining regions of the substrate are protected from the etching process. In an embodiment, the epitaxial region is grown in the recessed region of the fin. - The epitaxial region is grown in/on the fin(s). The epitaxial region may be grown by solid-phase epitaxy (SPE). The SPE process may convert an amorphous region of semiconductor material to crystalline structure to form the epitaxial region. In other embodiments, other epitaxial growth processes may be used such as vapor-phase epitaxy. The epitaxial region may include silicon, silicon phosphorus, (SiP), or silicon phosphorus carbide (SiPC). Other exemplary epitaxial compositions include germanium, gallium arsenide, gallium nitride, aluminum gallium indium phosphide, silicon germanium, silicon carbide, and/or other possible compositions. In an embodiment, impurities are added to the epitaxial layer during the growth (e.g., in-situ doping). Exemplary dopants include arsenic, phosphorous, antimony, boron, boron di-fluoride, and/or other possible impurities.
- Referring to the example of
FIG. 16 , a source/drain region 1602 is provided on thesubstrate 102. The source/drain region 1602 includes an epitaxially-grown region. - The
method 1500 then proceeds to block 1504 where a pre-amorphous implantation (PAI) process is performed. The implantation process may include implanting species such as germanium (Ge), silicon (Si), carbon (C), xenon (Xe), and/or other suitable species. The implantation process may be performed at an energy of between approximately 0.5 keV and approximately 30 keV. In an embodiment, the implantation process is a substantially vertical implant (e.g., perpendicular to a top surface of the substrate.) In an embodiment, the implantation process is a tilt implant. The tilt angle may be between approximately 0 degrees and approximately 30 degrees. Referring to the example ofFIG. 17 a/17 b, aPAI 1702 is incident thesubstrate 102 forming implanted (amorphous)regions 1704. - The
method 1500 then proceeds to block 1508 where a buffer layer is formed on the substrate. In an embodiment, the buffer layer is between approximately 20 Angstroms (A) and approximately 100 A in thickness. In an embodiment, the buffer layer may be between approximately 2 nm and approximately 5 nm in thickness. These thicknesses are by way of example and not intended to be limiting. In an embodiment, the buffer layer is an oxide such as silicon oxide. However, other compositions may be possible. Referring to the example ofFIG. 18 a/18 b, abuffer layer 402 is formed on thesubstrate 102. - As described above, an implantation (e.g., PAI) is performed prior to the formation of a buffer layer. However, in other embodiments, a buffer layer may be formed prior to the implantation process of
block 1506. In other words, block 1508 may precedeblock 1506. - The
method 1500 then proceeds to block 1510 where a stress film is formed on the substrate. The stress film may also be referred to as a stress inducing film In an embodiment, the stress layer is a stress memorization technique (SMT) film. The stress layer may be provided over the device in which stress is desired. The stress of the stress film can be created and transferred to an underlying features/layers. In an embodiment, the stress film is silicon nitride (SiN). The stress film may have a thickness between approximately 200 A and approximately 400 A, by way of example. The stress film may have a compressive strain (e.g., is a compressive stress layer). (It is noted that the compressive strain of the stress layer may be converted to a tensile strain in a fin region after block 1512). In an embodiment, the stress film is between approximately 10 nm and approximately 40 nm in thickness. The stress film may be formed by plasma enhanced chemical vapor deposition (PECVD) and/or other suitable processes. Referring to the example ofFIG. 17 a/17 b, astress film 502 is disposed on thesubstrate 102. Thestress film 502 may be a compressive stress film. - The
method 1500 then proceeds to block 1512 where a stress inducing and/or transferring process or treatment is performed. In an embodiment, the treatment includes an anneal process. The anneal may include a rapid thermal anneal (RTA), a single strand anneal (SSA), a laser anneal, a flash anneal, a furnace anneal and/or other suitable processes. In an embodiment, the treatment transfers a compressive strain of the stress film to a fin region to provide a tensile stress in a channel region of the fin. Referring to the example ofFIG. 20 a/20 b, a strain (or stress) 2002 is provided creating stressedregion 2004 fromregion 1704. The strain/stress 2002 is generated by the stress film and/or stress inducing process. The strain/stress 2002 may provide a symmetrical stress onto a channel region of thefin 104. - The
method 1500 then proceeds to block 1514 where the stress film and/or buffer film is stripped from the substrate. The stress film and/or buffer film may be stripped using suitable etching techniques such as wet etch. The stress film and buffer film may be removed in a single process or a plurality of processes (e.g., distinct removal of each film). The strain induced by the stress film may remain after the stress layer is removed, for example, as it is has been transferred and “memorized” by the fin region. Referring to the example ofFIG. 21 a/21 b, thestress film 502 and thebuffer layer 402 have been removed from the substrate. Thestrain 2002 remains in theregion 2004 of thefin 104. - The
method 1500 then proceeds to block 1516 where a junction region is formed. The junction region may be formed using an ion implantation process to provide a doped region. The formation of the junction may include suitably doping a source/drain region of the semiconductor device (e.g., n-type or p-type dopants). The implantation may include introducing n-type or p-type dopants. Exemplary dopants include arsenic, phosphorous, antimony, boron, boron di-fluoride, and/or other possible impurities. In an embodiment, spacer elements may be formed abutting sidewalls of a gate structure prior to one or more junction implantation processes. The spacer elements may include silicon nitride, silicon oxide, silicon oxynitride, and/or other suitable dielectric materials. In embodiments, the sidewall spacers include a plurality of layers, for example, liner layers. In other embodiments, the implantation process may be performed prior to the formation of any spacer elements and/or the spacer elements omitted. Referring to the example ofFIG. 22 a/22 b, animplantation process 2202 is illustrated. Theimplantation 2202 may provide a suitably doped region 2204 (n-type or p-type dopants) in which to form a source/drain region associated with thecorresponding gate structure 108. The source/drain region may include an source/drain extension region. - The
method 1500 may continue to include further CMOS or MOS technology processing to form various features known in the art. Exemplary processes that may be performed include the formation of contact features coupled to the gate structure and/or source/drain regions and a multi-layer interconnect (MLI) having via and interconnect lines that may interconnect one or more semiconductor devices formed on the substrate. In an embodiment, the gate structure described above is a sacrificial gate structure and a replacement gate is formed using a suitable replacement gate (e.g., gate last) methodology. - Referring now to
FIG. 23 , illustrated is flow chart of amethod 2300 of semiconductor fabrication according to one or more aspects of the present disclosure. Themethod 2300 may be implemented to increase a stress or stain provided in one or more regions of a semiconductor device such as a fin-type field effect transistor (finFET).FIGS. 24-29 are cross-sectional views of an embodiment of asemiconductor device 2400 fabricated according to steps themethod 2300 ofFIG. 23 . Thesemiconductor device 2400 may be substantially similar to thedevice 100, described above with reference toFIG. 1 . For example,FIGS. 24 a, 25 a, 26 a, 27 a, 28 a, and 29 a provide views of a semiconductor device corresponding to thecut 116 illustrated above atFIG. 1 .FIGS. 24 b, 25 b, 26 b, 27 b, 28 b, and 29 b provide views of the corresponding semiconductor device according to thecut 114 illustrated above atFIG. 1 . It should be understood thatFIGS. 24-29 and thedevice 2400 are representative only and not intended to be limiting. - It should be further understood that the
method 2300 includes steps having features of a complementary metal-oxide-semiconductor (CMOS) technology process flow and thus, are only described briefly herein. Additional steps may be performed before, after and/or during themethod 2300. Similarly, one may recognize other portions of a device that may benefit from the methods described herein. It is also understood that parts of thesemiconductor device 2400 may be fabricated by CMOS technology and thus, some processes are only described briefly herein. Further, thesemiconductor device 2400 may include various other devices and features, such as additional transistors, bipolar junction transistors, resistors, capacitors, diodes, fuses, etc., but is simplified for a better understanding of the inventive concepts of the present disclosure. Thesemiconductor device 2400 may include a plurality of devices interconnected. - The
method 2300 begins atblock 2302 where a semiconductor substrate is provided. The semiconductor substrate may be substantially similar to as discussed above with reference to thesemiconductor substrate 102 of thesemiconductor device 100, described with reference toFIG. 1 . In an embodiment, the semiconductor substrate includes a plurality of fins extending from the substrate. An isolation region (e.g., STI feature) may interpose the fins as discussed above with reference to thesemiconductor device 100. Referring to the example ofFIG. 24 a/24 b, asemiconductor device 2400 includes asubstrate 102 having a plurality offins 104. Thesemiconductor device 2400 may be substantially similar to thesemiconductor device 100, described above with reference toFIG. 1 . - A gate structure may be disposed on the substrate. In an embodiment, the gate structure is formed on and/or around a fin extending from the substrate. The gate structure may include a plurality of layers such as gate dielectric layers, gate electrode layers, capping layers, hard mask layers, and/or other suitable layers. In an embodiment, the gate structure is sacrificial such as provided in a replacement gate method of forming a metal gate structure. Referring to the example of
FIG. 26 a/b, agate structure 108 is disposed on thesubstrate 102. Specifically,gate structures 108 are disposed on thefin 104. Eachgate structure 108 traverses thefin 104, separating a source region from a drain region and defining a channel region. Thegate structure 108 may be substantially similar to as discussed above with reference to thegate structure 108 of thesemiconductor device 100 ofFIG. 1 . - The
method 2300 then proceeds to block 2304 where a source/drain epitaxial region is grown on the substrate. In an embodiment, a recess is etched in the fin at one or more of the source and/or drain regions. The recess may be etched using suitable etching technology such as dry etching, plasma etching, wet etching, and the like. In an embodiment, one or more photolithography processes are used to form masking elements such that the remaining regions of the substrate are protected from the etching process. In an embodiment, the epitaxial region is grown in the recessed region of the fin. - The epitaxial region is grown in/on/surrounding the fin(s). The epitaxial region may be grown by solid-phase epitaxy (SPE). The SPE process may convert an amorphous region of semiconductor material to crystalline structure to form the epitaxial region. In other embodiments, other epitaxial growth processes may be used such as vapor-phase epitaxy. The epitaxial region may include silicon, silicon phosphorus, (SiP), or silicon phosphorus carbide (SiPC). Other exemplary epitaxial compositions include germanium, gallium arsenide, gallium nitride, aluminum gallium indium phosphide, silicon germanium, silicon carbide, and/or other possible compositions. In an embodiment, impurities are added to the epitaxial layer during the growth (e.g., in-situ doping). Exemplary dopants include arsenic, phosphorous, antimony, boron, boron di-fluoride, and/or other possible impurities.
- Referring to the example of
FIG. 24 a/24 b, a source/drain region 2402 is provided on thesubstrate 102. The source/drain region 2402 includes an epitaxially-grown region. - The
method 2300 then proceeds to block 2306 where one or more implantation processes are performed. The implantation process(es) may include a pre-amorphous implantation (PAI) and/or a junction forming implantation process (e.g., a source/drain extension forming implant.) A PAI process may implant a target region of the substrate, damaging the lattice structure of the target region and forming amorphized regions. The implantation process may include implanting species such as germanium (Ge), silicon (Si), carbon (C), xenon (Xe), and/or other suitable species. The PAI process may be performed at an energy of between approximately 0.5 keV and approximately 30 keV. In an embodiment, the PAI process is a substantially vertical implant (e.g., perpendicular to a top surface of the substrate.) In an embodiment, the PAI process is a tilt implant. The tilt angle may be between approximately 0 degrees and approximately 30 degrees. The junction implantation may be performed separately or in-situ with a PAI process. The junction implantation may provide suitable dopants (e.g., n-type, p-type) to form a doped region to provide a suitable p-n junction for the semiconductor device. The implantation to form the junction may include introducing n-type or p-type dopants. Exemplary dopants include arsenic, phosphorous, antimony, boron, boron di-fluoride, and/or other possible impurities. - Referring to the example of
FIG. 25 a/25 b, animplantation 2502 is incident thesubstrate 102. Theimplantation 2502 forms implantedregions 2504 of theregion 2402, described above with reference toFIG. 24 . In an embodiment, theregions 2504 are amorphized. Theimplantation 2502 may provide suitable dopants (e.g., n-type or p-type dopants) to provide a suitably doped source/drain region 2504 of the device. In the present embodiment, theregions 2504 provide a source and drain region ofsemiconductor device 2400. - The
method 2300 then proceeds to block 2308 where a buffer layer is formed on the substrate. In an embodiment, the buffer layer is between approximately 20 Angstroms (A) and approximately 100 A in thickness. In an embodiment, the buffer layer may be between approximately 2 nm and approximately 5 nm in thickness. These thicknesses are by way of example and not intended to be limiting. In an embodiment, the buffer layer is an oxide such as silicon oxide. However, other compositions may be possible. Referring to the example ofFIG. 26 a/26 b, abuffer layer 402 is formed on thesubstrate 102. - As described above, an implantation (e.g., PAI and/or junction implant) is performed prior to the formation of a buffer layer. However, in other embodiments, a buffer layer may be formed prior to the implantation process of
block 2306. In other words, block 2308 may precedeblock 2306. - The
method 2300 then proceeds to block 2310 where a stress film is formed on the substrate. The stress film may also be referred to as a stress inducing film In an embodiment, the stress layer is a stress memorization technique (SMT) film. The stress layer may be provided over the device in which stress is desired and the stress of the stress film can be created and transferred to an underlying features/layers. In an embodiment, the stress film is silicon nitride (SiN). The stress film may have a thickness between approximately 200 A and approximately 400 A, by way of example. The stress film may have a compressive strain—e.g., be a compressive stress film. (It is noted that the compressive strain of the stress film may be converted to a tensile strain in a fin after block 1512). In an embodiment, the stress film is between approximately 10 nm and approximately 40 nm in thickness. The stress film may be formed by plasma enhanced chemical vapor deposition (PECVD) and/or other suitable processes. Referring to the example ofFIG. 27 a/27 b, astress film 502 is disposed on thesubstrate 102. Thestress film 502 may be a compressive stress film. - The
method 2300 then proceeds to block 2312 where a stress inducing and/or junction forming process or treatment is performed. In an embodiment, the treatment includes an anneal process. The anneal may include a rapid thermal anneal (RTA), a single strand anneal (SSA), a laser anneal, a flash anneal, a furnace anneal and/or other suitable processes. In an embodiment, the treatment transfers a compressive strain of the stress film to provide a tensile stress to underlying regions of the device, such as the fin and/or a channel region of the fin. Referring to the example ofFIG. 28 a/28 b, a strain (or stress) 2802 is provided inregion 2804. The strain/stress 2802 is generated by the stress film and/or treatment processes. The process ofblock 2312 may also serve to form the appropriate p-n junction depth for thesemiconductor device 2400. - The
method 2300 then proceeds to block 2314 where the stress film and/or buffer film is stripped from the substrate. The stress film and/or buffer film may be stripped using suitable etching techniques such as wet etch. The stress film and buffer film may be removed in a single process or a plurality of processes (e.g., distinct removal of each film). The strain induced by the stress film may remain after the stress layer is removed, for example, as the stress has been transferred and “memorized” by the fin region. Referring to the example ofFIG. 29 a/29 b, thestress film 502 and thebuffer layer 402 have been removed from the substrate. Thestrain 2802 remains in thefin 104. Thestrain 2802 may provide a symmetrical strain on the channel region of thesemiconductor device 2400. - The
method 2300 may continue to include further CMOS or MOS technology processing to form various features known in the art. Further exemplary processes that may be performed include the formation of contact features coupled to the gate structure and/or source/drain regions and a multi-layer interconnect (MLI) having via and interconnect lines that may interconnect one or more semiconductor devices formed on the substrate. In an embodiment, the gate structure described above is a sacrificial gate structure and a replacement gate is formed using a suitable replacement gate (e.g., gate last) methodology. - Thus, it will be appreciated that provided are methods and devices that provide for a stressed/strain region in one or more regions of a finFET device. The strained region is provided by transferring stress from an overlying (sacrificial) stressing layer. The strained region may provide a stress onto the channel region of the finFET device (e.g., underlying the gate structure). In an embodiment, a tensile strain is provided in the channel region. The present disclosure provides advantages as proper channel stress can enhance transistor performance including carrier mobility.
- It is understood that different embodiments disclosed herein offer different disclosure, and that they may make various changes, substitutions and alterations herein without departing from the spirit and scope of the present disclosure. For example, the embodiments disclosed herein describes formation of a tensile stress in a fin region. However, other embodiments may include forming a compressive stress in fin region by providing the relevant stress layer (e.g., stress-transferring layer) overlying the fin region. Examples of compressive stress generating films may include metal nitride compositions.
Claims (20)
1. A method of fabricating a semiconductor device, comprising:
providing a substrate having a fin disposed thereon;
forming a gate structure on the fin, wherein the gate structure interfaces at least two sides of the fin;
depositing a stress film on the substrate; and
annealing the substrate including the stress film, wherein the annealing provides a tensile strain in a channel region of the fin.
2. The method of claim 1 , wherein the stress film is silicon nitride.
3. The method of claim 1 , further comprising:
forming a buffer layer prior to depositing the stress film.
4. The method of claim 1 , further comprising:
stripping the stress film from the substrate after the annealing.
5. The method of claim 1 , wherein the annealing includes at least one of a rapid thermal anneal, a furnace anneal, a flash anneal, and a laser anneal.
6. The method of claim 1 , further comprising:
performing a pre-amorphous implant (PAI) prior to depositing the stress film.
7. The method of claim 6 , further comprising:
forming a buffer layer prior to performing the PAI.
8. The method of claim 6 , further comprising:
forming a buffer layer after performing the PAI, wherein the buffer layer underlying the stress film.
9. A method of fabricating a fin-type field effect transistor, comprising:
providing a substrate having a plurality of fins;
growing an epitaxial region on the substrate, wherein the epitaxial region interfaces with each of the plurality of fins;
performing a pre-amorphous implantation (PAI) process on the epitaxial region;
forming a compressive layer on the epitaxial region after the PAI process;
treating the substrate and the compressive layer, wherein the treating transfers a stress from the compressive layer to the epitaxial region.
10. The method of claim 9 , wherein the transferred stress provides a tensile strain in a channel region of each of the plurality of fins.
11. The method of claim 9 , wherein the treating includes an anneal selected from the group consisting of a rapid thermal anneal (RTA), a laser anneal, a flash anneal, and a furnace anneal.
12. The method of claim 9 , further comprising:
stripping the compressive layer after the treating the substrate.
13. The method of claim 12 , further comprising:
implanting the epitaxial region to form one of an n-type and a p-type region.
14. The method of claim 9 , further comprising:
forming a buffer layer on the epitaxial region prior to performing the PAI process.
15. The method of claim 9 , further comprising:
forming a buffer layer on the epitaxial region and underlying the stress layer, wherein the forming the buffer layer is performed after performing the PAI process.
16. The method of claim 9 , further comprising:
performing a junction implant process on the epitaxial region prior to forming the stress layer.
17. A method comprising:
providing a fin-type semiconductor device;
forming a compressive stress layer on the fin-type semiconductor device;
transferring a stress from the compressive stress layer to a region of a fin of the fin-type semiconductor device; and
removing the compressive stress layer after the transferring the stress.
18. The method of claim 17 , wherein the transferring the stress includes providing a tensile stress to a channel region of the fin-type semiconductor device.
19. The method of claim 17 , wherein the compressive stress layer is silicon nitride.
20. The method of claim 17 , wherein the transferring the stress includes annealing the fin-type semiconductor device.
Priority Applications (5)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US13/416,926 US20130237026A1 (en) | 2012-03-09 | 2012-03-09 | Finfet device having a strained region |
KR1020120104670A KR20130103279A (en) | 2012-03-09 | 2012-09-20 | Finfet device having a strained region |
TW101139021A TWI485779B (en) | 2012-03-09 | 2012-10-23 | A method of fabricating a semiconductor device |
CN201310006943.0A CN103311125B (en) | 2012-03-09 | 2013-01-08 | There is the finFET device of strain regions |
US14/579,774 US9177801B2 (en) | 2012-03-09 | 2014-12-22 | FinFET device having a strained region |
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US13/416,926 US20130237026A1 (en) | 2012-03-09 | 2012-03-09 | Finfet device having a strained region |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US14/579,774 Division US9177801B2 (en) | 2012-03-09 | 2014-12-22 | FinFET device having a strained region |
Publications (1)
Publication Number | Publication Date |
---|---|
US20130237026A1 true US20130237026A1 (en) | 2013-09-12 |
Family
ID=49114486
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US13/416,926 Abandoned US20130237026A1 (en) | 2012-03-09 | 2012-03-09 | Finfet device having a strained region |
US14/579,774 Active US9177801B2 (en) | 2012-03-09 | 2014-12-22 | FinFET device having a strained region |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US14/579,774 Active US9177801B2 (en) | 2012-03-09 | 2014-12-22 | FinFET device having a strained region |
Country Status (4)
Country | Link |
---|---|
US (2) | US20130237026A1 (en) |
KR (1) | KR20130103279A (en) |
CN (1) | CN103311125B (en) |
TW (1) | TWI485779B (en) |
Cited By (16)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8815693B2 (en) * | 2013-01-23 | 2014-08-26 | International Business Machines Corporation | FinFET device formation |
US20140273369A1 (en) * | 2013-03-13 | 2014-09-18 | Globalfoundries Inc. | Methods of forming contacts to source/drain regions of finfet devices |
CN104517839A (en) * | 2013-09-27 | 2015-04-15 | 中芯国际集成电路制造(上海)有限公司 | Fin-shaped field effect transistor structure and preparation method thereof |
US20150115334A1 (en) * | 2013-10-25 | 2015-04-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Gate Device Over Strained Fin Structure |
US20150155383A1 (en) * | 2013-12-04 | 2015-06-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor Device with Strained Layer |
US9177801B2 (en) | 2012-03-09 | 2015-11-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET device having a strained region |
CN105280701A (en) * | 2014-07-24 | 2016-01-27 | 台湾积体电路制造股份有限公司 | FINFET doping methods and structures thereof |
US20160141394A1 (en) * | 2013-11-27 | 2016-05-19 | Taiwan Semiconductor Manufacturing Company Limited | Semiconductor device and method of making |
US9397097B2 (en) | 2012-03-02 | 2016-07-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Gate structure for semiconductor device |
US9536989B1 (en) | 2016-02-15 | 2017-01-03 | Globalfoundries Inc. | Field-effect transistors with source/drain regions of reduced topography |
US9590099B2 (en) | 2014-09-23 | 2017-03-07 | Samsung Electronics Co., Ltd. | Semiconductor devices having gate structures and methods of manufacturing the same |
US20170069730A1 (en) * | 2015-09-09 | 2017-03-09 | United Microelectronics Corp. | Semiconductor process, planar field effect transistor and fin-shaped field effect transistor |
CN107104051A (en) * | 2016-02-22 | 2017-08-29 | 联华电子股份有限公司 | Semiconductor element with and preparation method thereof |
US10818759B2 (en) | 2015-11-17 | 2020-10-27 | Tessera, Inc. | Self aligned replacement metal source/drain finFET |
US11211455B2 (en) * | 2014-03-21 | 2021-12-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Formation of dislocations in source and drain regions of FinFET devices |
US11450571B2 (en) * | 2018-09-27 | 2022-09-20 | Taiwan Semiconductor Manufacturing Company Ltd. | Method for manufacturing semiconductor structure |
Families Citing this family (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9362278B1 (en) * | 2014-12-29 | 2016-06-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET with multiple dislocation planes and method for forming the same |
US9761699B2 (en) | 2015-01-28 | 2017-09-12 | International Business Machines Corporation | Integration of strained silicon germanium PFET device and silicon NFET device for finFET structures |
US9543439B2 (en) * | 2015-01-30 | 2017-01-10 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor device structure and manufacturing method thereof |
US10043903B2 (en) | 2015-12-21 | 2018-08-07 | Samsung Electronics Co., Ltd. | Semiconductor devices with source/drain stress liner |
US9755073B1 (en) | 2016-05-11 | 2017-09-05 | International Business Machines Corporation | Fabrication of vertical field effect transistor structure with strained channels |
CN108122758A (en) * | 2016-11-30 | 2018-06-05 | 中芯国际集成电路制造(上海)有限公司 | Semiconductor structure and forming method thereof |
KR102563483B1 (en) | 2018-08-21 | 2023-08-04 | 현대자동차주식회사 | Active Roll Stabilization apparatus and Method thereof |
CN110896034B (en) * | 2019-11-08 | 2023-03-21 | 中国科学院微电子研究所 | Fin-shaped structure and preparation method of semiconductor device |
CN114373717A (en) * | 2021-12-10 | 2022-04-19 | 武汉新芯集成电路制造有限公司 | Semiconductor device and method for fabricating the same |
Citations (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20040217420A1 (en) * | 2003-04-30 | 2004-11-04 | Yee-Chia Yeo | Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors |
US7341902B2 (en) * | 2006-04-21 | 2008-03-11 | International Business Machines Corporation | Finfet/trigate stress-memorization method |
US20080079094A1 (en) * | 2006-09-29 | 2008-04-03 | Been-Yih Jin | Methods for inducing strain in non-planar transistor structures |
US20080173942A1 (en) * | 2007-01-22 | 2008-07-24 | International Business Machines Corporation | STRUCTURE AND METHOD OF MANUFACTURING A STRAINED FinFET WITH STRESSED SILICIDE |
US20090261412A1 (en) * | 2006-06-08 | 2009-10-22 | Shinichi Saito | Semiconductor Device and Manufacturing Method of the Same |
US20110027978A1 (en) * | 2009-07-30 | 2011-02-03 | Globalfoundries Inc. | Methods for fabricating non-planar semiconductor devices having stress memory |
US20110084336A1 (en) * | 2009-10-09 | 2011-04-14 | Globalfoundries Inc. | Semiconductor device with stressed fin sections, and related fabrication methods |
US20110171805A1 (en) * | 2007-10-15 | 2011-07-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | System and Method for Source/Drain Contact Processing |
US8394684B2 (en) * | 2010-07-22 | 2013-03-12 | International Business Machines Corporation | Structure and method for stress latching in non-planar semiconductor devices |
US8445334B1 (en) * | 2011-12-20 | 2013-05-21 | International Business Machines Corporation | SOI FinFET with recessed merged Fins and liner for enhanced stress coupling |
US20130200468A1 (en) * | 2012-02-06 | 2013-08-08 | International Business Machines Corporation | Integration of SMT in Replacement Gate FINFET Process Flow |
US20130200455A1 (en) * | 2012-02-08 | 2013-08-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Dislocation smt for finfet device |
US20130228830A1 (en) * | 2012-03-02 | 2013-09-05 | Taiwan Semiconductor Manufacturing Company, Ltd. ("Tsmc") | Gate structure for semiconductor device |
Family Cites Families (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7834399B2 (en) | 2007-06-05 | 2010-11-16 | International Business Machines Corporation | Dual stress memorization technique for CMOS application |
DE102007030056B3 (en) * | 2007-06-29 | 2009-01-22 | Advanced Micro Devices, Inc., Sunnyvale | A method for blocking a pre-amorphization of a gate electrode of a transistor |
US7858482B2 (en) | 2008-03-31 | 2010-12-28 | Freescale Semiconductor, Inc. | Method of forming a semiconductor device using stress memorization |
CN102347350A (en) * | 2010-07-30 | 2012-02-08 | 中国科学院微电子研究所 | Semiconductor structure and manufacturing method thereof |
US9263342B2 (en) | 2012-03-02 | 2016-02-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device having a strained region |
US20130237026A1 (en) | 2012-03-09 | 2013-09-12 | Taiwan Semiconductor Manufacturing Company, Ltd., ("Tsmc") | Finfet device having a strained region |
-
2012
- 2012-03-09 US US13/416,926 patent/US20130237026A1/en not_active Abandoned
- 2012-09-20 KR KR1020120104670A patent/KR20130103279A/en not_active Application Discontinuation
- 2012-10-23 TW TW101139021A patent/TWI485779B/en active
-
2013
- 2013-01-08 CN CN201310006943.0A patent/CN103311125B/en active Active
-
2014
- 2014-12-22 US US14/579,774 patent/US9177801B2/en active Active
Patent Citations (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20040217420A1 (en) * | 2003-04-30 | 2004-11-04 | Yee-Chia Yeo | Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors |
US7341902B2 (en) * | 2006-04-21 | 2008-03-11 | International Business Machines Corporation | Finfet/trigate stress-memorization method |
US20090261412A1 (en) * | 2006-06-08 | 2009-10-22 | Shinichi Saito | Semiconductor Device and Manufacturing Method of the Same |
US20080079094A1 (en) * | 2006-09-29 | 2008-04-03 | Been-Yih Jin | Methods for inducing strain in non-planar transistor structures |
US20080173942A1 (en) * | 2007-01-22 | 2008-07-24 | International Business Machines Corporation | STRUCTURE AND METHOD OF MANUFACTURING A STRAINED FinFET WITH STRESSED SILICIDE |
US20110171805A1 (en) * | 2007-10-15 | 2011-07-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | System and Method for Source/Drain Contact Processing |
US20110027978A1 (en) * | 2009-07-30 | 2011-02-03 | Globalfoundries Inc. | Methods for fabricating non-planar semiconductor devices having stress memory |
US20110084336A1 (en) * | 2009-10-09 | 2011-04-14 | Globalfoundries Inc. | Semiconductor device with stressed fin sections, and related fabrication methods |
US8394684B2 (en) * | 2010-07-22 | 2013-03-12 | International Business Machines Corporation | Structure and method for stress latching in non-planar semiconductor devices |
US8445334B1 (en) * | 2011-12-20 | 2013-05-21 | International Business Machines Corporation | SOI FinFET with recessed merged Fins and liner for enhanced stress coupling |
US20130200468A1 (en) * | 2012-02-06 | 2013-08-08 | International Business Machines Corporation | Integration of SMT in Replacement Gate FINFET Process Flow |
US20130200455A1 (en) * | 2012-02-08 | 2013-08-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Dislocation smt for finfet device |
US20130228830A1 (en) * | 2012-03-02 | 2013-09-05 | Taiwan Semiconductor Manufacturing Company, Ltd. ("Tsmc") | Gate structure for semiconductor device |
Cited By (30)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9397097B2 (en) | 2012-03-02 | 2016-07-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Gate structure for semiconductor device |
US9177801B2 (en) | 2012-03-09 | 2015-11-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET device having a strained region |
US20140284721A1 (en) * | 2013-01-23 | 2014-09-25 | International Business Machines Corporation | Finfet device formation |
US8815693B2 (en) * | 2013-01-23 | 2014-08-26 | International Business Machines Corporation | FinFET device formation |
US9059290B2 (en) * | 2013-01-23 | 2015-06-16 | International Business Machines Corporation | FinFET device formation |
US20140273369A1 (en) * | 2013-03-13 | 2014-09-18 | Globalfoundries Inc. | Methods of forming contacts to source/drain regions of finfet devices |
US9117842B2 (en) * | 2013-03-13 | 2015-08-25 | Globalfoundries Inc. | Methods of forming contacts to source/drain regions of FinFET devices |
CN104517839A (en) * | 2013-09-27 | 2015-04-15 | 中芯国际集成电路制造(上海)有限公司 | Fin-shaped field effect transistor structure and preparation method thereof |
US9590104B2 (en) * | 2013-10-25 | 2017-03-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Gate device over strained fin structure |
US20150115334A1 (en) * | 2013-10-25 | 2015-04-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Gate Device Over Strained Fin Structure |
US10937699B2 (en) | 2013-10-25 | 2021-03-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming a fin under a gate structure |
US10381270B2 (en) | 2013-10-25 | 2019-08-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Gate device over strained fin structure |
US20160141394A1 (en) * | 2013-11-27 | 2016-05-19 | Taiwan Semiconductor Manufacturing Company Limited | Semiconductor device and method of making |
US9653581B2 (en) * | 2013-11-27 | 2017-05-16 | Taiwan Semiconductor Manufacturing Company Limited | Semiconductor device and method of making |
US9831321B2 (en) | 2013-12-04 | 2017-11-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device with strained layer |
US9368626B2 (en) * | 2013-12-04 | 2016-06-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device with strained layer |
US20150155383A1 (en) * | 2013-12-04 | 2015-06-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor Device with Strained Layer |
US11211455B2 (en) * | 2014-03-21 | 2021-12-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Formation of dislocations in source and drain regions of FinFET devices |
US10522424B2 (en) | 2014-07-24 | 2019-12-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET doping methods and structures thereof |
CN105280701A (en) * | 2014-07-24 | 2016-01-27 | 台湾积体电路制造股份有限公司 | FINFET doping methods and structures thereof |
US11211295B2 (en) | 2014-07-24 | 2021-12-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET doping methods and structures thereof |
US9590099B2 (en) | 2014-09-23 | 2017-03-07 | Samsung Electronics Co., Ltd. | Semiconductor devices having gate structures and methods of manufacturing the same |
US9773880B2 (en) * | 2015-09-09 | 2017-09-26 | United Microelectronics Corp. | Semiconductor process, planar field effect transistor and fin-shaped field effect transistor |
US9923071B2 (en) | 2015-09-09 | 2018-03-20 | United Microelectronics Corp. | Semiconductor process |
US10068979B2 (en) | 2015-09-09 | 2018-09-04 | United Microelectronics Corp. | Planar field effect transistor |
US20170069730A1 (en) * | 2015-09-09 | 2017-03-09 | United Microelectronics Corp. | Semiconductor process, planar field effect transistor and fin-shaped field effect transistor |
US10818759B2 (en) | 2015-11-17 | 2020-10-27 | Tessera, Inc. | Self aligned replacement metal source/drain finFET |
US9536989B1 (en) | 2016-02-15 | 2017-01-03 | Globalfoundries Inc. | Field-effect transistors with source/drain regions of reduced topography |
CN107104051A (en) * | 2016-02-22 | 2017-08-29 | 联华电子股份有限公司 | Semiconductor element with and preparation method thereof |
US11450571B2 (en) * | 2018-09-27 | 2022-09-20 | Taiwan Semiconductor Manufacturing Company Ltd. | Method for manufacturing semiconductor structure |
Also Published As
Publication number | Publication date |
---|---|
CN103311125A (en) | 2013-09-18 |
US9177801B2 (en) | 2015-11-03 |
US20150179454A1 (en) | 2015-06-25 |
TW201338044A (en) | 2013-09-16 |
TWI485779B (en) | 2015-05-21 |
CN103311125B (en) | 2016-10-05 |
KR20130103279A (en) | 2013-09-23 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US9177801B2 (en) | FinFET device having a strained region | |
US11211455B2 (en) | Formation of dislocations in source and drain regions of FinFET devices | |
US10916546B2 (en) | Enhanced channel strain to reduce contact resistance in NMOS FET devices | |
US9997616B2 (en) | Semiconductor device having a strained region | |
US9397097B2 (en) | Gate structure for semiconductor device | |
US9202691B2 (en) | Semiconductor device having modified profile metal gate | |
KR101991154B1 (en) | Gate structure, semiconductor device and the method of forming semiconductor device | |
CN104241366B (en) | Dislocation in the source area and drain region of FinFET is formed | |
US9368626B2 (en) | Semiconductor device with strained layer |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
AS | Assignment |
Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD., Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LEE, TSUNG-LIN;YUAN, FENG;CHIANG, HUNG-LI;AND OTHERS;SIGNING DATES FROM 20120223 TO 20120306;REEL/FRAME:027838/0336 |
|
STCB | Information on status: application discontinuation |
Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION |